Search dblp for Publications

export results for "toc:db/conf/fpt/fpt2017.bht:"

 download as .bib file

@inproceedings{DBLP:conf/fpt/0001HAIKS17,
  author       = {Qian Zhao and
                  Hendarmawan and
                  Motoki Amagasaki and
                  Masahiro Iida and
                  Morihiro Kuga and
                  Toshinori Sueyoshi},
  title        = {hCODE 2.0: An open-source toolkit for building efficient FPGA-enabled
                  clouds},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {267--270},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280157},
  doi          = {10.1109/FPT.2017.8280157},
  timestamp    = {Mon, 17 Feb 2020 13:32:07 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/0001HAIKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/0002BSSCV17,
  author       = {Amit Kulkarni and
                  Poona Bahrebar and
                  Dirk Stroobandt and
                  Giulio Stramondo and
                  Catalin Bogdan Ciobanu and
                  Ana Lucia Varbanescu},
  title        = {A NoC-based custom {FPGA} configuration memory architecture for ultra-fast
                  micro-reconfiguration},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {203--206},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280141},
  doi          = {10.1109/FPT.2017.8280141},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/0002BSSCV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/AngBD17,
  author       = {Andrew Ang and
                  Matt Bourne and
                  Robin Dykstra},
  title        = {An open source PXIe ecosystem based on {FPGA} modules},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {219--222},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280145},
  doi          = {10.1109/FPT.2017.8280145},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/AngBD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/AssadikhomamiOA17,
  author       = {Shadi Assadikhomami and
                  Jennifer Ongko and
                  Tor M. Aamodt},
  title        = {A state machine block for high-level synthesis},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {80--87},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280124},
  doi          = {10.1109/FPT.2017.8280124},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/AssadikhomamiOA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Bailey17,
  author       = {Donald G. Bailey},
  title        = {Hough transform line reconstruction on {FPGA} using back-projection},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {283--286},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280161},
  doi          = {10.1109/FPT.2017.8280161},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/Bailey17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ChengHW17,
  author       = {Shaoyi Cheng and
                  Qijing Huang and
                  John Wawrzynek},
  title        = {Synthesis of program binaries into {FPGA} accelerators with runtime
                  dependence validation},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {96--103},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280126},
  doi          = {10.1109/FPT.2017.8280126},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/ChengHW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ColombierBMH17,
  author       = {Brice Colombier and
                  Lilian Bossuet and
                  Ugo Mureddu and
                  David H{\'{e}}ly},
  title        = {A comprehensive hardware/software infrastructure for {IP} cores design
                  protection},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {263--266},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280156},
  doi          = {10.1109/FPT.2017.8280156},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/ColombierBMH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/CuiLZL17,
  author       = {Ke Cui and
                  Zongkai Liu and
                  Rihong Zhu and
                  Xiangyu Li},
  title        = {FPGA-based high-performance time-to-digital converters by utilizing
                  multi-channels looped carry chains},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {223--226},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280146},
  doi          = {10.1109/FPT.2017.8280146},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/CuiLZL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/DHollanderCB17,
  author       = {Erik H. D'Hollander and
                  Bruno Chevalier and
                  Koen De Bosschere},
  title        = {Calling hardware procedures in a reconfigurable accelerator using
                  {RPC-FPGA}},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {271--274},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280158},
  doi          = {10.1109/FPT.2017.8280158},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/DHollanderCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/DiCeccoSC17,
  author       = {Roberto DiCecco and
                  Lin Sun and
                  Paul Chow},
  title        = {FPGA-based training of convolutional neural networks with a reduced
                  precision floating-point library},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {239--242},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280150},
  doi          = {10.1109/FPT.2017.8280150},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/DiCeccoSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/DiehlAKG17,
  author       = {William Diehl and
                  Abubakr Abdulgadir and
                  Jens{-}Peter Kaps and
                  Kris Gaj},
  title        = {Comparing the cost of protecting selected lightweight block ciphers
                  against differential power analysis in low-cost FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {128--135},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280130},
  doi          = {10.1109/FPT.2017.8280130},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/DiehlAKG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/FangZYL17,
  author       = {Weikang Fang and
                  Yanjun Zhang and
                  Bo Yu and
                  Shaoshan Liu},
  title        = {FPGA-based {ORB} feature extraction for real-time visual {SLAM}},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {275--278},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280159},
  doi          = {10.1109/FPT.2017.8280159},
  timestamp    = {Tue, 26 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/FangZYL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/GeethakumariGST17,
  author       = {Prajith Ramakrishnan Geethakumari and
                  Vincenzo Gulisano and
                  Bo Joel Svensson and
                  Pedro Trancoso and
                  Ioannis Sourdis},
  title        = {Single window stream aggregation using reconfigurable hardware},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {112--119},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280128},
  doi          = {10.1109/FPT.2017.8280128},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/GeethakumariGST17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HoKP17,
  author       = {Nam Ho and
                  Paul Kaufmann and
                  Marco Platzner},
  title        = {Evolvable caches: Optimization of reconfigurable cache mappings for
                  a LEON3/Linux-based multi-core processor},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {215--218},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280144},
  doi          = {10.1109/FPT.2017.8280144},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HoKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HoS17,
  author       = {Sam M. H. Ho and
                  Hayden Kwok{-}Hay So},
  title        = {NnCore: {A} parameterized non-linear function generator for machine
                  learning applications in FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {160--167},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280134},
  doi          = {10.1109/FPT.2017.8280134},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HoS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HomsirikamolG17,
  author       = {Ekawat Homsirikamol and
                  Kris Gaj},
  title        = {Toward a new HLS-based methodology for {FPGA} benchmarking of candidates
                  in cryptographic competitions: The {CAESAR} contest case study},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {120--127},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280129},
  doi          = {10.1109/FPT.2017.8280129},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HomsirikamolG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HomulleC17,
  author       = {Harald Homulle and
                  Edoardo Charbon},
  title        = {Performance characterization of Altera and Xilinx 28 nm FPGAs at cryogenic
                  temperatures},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {25--31},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280117},
  doi          = {10.1109/FPT.2017.8280117},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HomulleC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/JarvisG17,
  author       = {Brian Jarvis and
                  Kris Gaj},
  title        = {Selection of an error-correcting code for FPGA-based physical unclonable
                  functions},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {243--246},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280151},
  doi          = {10.1109/FPT.2017.8280151},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/JarvisG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/JohnsonLMKTHTMH17,
  author       = {Anju P. Johnson and
                  Junxiu Liu and
                  Alan G. Millard and
                  Shvan Karim and
                  Andy M. Tyrrell and
                  Jim Harkin and
                  Jon Timmis and
                  Liam McDaid and
                  David M. Halliday},
  title        = {Homeostatic fault tolerance in spiking neural networks utilizing dynamic
                  partial reconfiguration of FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {195--198},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280139},
  doi          = {10.1109/FPT.2017.8280139},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/JohnsonLMKTHTMH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Kamp17,
  author       = {William Kamp},
  title        = {{AXI} over Ethernet; a protocol for the monitoring and control of
                  {FPGA} clusters},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {48--55},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280120},
  doi          = {10.1109/FPT.2017.8280120},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/Kamp17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/KatayamaMKSW17,
  author       = {Kentaro Katayama and
                  Hidetoshi Matsumura and
                  Hiroaki Kameyama and
                  Shinichi Sazawa and
                  Yasuhiro Watanabe},
  title        = {An FPGA-accelerated high-throughput data optimization system for high-speed
                  transfer via wide area network},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {211--214},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280143},
  doi          = {10.1109/FPT.2017.8280143},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/KatayamaMKSW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/KimMMSLC17,
  author       = {Daewoo Kim and
                  Mansureh S. Moghaddam and
                  Hossein Moradian and
                  Hyeon Uk Sim and
                  Jongeun Lee and
                  Kiyoung Choi},
  title        = {{FPGA} implementation of convolutional neural network based on stochastic
                  computing},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {287--290},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280162},
  doi          = {10.1109/FPT.2017.8280162},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/KimMMSLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LamBW17,
  author       = {Siew{-}Kei Lam and
                  Rakesh Kumar Bijarniya and
                  Meiqing Wu},
  title        = {Lowering dynamic power in stream-based harris corner detection architecture},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {176--182},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280136},
  doi          = {10.1109/FPT.2017.8280136},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LamBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LiDWC17,
  author       = {He Li and
                  James J. Davis and
                  John Wickerson and
                  George A. Constantinides},
  title        = {architect: Arbitrary-precision constant-hardware iterative compute},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {73--79},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280123},
  doi          = {10.1109/FPT.2017.8280123},
  timestamp    = {Thu, 10 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LiDWC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LiFXCC17,
  author       = {Bingyi Li and
                  Linlin Fang and
                  Yizhuang Xie and
                  He Chen and
                  Liang Chen},
  title        = {A unified reconfigurable floating-point arithmetic architecture based
                  on {CORDIC} algorithm},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {301--302},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280166},
  doi          = {10.1109/FPT.2017.8280166},
  timestamp    = {Tue, 07 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LiFXCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LiHFL17,
  author       = {Weijia Li and
                  Conghui He and
                  Haohuan Fu and
                  Wayne Luk},
  title        = {An FPGA-based tree crown detection approach for remote sensing images},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {231--234},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280148},
  doi          = {10.1109/FPT.2017.8280148},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LiHFL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LiZLC17,
  author       = {Wei Li and
                  Yangyang Zhao and
                  Yuhang Liu and
                  Mingyu Chen},
  title        = {{SMEFF:} {A} scalable memory extension fabric for {FPGA}},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {40--47},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280119},
  doi          = {10.1109/FPT.2017.8280119},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LiZLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LiuDJ0C17,
  author       = {Zhiqiang Liu and
                  Yong Dou and
                  Jingfei Jiang and
                  Qiang Wang and
                  Paul Chow},
  title        = {An FPGA-based processor for training convolutional neural networks},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {207--210},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280142},
  doi          = {10.1109/FPT.2017.8280142},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/LiuDJ0C17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ManabeSO17,
  author       = {Taito Manabe and
                  Yuichiro Shibata and
                  Kiyoshi Oguri},
  title        = {{FPGA} implementation of a real-time super-resolution system with
                  a {CNN} based on a residue number system},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {299--300},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280165},
  doi          = {10.1109/FPT.2017.8280165},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/ManabeSO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/NakaharaYS17,
  author       = {Hiroki Nakahara and
                  Haruyoshi Yonekawa and
                  Shimpei Sato},
  title        = {An object detector based on multiscale sliding window search using
                  a fully pipelined binarized {CNN} on an {FPGA}},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {168--175},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280135},
  doi          = {10.1109/FPT.2017.8280135},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/NakaharaYS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/OmidianL17,
  author       = {Hossein Omidian and
                  Guy G. F. Lemieux},
  title        = {Exploring automated space/time tradeoffs for OpenVX compute graphs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {152--159},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280133},
  doi          = {10.1109/FPT.2017.8280133},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/OmidianL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/PengZH17,
  author       = {Hai Peng and
                  Xiaofan Zhang and
                  Letian Huang},
  title        = {An energy efficient approach for {C4.5} algorithm using OpenCL design
                  flow},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {144--151},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280132},
  doi          = {10.1109/FPT.2017.8280132},
  timestamp    = {Fri, 26 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/PengZH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/PodobasM17,
  author       = {Artur Podobas and
                  Satoshi Matsuoka},
  title        = {Designing and accelerating spiking neural networks using OpenCL for
                  FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {255--258},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280154},
  doi          = {10.1109/FPT.2017.8280154},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/PodobasM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SalmanDK17,
  author       = {Ahmad Salman and
                  William Diehl and
                  Jens{-}Peter Kaps},
  title        = {A light-weight hardware/software co-design for pairing-based cryptography
                  with low power and energy consumption},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {235--238},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280149},
  doi          = {10.1109/FPT.2017.8280149},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/SalmanDK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ShimodaSN17,
  author       = {Masayuki Shimoda and
                  Shimpei Sato and
                  Hiroki Nakahara},
  title        = {All binarized convolutional neural network and its implementation
                  on an {FPGA}},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {291--294},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280163},
  doi          = {10.1109/FPT.2017.8280163},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/ShimodaSN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SitKA17,
  author       = {Mankit Sit and
                  Ryosuke Kazami and
                  Hideharu Amano},
  title        = {FPGA-based accelerator for losslessly quantized convolutional neural
                  networks},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {295--298},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280164},
  doi          = {10.1109/FPT.2017.8280164},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/SitKA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SittelMKZPJ17,
  author       = {Patrick Sittel and
                  Konrad M{\"{o}}ller and
                  Martin Kumm and
                  Peter Zipf and
                  Bogdan Pasca and
                  Mark Jervis},
  title        = {Model-based hardware design based on compatible sets of isomorphic
                  subgraphs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {199--202},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280140},
  doi          = {10.1109/FPT.2017.8280140},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/SittelMKZPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SunLWAN17,
  author       = {Rongdi Sun and
                  Peilin Liu and
                  Jun Wang and
                  Cecil Accetti and
                  Abid A. Naqvi},
  title        = {A 42fps full-HD {ORB} feature extraction accelerator with reduced
                  memory overhead},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {183--190},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280137},
  doi          = {10.1109/FPT.2017.8280137},
  timestamp    = {Wed, 17 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/SunLWAN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TharakaWPVP17,
  author       = {P. M. K. Tharaka and
                  D. M. D. Wijerathne and
                  Navoda Perera and
                  Dinushan Vishwajith and
                  Ajith Pasqual},
  title        = {Runtime rule-reconfigurable high throughput {NIPS} on {FPGA}},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {251--254},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280153},
  doi          = {10.1109/FPT.2017.8280153},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/TharakaWPVP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TsoeunyaneWI17,
  author       = {Lekhobola J. Tsoeunyane and
                  Simon Winberg and
                  Michael Inggs},
  title        = {An {IP} core integration tool-flow for prototyping software-defined
                  radios using static dataflow with access patterns},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {88--95},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280125},
  doi          = {10.1109/FPT.2017.8280125},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/TsoeunyaneWI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TuricuCV17,
  author       = {Dan Cristian Turicu and
                  Octavian Cret and
                  Lucia Vacariu},
  title        = {High performance serial {ATA} Gen3 controllers on {FPGA} devices},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {32--39},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280118},
  doi          = {10.1109/FPT.2017.8280118},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/TuricuCV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/VercruyceVS17,
  author       = {Dries Vercruyce and
                  Elias Vansteenkiste and
                  Dirk Stroobandt},
  title        = {Liquid: High quality scalable placement for large heterogeneous FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {17--24},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280116},
  doi          = {10.1109/FPT.2017.8280116},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/VercruyceVS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WangXJ17,
  author       = {Dong Wang and
                  Ke Xu and
                  Diankun Jiang},
  title        = {PipeCNN: An OpenCL-based open-source {FPGA} accelerator for convolution
                  neural networks},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {279--282},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280160},
  doi          = {10.1109/FPT.2017.8280160},
  timestamp    = {Thu, 16 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WangXJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WaslyPK17,
  author       = {Saud Wasly and
                  Rodolfo Pellizzoni and
                  Nachiket Kapre},
  title        = {HopliteRT: An efficient {FPGA} NoC for real-time applications},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {64--71},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280122},
  doi          = {10.1109/FPT.2017.8280122},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WaslyPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WatanabeKTHN17,
  author       = {Yoshikazu Watanabe and
                  Yuki Kobayashi and
                  Takashi Takenaka and
                  Takeo Hosomi and
                  Yuichi Nakamura},
  title        = {Accelerating {NFV} application using {CPU-FPGA} tightly coupled architecture},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {136--143},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280131},
  doi          = {10.1109/FPT.2017.8280131},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WatanabeKTHN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WintersteinC17,
  author       = {Felix Winterstein and
                  George A. Constantinides},
  title        = {Pass a pointer: Exploring shared virtual memory abstractions in OpenCL
                  tools for FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {104--111},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280127},
  doi          = {10.1109/FPT.2017.8280127},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WintersteinC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WongSWS17,
  author       = {Justin S. J. Wong and
                  Runbin Shi and
                  Maolin Wang and
                  Hayden Kwok{-}Hay So},
  title        = {Ultra-low latency continuous block-parallel stream windowing using
                  {FPGA} on-chip memory},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {56--63},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280121},
  doi          = {10.1109/FPT.2017.8280121},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WongSWS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YangJLZWW17,
  author       = {Moucheng Yang and
                  Jifang Jin and
                  Zhehao Li and
                  Xuegong Zhou and
                  Shaojun Wang and
                  Lingli Wang},
  title        = {A scalable hybrid architecture for high performance data-parallel
                  applications},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {191--194},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280138},
  doi          = {10.1109/FPT.2017.8280138},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YangJLZWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YazdanshenasB17,
  author       = {Sadegh Yazdanshenas and
                  Vaughn Betz},
  title        = {Automatic circuit design and modelling for heterogeneous FPGAs},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {9--16},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280115},
  doi          = {10.1109/FPT.2017.8280115},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YazdanshenasB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YingerNCLMSMS17,
  author       = {Jack Yinger and
                  Eriko Nurvitadhi and
                  Davor Capalija and
                  Andrew C. Ling and
                  Debbie Marr and
                  Krishnan Srivatsan and
                  Duncan J. M. Moss and
                  Suchit Subhaschandra},
  title        = {Customizable {FPGA} OpenCL matrix multiply design template for deep
                  neural networks},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {259--262},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280155},
  doi          = {10.1109/FPT.2017.8280155},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YingerNCLMSMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YuHNQG0Y17,
  author       = {Jincheng Yu and
                  Yiming Hu and
                  Xuefei Ning and
                  Jiantao Qiu and
                  Kaiyuan Guo and
                  Yu Wang and
                  Huazhong Yang},
  title        = {Instruction driven cross-layer {CNN} accelerator with winograd transformation
                  on {FPGA}},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {227--230},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280147},
  doi          = {10.1109/FPT.2017.8280147},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YuHNQG0Y17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YuanWZXH17,
  author       = {Junqi Yuan and
                  Lingli Wang and
                  Xuegong Zhou and
                  Yinshui Xia and
                  Jianping Hu},
  title        = {{RBSA:} Range-based simulated annealing for {FPGA} placement},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280114},
  doi          = {10.1109/FPT.2017.8280114},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YuanWZXH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ZhaoLWY17,
  author       = {Baofu Zhao and
                  Yubin Li and
                  Yu Wang and
                  Huazhong Yang},
  title        = {Streaming sorting network based {BWT} acceleration on {FPGA} for lossless
                  compression},
  booktitle    = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  pages        = {247--250},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/FPT.2017.8280152},
  doi          = {10.1109/FPT.2017.8280152},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/ZhaoLWY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/fpt/2017,
  title        = {International Conference on Field Programmable Technology, {FPT} 2017,
                  Melbourne, Australia, December 11-13, 2017},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8269417/proceeding},
  isbn         = {978-1-5386-2656-6},
  timestamp    = {Mon, 17 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics