Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2015.bht:"
@inproceedings{DBLP:conf/date/0001B15, author = {Francesco Conti and Luca Benini}, editor = {Wolfgang Nebel and David Atienza}, title = {A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {683--688}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755910}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/0001B15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/0001CY15, author = {Jian Kuang and Wing{-}Kai Chow and Evangeline F. Y. Young}, editor = {Wolfgang Nebel and David Atienza}, title = {A robust approach for process variation aware mask optimization}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1591--1594}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757180}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/0001CY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/0001KVSMA15, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli and Rishad Ahmed Shafik and Geoff V. Merrett and Bashir M. Al{-}Hashimi}, editor = {Wolfgang Nebel and David Atienza}, title = {Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {43--48}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755764}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/0001KVSMA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AfacanBPDB15, author = {Engin Afacan and G{\"{o}}nen{\c{c}} Berkol and Ali Emre Pusane and G{\"{u}}nhan D{\"{u}}ndar and I. Faik Baskaya}, editor = {Wolfgang Nebel and David Atienza}, title = {A hybrid Quasi Monte Carlo method for yield aware analog circuit sizing tool}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1225--1228}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757096}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AfacanBPDB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmadyanGNCV15, author = {Seyed Nematollah Ahmadyan and Chenjie Gu and Suriyaprakash Natarajan and Eli Chiprout and Shobha Vasudevan}, editor = {Wolfgang Nebel and David Atienza}, title = {Fast eye diagram analysis for high-speed {CMOS} circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1377--1382}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757133}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AhmadyanGNCV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhsanK15, author = {Muhammad Ahsan and Jungsang Kim}, editor = {Wolfgang Nebel and David Atienza}, title = {Optimization of quantum computer architecture using a resource-performance simulator}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1108--1113}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757070}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AhsanK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AmirhosseinRBCM15, author = {Masoud Shahshahani Amirhossein and Paolo Motto Ros and Alberto Bonanno and Marco Crepaldi and Maurizio Martina and Danilo Demarchi and Guido Masera}, editor = {Wolfgang Nebel and David Atienza}, title = {An all-digital spike-based ultra-low-power {IR-UWB} dynamic average threshold crossing scheme for muscle force wireless transmission}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1479--1484}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757154}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AmirhosseinRBCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AndradeMVAPL15, author = {Liliana Andrade and Torsten Maehne and Alain Vachoux and C{\'{e}}dric Ben Aoun and Fran{\c{c}}ois P{\^{e}}cheux and Marie{-}Minerve Lou{\"{e}}rat}, editor = {Wolfgang Nebel and David Atienza}, title = {Pre-simulation symbolic analysis of synchronization issues between discrete event and timed data flow models of computation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1671--1676}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757199}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AndradeMVAPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AntoniadisKEBS15, author = {Charalampos Antoniadis and Georgios Karakonstantis and Nestor E. Evmorfopoulos and Andreas Peter Burg and George I. Stamoulis}, editor = {Wolfgang Nebel and David Atienza}, title = {On the statistical memory architecture exploration and optimization}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {543--548}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755876}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AntoniadisKEBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ArumugamSAPUBPY15, author = {Guru Prakash Arumugam and Prashanth Srikanthan and John Augustine and Krishna V. Palem and Eli Upfal and Ayush Bhargava and Parishkrati and Sreelatha Yenugula}, editor = {Wolfgang Nebel and David Atienza}, title = {Novel inexact memory aware algorithm co-design for energy efficient computation: algorithmic principles}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {752--757}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755925}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ArumugamSAPUBPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwanoHS15, author = {Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, editor = {Wolfgang Nebel and David Atienza}, title = {{ECRIPSE:} an efficient method for calculating RTN-induced failure probability of an {SRAM} cell}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {549--554}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755877}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwanoHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzarkhishRLB15, author = {Erfan Azarkhish and Davide Rossi and Igor Loi and Luca Benini}, editor = {Wolfgang Nebel and David Atienza}, title = {High performance {AXI-4.0} based interconnect for extensible smart memory cubes}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1317--1322}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757119}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AzarkhishRLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BajajNMS15, author = {Nikunj Bajaj and Pierluigi Nuzzo and Michael Masin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wolfgang Nebel and David Atienza}, title = {Optimized selection of reliable and cost-effective cyber-physical system architectures}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {561--566}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755880}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BajajNMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BalboniFB15, author = {Marco Balboni and Jos{\'{e}} Flich and Davide Bertozzi}, editor = {Wolfgang Nebel and David Atienza}, title = {Synergistic use of multiple on-chip networks for ultra-low latency and scalable distributed routing reconfiguration}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {806--811}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755936}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BalboniFB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaldwinBRPB15, author = {Rebecca Baldwin and Stan Bobovych and Ryan W. Robucci and Chintan Patel and Nilanjan Banerjee}, editor = {Wolfgang Nebel and David Atienza}, title = {Gait analysis for fall prediction using hierarchical textile-based capacitive sensor arrays}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1293--1298}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757114}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BaldwinBRPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BanciuOW15, author = {Valentina Banciu and Elisabeth Oswald and Carolyn Whitnall}, editor = {Wolfgang Nebel and David Atienza}, title = {Reliable information extraction for single trace attacks}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {133--138}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755783}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BanciuOW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaranowskiFKLTW15, author = {Rafal Baranowski and Farshad Firouzi and Saman Kiamehr and Chang Liu and Mehdi Baradaran Tahoori and Hans{-}Joachim Wunderlich}, editor = {Wolfgang Nebel and David Atienza}, title = {On-line prediction of NBTI-induced aging rates}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {589--592}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755886}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BaranowskiFKLTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BarraganL15, author = {Manuel J. Barrag{\'{a}}n and Gildas L{\'{e}}ger}, editor = {Wolfgang Nebel and David Atienza}, title = {Feature selection for alternate test using wrappers: application to an {RF} {LNA} case study}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1229--1232}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757097}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BarraganL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Baruah15, author = {Sanjoy K. Baruah}, editor = {Wolfgang Nebel and David Atienza}, title = {The federated scheduling of constrained-deadline sporadic {DAG} task systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1323--1328}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757121}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Baruah15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BergmanBKKMNOPR15, author = {Stephen Bergman and Gabor Bobok and Walter Kowalski and Shlomit Koyfman and Shiri Moran and Ziv Nevo and Avigail Orni and Viresh Paruthi and Wolfgang Roesner and Gil Shurek and Vasantha Vuyyuru}, editor = {Wolfgang Nebel and David Atienza}, title = {Designer-level verification: an industrial experience story}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {410--411}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755846}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BergmanBKKMNOPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BerryhillV15, author = {Ryan Berryhill and Andreas G. Veneris}, editor = {Wolfgang Nebel and David Atienza}, title = {Automated rectification methodologies to functional state-space unreachability}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1401--1406}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757138}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BerryhillV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BiewerAGSH15, author = {Alexander Biewer and Benjamin Andres and Jens Gladigau and Torsten Schaub and Christian Haubelt}, editor = {Wolfgang Nebel and David Atienza}, title = {A symbolic system synthesis approach for hard real-time systems based on coordinated SMT-solving}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {357--362}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755834}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BiewerAGSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BillointSRVBFRC15, author = {Olivier Billoint and Hossam Sarhan and Iyad Rayane and Maud Vinet and Perrine Batude and Claire Fenouillet{-}B{\'{e}}ranger and Olivier Rozeau and Gerald Cibrario and Fabien Deprat and A. Fustier and Julien Michallet and Olivier Faynot and Ogun Turkyilmaz and Jean{-}Fr{\'{e}}d{\'{e}}ric Christmann and S{\'{e}}bastien Thuries and Fabien Clermidy}, editor = {Wolfgang Nebel and David Atienza}, title = {A comprehensive study of monolithic 3D cell on cell design using commercial 2D tool}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1192--1196}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757088}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BillointSRVBFRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BiondiB15, author = {Alessandro Biondi and Giorgio C. Buttazzo}, editor = {Wolfgang Nebel and David Atienza}, title = {Engine control: task modeling and analysis}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {525--530}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755872}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BiondiB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BishnoiLGF15, author = {Rimpy Bishnoi and Vijay Laxmi and Manoj Singh Gaur and Jos{\'{e}} Flich}, editor = {Wolfgang Nebel and David Atienza}, title = {d\({}^{\mbox{2}}\)-LBDR: distance-driven routing to handle permanent failures in 2D mesh NOCs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {800--805}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755935}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BishnoiLGF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Bogdan15, author = {Paul Bogdan}, editor = {Wolfgang Nebel and David Atienza}, title = {A cyber-physical systems approach to personalized medicine: challenges and opportunities for noc-based multicore platforms}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {253--258}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755808}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Bogdan15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BokhariJSHP15, author = {Haseeb Bokhari and Haris Javaid and Muhammad Shafique and J{\"{o}}rg Henkel and Sri Parameswaran}, editor = {Wolfgang Nebel and David Atienza}, title = {Malleable NoC: dark silicon inspired adaptable Network-on-Chip}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1245--1248}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757101}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BokhariJSHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFPS15, author = {Nicola Bombieri and Riccardo Filippozzi and Graziano Pravadelli and Francesco Stefanni}, editor = {Wolfgang Nebel and David Atienza}, title = {{RTL} property abstraction for {TLM} assertion-based verification}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {85--90}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755772}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BombieriFPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BortolottiMBRSB15, author = {Daniele Bortolotti and Mauro Mangia and Andrea Bartolini and Riccardo Rovatti and Gianluca Setti and Luca Benini}, editor = {Wolfgang Nebel and David Atienza}, title = {An ultra-low power dual-mode {ECG} monitor for healthcare and wellness}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1611--1616}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757186}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BortolottiMBRSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrachtendorfB15, author = {Hans Georg Brachtendorf and Kai Bittner}, editor = {Wolfgang Nebel and David Atienza}, title = {Initial transient response of oscillators with long settling time}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1162--1167}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757081}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BrachtendorfB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrennaBBL15, author = {Stefano Brenna and Andrea Bonetti and Andrea Bonfanti and Andrea L. Lacaita}, editor = {Wolfgang Nebel and David Atienza}, title = {A tool for the assisted design of charge redistribution {SAR} ADCs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1265--1268}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757106}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BrennaBBL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BringmannEGGMSS15, author = {Oliver Bringmann and Wolfgang Ecker and Andreas Gerstlauer and Ajay Goyal and Daniel Mueller{-}Gritschneder and Prasanth Sasidharan and Simranjit Singh}, editor = {Wolfgang Nebel and David Atienza}, title = {The next generation of virtual prototyping: ultra-fast yet accurate simulation of {HW/SW} systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1698--1707}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757206}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BringmannEGGMSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BruggerVWTK15, author = {Christian Brugger and Javier Alejandro Varela and Norbert Wehn and Songyin Tang and Ralf Korn}, editor = {Wolfgang Nebel and David Atienza}, title = {Reverse longstaff-schwartz american option pricing on hybrid {CPU/FPGA} systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1599--1602}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757182}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BruggerVWTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrunduPAGRRM15, author = {Francesco Gavino Brundu and Edoardo Patti and Andrea Acquaviva and Michelangelo Grosso and Gaetano Rascon{\`{a}} and Salvatore Rinaudo and Enrico Macii}, editor = {Wolfgang Nebel and David Atienza}, title = {A new distributed framework for integration of district energy data from heterogeneous devices}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {992--993}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757044}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BrunduPAGRRM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BurnsSY15, author = {Frank P. Burns and Danil Sokolov and Alexandre Yakovlev}, editor = {Wolfgang Nebel and David Atienza}, title = {{GALS} synthesis and verification for xMAS models}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1419--1424}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757141}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BurnsSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CakirM15, author = {Bur{\c{c}}in {\c{C}}akir and Sharad Malik}, editor = {Wolfgang Nebel and David Atienza}, title = {Hardware Trojan detection for gate-level ICs using signal correlation based clustering}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {471--476}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755860}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CakirM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CalayirDWP15, author = {Vehbi Calayir and Mohamed Darwish and Jeffrey A. Weldon and Larry T. Pileggi}, editor = {Wolfgang Nebel and David Atienza}, title = {Analog neuromorphic computing enabled by multi-gate programmable resistive devices}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {928--931}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757028}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CalayirDWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CaoBFCCAO15, author = {Ruping Cao and Julien Billoudet and John Ferguson and Lionel Couder and John Cayo and Alexandre Arriordaz and Ian O'Connor}, editor = {Wolfgang Nebel and David Atienza}, title = {{LVS} check for photonic integrated circuits: curvilinear feature extraction and validation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1253--1256}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757103}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CaoBFCCAO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CasagrandeR15, author = {Tony Casagrande and Nagarajan Ranganathan}, editor = {Wolfgang Nebel and David Atienza}, title = {{GTFUZZ:} a novel algorithm for robust dynamic power optimization via gate sizing with fuzzy games}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {677--682}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755908}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CasagrandeR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiMBC15, author = {Marco Casale{-}Rossi and Giovanni De Micheli and Jalal Bagherli and Thierry Collette and Antun Domic and Horst Symanzik and Hossein Yassaie}, editor = {Wolfgang Nebel and David Atienza}, title = {The future of electronics, semiconductors, and design in Europe: panel}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1726--1728}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757212}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiMBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CastrillonTSSJA15, author = {Jer{\'{o}}nimo Castrill{\'{o}}n and Lothar Thiele and Lars Schor and Weihua Sheng and Ben H. H. Juurlink and Mauricio Alvarez{-}Mesa and Angela Pohl and Ralph Jessenberger and Victor Reyes and Rainer Leupers}, editor = {Wolfgang Nebel and David Atienza}, title = {Multi/many-core programming: where are we standing?}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1708--1717}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757208}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CastrillonTSSJA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CasuG15, author = {Mario R. Casu and Paolo Giaccone}, editor = {Wolfgang Nebel and David Atienza}, title = {Rate-based vs delay-based control for {DVFS} in NoC}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1096--1101}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757067}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CasuG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangD15, author = {Che{-}Wei Chang and Rainer D{\"{o}}mer}, editor = {Wolfgang Nebel and David Atienza}, title = {May-happen-in-parallel analysis of {ESL} models using {UPPAAL} model checking}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1567--1570}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757174}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChangD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenEC15, author = {Changlin Chen and Marius Enachescu and Sorin Dan Cotofana}, editor = {Wolfgang Nebel and David Atienza}, title = {Enabling vertical wormhole switching in 3D NoC-bus hybrid systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {507--512}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755868}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenEC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenKXMLYVSCY15, author = {Pai{-}Yu Chen and Deepak Kadetotad and Zihan Xu and Abinash Mohanty and Binbin Lin and Jieping Ye and Sarma B. K. Vrudhula and Jae{-}sun Seo and Yu Cao and Shimeng Yu}, editor = {Wolfgang Nebel and David Atienza}, title = {Technology-design co-optimization of resistive cross-point array for accelerating learning algorithms on chip}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {854--859}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755947}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenKXMLYVSCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLH15, author = {Ke Chen and Fabrizio Lombardi and Jie Han}, editor = {Wolfgang Nebel and David Atienza}, title = {An approximate voting scheme for reliable computing}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {293--296}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755818}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenM15, author = {Zhuo Chen and Diana Marculescu}, editor = {Wolfgang Nebel and David Atienza}, title = {Distributed reinforcement learning for power limited many-core system performance optimization}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1521--1526}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757163}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenWLL15, author = {Hsin{-}Chen Chen and Cheng{-}Rong Wu and Katherine Shu{-}Min Li and Kuen{-}Jong Lee}, editor = {Wolfgang Nebel and David Atienza}, title = {A breakpoint-based silicon debug technique with cycle-granularity for handshake-based SoC}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1281--1284}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757110}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenWY15, author = {Xiaoming Chen and Yu Wang and Huazhong Yang}, editor = {Wolfgang Nebel and David Atienza}, title = {A fast parallel sparse solver for SPICE-based circuit simulators}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {205--210}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755798}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenYCK15, author = {Fu{-}Hsin Chen and Ming{-}Chang Yang and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, editor = {Wolfgang Nebel and David Atienza}, title = {{PWL:} a progressive wear leveling to minimize data migration overheads for nand flash devices}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1209--1212}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757092}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenYCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenYQFM15, author = {Mingsong Chen and Daian Yue and Xiaoke Qin and Xin Fu and Prabhat Mishra}, editor = {Wolfgang Nebel and David Atienza}, title = {Variation-aware evaluation of MPSoC task allocation and scheduling strategies using statistical model checking}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {199--204}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755797}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenYQFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenZWWWZ15, author = {Xinke Chen and Guangfei Zhang and Huandong Wang and Ruiyang Wu and Peng Wu and Longbing Zhang}, editor = {Wolfgang Nebel and David Atienza}, title = {{MRP:} mix real cores and pseudo cores for FPGA-based chip-multiprocessor simulation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {211--216}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755799}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenZWWWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChungSS15, author = {Woohyun Chung and Seongbo Shim and Youngsoo Shin}, editor = {Wolfgang Nebel and David Atienza}, title = {Identifying redundant inter-cell margins and its application to reducing routing congestion}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1659--1664}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757196}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChungSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CilardoG15, author = {Alessandro Cilardo and Luca Gallo}, editor = {Wolfgang Nebel and David Atienza}, title = {Interplay of loop unrolling and multidimensional memory partitioning in {HLS}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {163--168}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755789}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CilardoG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CilingirogluZUK15, author = {T. Berkin Cilingiroglu and Mahmoud Zangeneh and Aydan Uyar and W. Clem Karl and Janusz Konrad and Ajay Joshi and Bennett B. Goldberg and M. Selim {\"{U}}nl{\"{u}}}, editor = {Wolfgang Nebel and David Atienza}, title = {Dictionary-based sparse representation for resolution improvement in laser voltage imaging of {CMOS} integrated circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {597--600}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755888}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CilingirogluZUK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ClercqRVV15, author = {Ruan de Clercq and Sujoy Sinha Roy and Frederik Vercauteren and Ingrid Verbauwhede}, editor = {Wolfgang Nebel and David Atienza}, title = {Efficient software implementation of ring-LWE encryption}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {339--344}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755830}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ClercqRVV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ConficoniBTTB15, author = {Christian Conficoni and Andrea Bartolini and Andrea Tilli and Giampietro Tecchiolli and Luca Benini}, editor = {Wolfgang Nebel and David Atienza}, title = {Energy-aware cooling for hot-water cooled supercomputers}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1353--1358}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757127}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ConficoniBTTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ConstantinWKCB15, author = {Jeremy Constantin and Lai Wang and Georgios Karakonstantis and Anupam Chattopadhyay and Andreas Burg}, editor = {Wolfgang Nebel and David Atienza}, title = {Exploiting dynamic timing margins in microprocessors for frequency-over-scaling with instruction-based clock adjustment}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {381--386}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755839}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ConstantinWKCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CornaFNS15, author = {Andrea Corna and L. Fontana and A. A. Nacci and Donatella Sciuto}, editor = {Wolfgang Nebel and David Atienza}, title = {Occupancy detection via iBeacon on Android devices for smart building management}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {629--632}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755896}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CornaFNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CourbonLFT15, author = {Franck Courbon and Philippe Loubet{-}Moundi and Jacques J. A. Fournier and Assia Tria}, editor = {Wolfgang Nebel and David Atienza}, title = {A high efficiency hardware trojan detection technique based on fast {SEM} imaging}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {788--793}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755932}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CourbonLFT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CuiZSW15, author = {Xiaotong Cui and Minhui Zou and Liang Shi and Kaijie Wu}, editor = {Wolfgang Nebel and David Atienza}, title = {Towards trustable storage using SSDs with proprietary {FTL}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1213--1216}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757093}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CuiZSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DamschenRVP15, author = {Marvin Damschen and Heinrich Riebler and Gavin Vaz and Christian Plessl}, editor = {Wolfgang Nebel and David Atienza}, title = {Transparent offloading of computational hotspots from binary code to Xeon Phi}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1078--1083}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757063}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DamschenRVP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DaneseGP15, author = {Alessandro Danese and Tara Ghasempouri and Graziano Pravadelli}, editor = {Wolfgang Nebel and David Atienza}, title = {Automatic extraction of assertions from execution traces of behavioural models}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {67--72}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755769}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DaneseGP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DarkeCVSM15, author = {Priyanka Darke and Bharti Chimdyalwar and R. Venkatesh and Ulka Shrotri and Ravindra Metta}, editor = {Wolfgang Nebel and David Atienza}, title = {Over-approximating loops to prove properties using bounded model checking}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1407--1412}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757139}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DarkeCVSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeAntoniDTCC15, author = {Julien Deantoni and Papa Issa Diallo and Ciprian Teodorov and Jo{\"{e}}l Champeau and Beno{\^{\i}}t Combemale}, editor = {Wolfgang Nebel and David Atienza}, title = {Towards a meta-language for the concurrency concern in DSLs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {313--316}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755823}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DeAntoniDTCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DengFDWLTINLCW15, author = {Jiachao Deng and Yuntan Fang and Zidong Du and Ying Wang and Huawei Li and Olivier Temam and Paolo Ienne and David Novo and Xiaowei Li and Yunji Chen and Chengyong Wu}, editor = {Wolfgang Nebel and David Atienza}, title = {Retraining-based timing error mitigation for hardware neural networks}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {593--596}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755887}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DengFDWLTINLCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeyCAM15, author = {Prakash Dey and Abhishek Chakraborty and Avishek Adhikari and Debdeep Mukhopadhyay}, editor = {Wolfgang Nebel and David Atienza}, title = {Improved practical differential fault analysis of grain-128}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {459--464}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755858}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DeyCAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DghaisR15, author = {Wael Dghais and Jonathan Rodriguez}, editor = {Wolfgang Nebel and David Atienza}, title = {Empirical modelling of {FDSOI} {CMOS} inverter for signal/power integrity simulation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1555--1558}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757171}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DghaisR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DiaoLWW15, author = {Yi Diao and Tak{-}Kei Lam and Xing Wei and Yu{-}Liang Wu}, editor = {Wolfgang Nebel and David Atienza}, title = {A coupling area reduction technique applying {ODC} shifting}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1461--1466}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757150}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DiaoLWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DinizSDBH15, author = {Cl{\'{a}}udio Machado Diniz and Muhammad Shafique and Felipe Vogel Dalcin and Sergio Bampi and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {A deblocking filter hardware architecture for the high efficiency video coding standard}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1509--1514}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757160}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DinizSDBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoblerHRPRB15, author = {Markus Dobler and Manuel Harrant and Monica Rafaila and Georg Pelz and Wolfgang Rosenstiel and Martin Bogdan}, editor = {Wolfgang Nebel and David Atienza}, title = {Bordersearch: an adaptive identification of failure regions}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1036--1041}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757054}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoblerHRPRB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoumaAP15, author = {Roeland Douma and Sebastian Altmeyer and Andy D. Pimentel}, editor = {Wolfgang Nebel and David Atienza}, title = {Fast and precise cache performance estimation for out-of-order execution}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1132--1137}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757075}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoumaAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoustiP15, author = {Mohammad Javad Dousti and Massoud Pedram}, editor = {Wolfgang Nebel and David Atienza}, title = {Power-efficient control of thermoelectric coolers considering distributed hot spots}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {966--971}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757037}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoustiP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoustiPP15, author = {Mohammad Javad Dousti and Antonio Petraglia and Massoud Pedram}, editor = {Wolfgang Nebel and David Atienza}, title = {Accurate electrothermal modeling of thermoelectric generators}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1603--1606}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757183}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoustiPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DubenSPYAEPP15, author = {Peter D. D{\"{u}}ben and Jeremy Schlachter and Parishkrati and Sreelatha Yenugula and John Augustine and Christian C. Enz and Krishna V. Palem and Tim N. Palmer}, editor = {Wolfgang Nebel and David Atienza}, title = {Opportunities for energy efficient computing: a study of inexact general purpose processors for high-performance and big-data applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {764--769}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755927}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DubenSPYAEPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuongNXWTBYWW15, author = {Luan H. K. Duong and Mahdi Nikdast and Jiang Xu and Zhehui Wang and Yvain Thonnart and S{\'{e}}bastien Le Beux and Peng Yang and Xiaowen Wu and Zhifei Wang}, editor = {Wolfgang Nebel and David Atienza}, title = {Coherent crosstalk noise analyses in ring-based optical interconnects}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {501--506}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755867}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DuongNXWTBYWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DupuisBFNR15, author = {Sophie Dupuis and Papa{-}Sidi Ba and Marie{-}Lise Flottes and Giorgio Di Natale and Bruno Rouzeyre}, editor = {Wolfgang Nebel and David Atienza}, title = {New testing procedure for finding insertion sites of stealthy hardware trojans}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {776--781}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755930}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DupuisBFNR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuqueDY15, author = {Laura A. Rozo Duque and Jose Manuel Monsalve Diaz and Chengmo Yang}, editor = {Wolfgang Nebel and David Atienza}, title = {Improving MPSoC reliability through adapting runtime task schedule based on time-correlated fault behavior}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {818--823}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755939}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DuqueDY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EgilmezMME15, author = {Begum Egilmez and Gokhan Memik and Seda Ogrenci Memik and Oguz Ergin}, editor = {Wolfgang Nebel and David Atienza}, title = {User-specific skin temperature-aware {DVFS} for smartphones}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1217--1220}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757094}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/EgilmezMME15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ErolOSPB15, author = {Osman Emir Erol and Sule Ozev and Chandra K. H. Suresh and Rubin A. Parekhji and Lakshmanan Balasubramanian}, editor = {Wolfgang Nebel and David Atienza}, title = {On-chip measurement of bandgap reference voltage using a small form factor {VCO} based zoom-in {ADC}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1559--1562}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757172}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ErolOSPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FangHYZLG15, author = {Chenlei Fang and Qicheng Huang and Fan Yang and Xuan Zeng and Xin Li and Chenjie Gu}, editor = {Wolfgang Nebel and David Atienza}, title = {Efficient bit error rate estimation for high-speed link by Bayesian model fusion}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1024--1029}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757052}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FangHYZLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FaravelonFP15, author = {Antoine Faravelon and Nicolas Fournel and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, editor = {Wolfgang Nebel and David Atienza}, title = {Fast and accurate branch predictor simulation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {317--320}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755824}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FaravelonFP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FarkashHS15, author = {Monica Farkash and Bryan G. Hickerson and Balavinayagam Samynathan}, editor = {Wolfgang Nebel and David Atienza}, title = {Data mining diagnostics and bug MRIs for {HW} bug localization}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {79--84}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755771}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FarkashHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FarserotuDBVQKE15, author = {John R. Farserotu and Jean{-}Dominique Decotignie and Jacek Baborowski and P.{-}N. Volpe and C. R. Quir{\'{o}}s and Vladimir Kopta and Christian C. Enz and S. Lacour and H. Michaud and R. Martuzzi and Volker M. Koch and H. Huang and T. Li and Christian Antfolk}, editor = {Wolfgang Nebel and David Atienza}, title = {Tactile prosthetics in WiseSkin}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1695--1697}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757204}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FarserotuDBVQKE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FeldS15, author = {Timo Feld and Frank Slomka}, editor = {Wolfgang Nebel and David Atienza}, title = {Sufficient response time analysis considering dependencies between rate-dependent tasks}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {519--524}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755871}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FeldS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FernandoWNKC15, author = {Shakith Fernando and Mark Wijtvliet and Cedric Nugteren and Akash Kumar and Henk Corporaal}, editor = {Wolfgang Nebel and David Atienza}, title = {(AS)\({}^{\mbox{2}}\): accelerator synthesis using algorithmic skeletons for rapid design space exploration}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {305--308}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755821}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FernandoWNKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FrancqF15, author = {Julien Francq and Florian Frick}, editor = {Wolfgang Nebel and David Atienza}, title = {Introduction to hardware trojan detection methods}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {770--775}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755929}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FrancqF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FuLX15, author = {Chenchen Fu and Minming Li and Chun Jason Xue}, editor = {Wolfgang Nebel and David Atienza}, title = {Race to idle or not: balancing the memory sleep time with {DVS} for energy minimization}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {13--18}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755757}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FuLX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FuWH15, author = {Sheng{-}Yu Fu and Jan{-}Jan Wu and Wei{-}Chung Hsu}, editor = {Wolfgang Nebel and David Atienza}, title = {Improving {SIMD} code generation in {QEMU}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1233--1236}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757098}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FuWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FuZLX15, author = {Chenchen Fu and Yingchao Zhao and Minming Li and Chun Jason Xue}, editor = {Wolfgang Nebel and David Atienza}, title = {Maximizing common idle time on multi-core processors with shared memory}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {900--903}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757021}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FuZLX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FuggerNNS15, author = {Matthias F{\"{u}}gger and Robert Najvirt and Thomas Nowak and Ulrich Schmid}, editor = {Wolfgang Nebel and David Atienza}, title = {Towards binary circuit models that faithfully capture physical solvability}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1455--1460}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757149}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FuggerNNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaillardonTSTOS15, author = {Pierre{-}Emmanuel Gaillardon and Xifan Tang and Jury Sandrini and Maxime Thammasack and Somayyeh Rahimian Omam and Davide Sacchetto and Yusuf Leblebici and Giovanni De Micheli}, editor = {Wolfgang Nebel and David Atienza}, title = {A ultra-low-power {FPGA} based on monolithically integrated RRAMs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1203--1208}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757090}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GaillardonTSTOS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GarciaMSN15, author = {Jos{\'{e}} C. Garc{\'{\i}}a and Juan A. Montiel{-}Nelson and Javier Sosa and Saeid Nooshabadi}, editor = {Wolfgang Nebel and David Atienza}, title = {High performance single supply {CMOS} inverter level up shifter for multi: supply voltages domains}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1273--1276}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757108}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GarciaMSN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GerumBR15, author = {Christoph Gerum and Oliver Bringmann and Wolfgang Rosenstiel}, editor = {Wolfgang Nebel and David Atienza}, title = {Source level performance simulation of {GPU} cores}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {217--222}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755800}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GerumBR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GheolbanoiuPC15, author = {Alexandru Gheolbanoiu and Lucian Petrica and Sorin Cotofana}, editor = {Wolfgang Nebel and David Atienza}, title = {Hybrid adaptive clock management for {FPGA} processor acceleration}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1359--1364}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757129}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GheolbanoiuPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GiefersPH15, author = {Heiner Giefers and Raphael Polig and Christoph Hagleitner}, editor = {Wolfgang Nebel and David Atienza}, title = {Accelerating arithmetic kernels with coherent attached {FPGA} coprocessors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1072--1077}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757062}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GiefersPH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GitinaWRSSB15, author = {Karina Gitina and Ralf Wimmer and Sven Reimer and Matthias Sauer and Christoph Scholl and Bernd Becker}, editor = {Wolfgang Nebel and David Atienza}, title = {Solving {DQBF} through quantifier elimination}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1617--1622}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757188}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GitinaWRSSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GomezPBRBFG15, author = {Andres Gomez and Christian Pinto and Andrea Bartolini and Davide Rossi and Luca Benini and Hamed Fatemi and Jos{\'{e}} Pineda de Gyvez}, editor = {Wolfgang Nebel and David Atienza}, title = {Reducing energy consumption in microcontroller-based platforms with low design margin co-processors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {269--272}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755812}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GomezPBRBFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GomonyGAAG15, author = {Manil Dev Gomony and Jamie Garside and Benny Akesson and Neil C. Audsley and Kees Goossens}, editor = {Wolfgang Nebel and David Atienza}, title = {A generic, scalable and globally arbitrated memory tree for shared {DRAM} access in real-time systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {193--198}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755795}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GomonyGAAG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoncalvesLCTCB15, author = {Hugo R. Gon{\c{c}}alves and Xin Li and Miguel V. Correia and V{\'{\i}}tor Tavares and John M. Carulli Jr. and Kenneth M. Butler}, editor = {Wolfgang Nebel and David Atienza}, title = {A fast spatial variation modeling algorithm for efficient test cost reduction of analog/RF circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1042--1047}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757055}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GoncalvesLCTCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GorevUD15, author = {Maksim Gorev and Raimund Ubar and Sergei Devadze}, editor = {Wolfgang Nebel and David Atienza}, title = {Fault simulation with parallel exact critical path tracing in multiple core environment}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1180--1185}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757085}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GorevUD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoudVRR15, author = {A. Arun Goud and Rangharajan Venkatesan and Anand Raghunathan and Kaushik Roy}, editor = {Wolfgang Nebel and David Atienza}, title = {Asymmetric underlapped FinFET based robust {SRAM} design at 7nm node}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {659--664}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755905}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GoudVRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuanTW015, author = {Nan Guan and Yue Tang and Yang Wang and Wang Yi}, editor = {Wolfgang Nebel and David Atienza}, title = {Delay analysis of structural real-time workload}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {223--228}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755802}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuanTW015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuardatiCFB15, author = {Leonardo Guardati and Filippo Casamassima and Elisabetta Farella and Luca Benini}, editor = {Wolfgang Nebel and David Atienza}, title = {Paper, pen and ink: an innovative system and software framework to assist writing rehabilitation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1473--1478}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757153}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuardatiCFB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HadjisCSHTA15, author = {Stefan Hadjis and Andrew Canis and Ryoya Sobue and Yuko Hara{-}Azumi and Hiroyuki Tomiyama and Jason Helge Anderson}, editor = {Wolfgang Nebel and David Atienza}, title = {Profiling-driven multi-cycling in {FPGA} high-level synthesis}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {31--36}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755761}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HadjisCSHTA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaghbayanRFLPNT15, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Mohammad Fattah and Pasi Liljeberg and Juha Plosila and Zainalabedin Navabi and Hannu Tenhunen}, editor = {Wolfgang Nebel and David Atienza}, title = {Power-aware online testing of manycore systems in the dark silicon era}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {435--440}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755852}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HaghbayanRFLPNT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HamdiouiXNTBCJC15, author = {Said Hamdioui and Lei Xie and Hoang Anh Du Nguyen and Mottaqiallah Taouil and Koen Bertels and Henk Corporaal and Hailong Jiao and Francky Catthoor and Dirk J. Wouters and Eike Linn and Jan van Lunteren}, editor = {Wolfgang Nebel and David Atienza}, title = {Memristor based computation-in-memory architecture for data-intensive applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1718--1725}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757210}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HamdiouiXNTBCJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanFNQ15, author = {Qiushi Han and Ming Fan and Linwei Niu and Gang Quan}, editor = {Wolfgang Nebel and David Atienza}, title = {Energy minimization for fault tolerant scheduling of periodic fixed-priority applications on multiprocessor platforms}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {830--835}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755941}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HanFNQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanyuSOMNM15, author = {Takahiro Hanyu and Daisuke Suzuki and Naoya Onizawa and Shoun Matsunaga and Masanori Natsui and Akira Mochizuki}, editor = {Wolfgang Nebel and David Atienza}, title = {Spintronics-based nonvolatile logic-in-memory architecture towards an ultra-low-power and highly reliable {VLSI} computing paradigm}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1006--1011}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757048}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HanyuSOMNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HashemianSWWCP15, author = {Maryam S. Hashemian and Bhanu Pratap Singh and Francis G. Wolff and Daniel J. Weyer and Steve Clay and Christos A. Papachristou}, editor = {Wolfgang Nebel and David Atienza}, title = {A robust authentication methodology using physically unclonable functions in {DRAM} arrays}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {647--652}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755902}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HashemianSWWCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HerberRWH15, author = {Christian Herber and Andre Oliver Richter and Thomas Wild and Andreas Herkersdorf}, editor = {Wolfgang Nebel and David Atienza}, title = {Real-time capable {CAN} to {AVB} ethernet gateway using frame aggregation and scheduling}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {61--66}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755767}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HerberRWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HernandezA15, author = {Carles Hern{\'{a}}ndez and Jaume Abella}, editor = {Wolfgang Nebel and David Atienza}, title = {Low-cost checkpointing in automotive safety-relevant systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {91--96}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755774}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HernandezA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HollerKRRK15, author = {Andrea H{\"{o}}ller and Nermin Kajtazovic and Tobias Rauter and Kay R{\"{o}}mer and Christian Kreiner}, editor = {Wolfgang Nebel and David Atienza}, title = {Evaluation of diverse compiling for software-fault detection}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {531--536}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755873}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HollerKRRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HoqueMS15, author = {Khaza Anuarul Hoque and Otmane A{\"{\i}}t Mohamed and Yvon Savaria}, editor = {Wolfgang Nebel and David Atienza}, title = {Towards an accurate reliability, availability and maintainability analysis approach for satellite systems based on probabilistic model checking}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1635--1640}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757191}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HoqueMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuQ15, author = {Junjun Hu and Weikang Qian}, editor = {Wolfgang Nebel and David Atienza}, title = {A new approximate adder with low relative error and correct sign calculation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1449--1454}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757148}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangHC15, author = {Shih{-}Lun Huang and Sheng{-}Yi Hung and Chung{-}Ping Chen}, editor = {Wolfgang Nebel and David Atienza}, title = {Clustering-based multi-touch algorithm framework for the tracking problem with a large number of points}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {719--724}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755917}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangKGT15, author = {Pengcheng Huang and Pratyush Kumar and Georgia Giannopoulou and Lothar Thiele}, editor = {Wolfgang Nebel and David Atienza}, title = {Run and be safe: mixed-criticality scheduling with temporary processor speedup}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1329--1334}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757122}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangKGT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangLH15, author = {Chi{-}Mei Huang and Chia{-}Hung Liu and Juinn{-}Dar Huang}, editor = {Wolfgang Nebel and David Atienza}, title = {Volume-oriented sample preparation for reactant minimization on flow-based microfluidic biochips with multi-segment mixers}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1114--1119}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757071}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangTTC15, author = {Shi{-}Yu Huang and Meng{-}Ting Tsai and Kun{-}Han Hans Tsai and Wu{-}Tung Cheng}, editor = {Wolfgang Nebel and David Atienza}, title = {Feedback-bus oscillation ring: a general architecture for delay characterization and test of interconnects}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {924--927}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757027}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangTTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuriauxCS15, author = {Christophe Huriaux and Antoine Courtay and Olivier Sentieys}, editor = {Wolfgang Nebel and David Atienza}, title = {Design flow and run-time management for compressed {FPGA} configurations}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1551--1554}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757170}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuriauxCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Ibing15, author = {Andreas Ibing}, editor = {Wolfgang Nebel and David Atienza}, title = {Architecture description language based retargetable symbolic execution}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {241--246}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755805}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Ibing15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IbrahimC15, author = {Mohamed Ibrahim and Krishnendu Chakrabarty}, editor = {Wolfgang Nebel and David Atienza}, title = {Error recovery in digital microfluidics for personalized medicine}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {247--252}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755807}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IbrahimC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IbrahimHBAABM15, author = {Aya Ibrahim and Pascal Hager and Andrea Bartolini and Federico Angiolini and Marcel Arditi and Luca Benini and Giovanni De Micheli}, editor = {Wolfgang Nebel and David Atienza}, title = {Tackling the bottleneck of delay tables in 3D ultrasound imaging}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1683--1688}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757202}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IbrahimHBAABM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IqtedarHSH15, author = {Shafaq Iqtedar and Osman Hasan and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {Formal probabilistic analysis of distributed dynamic thermal management}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1221--1224}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757095}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IqtedarHSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiRML15, author = {Yuan Ji and Feng Ran and Cong Ma and David J. Lilja}, editor = {Wolfgang Nebel and David Atienza}, title = {A hardware implementation of a radial basis function neural network using stochastic logic}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {880--883}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757016}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JiRML15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiaoMD15, author = {Fanshu Jiao and Sergio Montano and Alex Doboli}, editor = {Wolfgang Nebel and David Atienza}, title = {Knowledge-intensive, causal reasoning for analog circuit topology synthesis in emergent and innovative applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1144--1149}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757078}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JiaoMD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JoostenS15, author = {Sebastiaan J. C. Joosten and Julien Schmaltz}, editor = {Wolfgang Nebel and David Atienza}, title = {Automatic extraction of micro-architectural models of communication fabrics from register transfer level designs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1413--1418}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757140}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JoostenS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JovanovicP15, author = {Philipp Jovanovic and Ilia Polian}, editor = {Wolfgang Nebel and David Atienza}, title = {Fault-based attacks on the Bel-T block cipher family}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {601--604}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755889}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JovanovicP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KadryKMNSPPJS15, author = {Wisam Kadry and Dmitry Krestyashyn and Arkadiy Morgenshtein and Amir Nahir and Vitali Sokhin and Jin Sung Park and Sung{-}Boem Park and Wookyeong Jeong and Jae{-}Cheol Son}, editor = {Wolfgang Nebel and David Atienza}, title = {Comparative study of test generation methods for simulation accelerators}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {321--324}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755825}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KadryKMNSPPJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KainthKNVT15, author = {Meha Kainth and Lekshmi Krishnan and Chaitra Narayana and Sandesh Gubbi Virupaksha and Russell Tessier}, editor = {Wolfgang Nebel and David Atienza}, title = {Hardware-assisted code obfuscation for {FPGA} soft microprocessors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {127--132}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755781}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KainthKNVT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KalaliH15, author = {Ercan Kalali and Ilker Hamzaoglu}, editor = {Wolfgang Nebel and David Atienza}, title = {A low energy 2D adaptive median filter hardware}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {725--729}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755918}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KalaliH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamalIAP15, author = {Mehdi Kamal and Arman Iranfar and Ali Afzali{-}Kusha and Massoud Pedram}, editor = {Wolfgang Nebel and David Atienza}, title = {A thermal stress-aware algorithm for power and temperature management of MPSoCs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {954--959}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757035}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KamalIAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KaneYHSS15, author = {Jason Kane and Qing Yang and Robert Hernandez and Willard Simoneau and Matthew Seaton}, editor = {Wolfgang Nebel and David Atienza}, title = {A neural machine interface architecture for real-time artificial lower limb control}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {633--636}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755897}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KaneYHSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KanounS15, author = {Karim Kanoun and Mihaela van der Schaar}, editor = {Wolfgang Nebel and David Atienza}, title = {Big-data streaming applications scheduling with online learning and concept drift detection}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1547--1550}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757169}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KanounS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KapadiaP15, author = {Nishit Ashok Kapadia and Sudeep Pasricha}, editor = {Wolfgang Nebel and David Atienza}, title = {{VARSHA:} variation and reliability-aware application scheduling with adaptive parallelism in the dark-silicon era}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1060--1065}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757059}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KapadiaP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KarageorgosSRRT15, author = {Ioannis Karageorgos and Michele Stucchi and Praveen Raghavan and Julien Ryckaert and Zsolt Tokei and Diederik Verkest and Rogier Baert and Sushil Sakhare and Wim Dehaene}, editor = {Wolfgang Nebel and David Atienza}, title = {Impact of interconnect multiple-patterning variability on SRAMs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {609--612}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755891}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KarageorgosSRRT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KarkarTMY15, author = {Ammar Karkar and Kin{-}Fai Tong and Terrence S. T. Mak and Alexandre Yakovlev}, editor = {Wolfgang Nebel and David Atienza}, title = {Mixed wire and surface-wave communication fabrics for decentralized on-chip multicasting}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {794--799}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755934}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KarkarTMY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KauerNLSC15, author = {Matthias Kauer and Swaminathan Narayanaswamy and Martin Lukasiewycz and Sebastian Steinhorst and Samarjit Chakraborty}, editor = {Wolfgang Nebel and David Atienza}, title = {Inductor optimization for active cell balancing using geometric programming}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {281--284}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755815}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KauerNLSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhanSH15, author = {Muhammad Usman Karim Khan and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {Power-efficient accelerator allocation in adaptive dark silicon many-core systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {916--919}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757025}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhanSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhanhSKA15, author = {Pham Nam Khanh and Amit Kumar Singh and Akash Kumar and Khin Mi Mi Aung}, editor = {Wolfgang Nebel and David Atienza}, title = {Exploiting loop-array dependencies to accelerate the design space exploration with high level synthesis}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {157--162}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755788}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhanhSKA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhosraviMGT15, author = {Faramarz Khosravi and Malte M{\"{u}}ller and Michael Gla{\ss} and J{\"{u}}rgen Teich}, editor = {Wolfgang Nebel and David Atienza}, title = {Uncertainty-aware reliability analysis and optimization}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {97--102}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755775}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhosraviMGT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimAS15, author = {Jung{-}Eun Kim and Tarek F. Abdelzaher and Lui Sha}, editor = {Wolfgang Nebel and David Atienza}, title = {Schedulability bound for integrated modular avionics partitions}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {37--42}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755762}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimFPSL15, author = {BaekGyu Kim and Lu Feng and Linh T. X. Phan and Oleg Sokolsky and Insup Lee}, editor = {Wolfgang Nebel and David Atienza}, title = {Platform-specific timing verification framework in model-based implementation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {235--240}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755804}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimFPSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimKK15, author = {Jung{-}Hoon Kim and Sang{-}Hoon Kim and Jin{-}Soo Kim}, editor = {Wolfgang Nebel and David Atienza}, title = {Subpage programming for extending the lifetime of {NAND} flash memory}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {555--560}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755878}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimKKC15, author = {Young Geun Kim and Minyong Kim and Jae Min Kim and Sung Woo Chung}, editor = {Wolfgang Nebel and David Atienza}, title = {{M-DTM:} migration-based dynamic thermal management for heterogeneous mobile multi-core processors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1533--1538}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757165}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KobbeBH15, author = {Sebastian Kobbe and Lars Bauer and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {Adaptive on-the-fly application performance modeling for many cores}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {730--735}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755920}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KobbeBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KomalanTPFC15, author = {Manu Perumkunnil Komalan and Christian Tenllado and Jos{\'{e}} Ignacio G{\'{o}}mez P{\'{e}}rez and Francisco Tirado Fern{\'{a}}ndez and Francky Catthoor}, editor = {Wolfgang Nebel and David Atienza}, title = {System level exploration of a {STT-MRAM} based level 1 data-cache}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1311--1316}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757118}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KomalanTPFC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KonstantinouKM15, author = {Charalambos Konstantinou and Anastasis Keliris and Michail Maniatakos}, editor = {Wolfgang Nebel and David Atienza}, title = {Privacy-preserving functional {IP} verification utilizing fully homomorphic encryption}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {333--338}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755829}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KonstantinouKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KriebelRSASH15, author = {Florian Kriebel and Semeen Rehman and Duo Sun and Pau Vilimelis Aceituno and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {{ACSEM:} accuracy-configurable fast soft error masking analysis in combinatorial circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {824--829}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755940}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KriebelRSASH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KroeningLMST15, author = {Daniel Kroening and Lihao Liang and Tom Melham and Peter Schrammel and Michael Tautschnig}, editor = {Wolfgang Nebel and David Atienza}, title = {Effective verification of low-level software with nested interrupts}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {229--234}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755803}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KroeningLMST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KuhnPABR15, author = {Johannes Maximilian K{\"{u}}hn and Dustin Peterson and Hideharu Amano and Oliver Bringmann and Wolfgang Rosenstiel}, editor = {Wolfgang Nebel and David Atienza}, title = {Spatial and temporal granularity limits of body biasing in {UTBB-FDSOI}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {876--879}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757015}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KuhnPABR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarAL15, author = {T. Nandha Kumar and Haider A. F. Almurib and Fabrizio Lombardi}, editor = {Wolfgang Nebel and David Atienza}, title = {Operational fault detection and monitoring of a memristor-based {LUT}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {429--434}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755851}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KumarAL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarLSSH15, author = {Rohit Kumar and Bing Li and Yiren Shen and Ulf Schlichtmann and Jiang Hu}, editor = {Wolfgang Nebel and David Atienza}, title = {Timing verification for adaptive integrated circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1587--1590}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757179}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KumarLSSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KunduBK15, author = {Subhadip Kundu and Parthajit Bhattacharya and Rohit Kapur}, editor = {Wolfgang Nebel and David Atienza}, title = {Fault diagnosis in designs with extreme low pin test data compressors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1285--1288}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757111}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KunduBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KyrtatasSP15, author = {Nikolaos Kyrtatas and Daniele G. Spampinato and Markus P{\"{u}}schel}, editor = {Wolfgang Nebel and David Atienza}, title = {A basic linear algebra compiler for embedded processors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1054--1059}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757058}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KyrtatasSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LaerEMWJ15, author = {Anouk Van Laer and Chamath Ellawala and Muhammad Ridwan Madarbux and Philip M. Watts and Timothy M. Jones}, editor = {Wolfgang Nebel and David Atienza}, title = {Coherence based message prediction for optically interconnected chip multiprocessors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {613--616}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755892}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LaerEMWJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Lastras-Montano15, author = {Miguel Angel Lastras{-}Monta{\~{n}}o and Amirali Ghofrani and Kwang{-}Ting Cheng}, editor = {Wolfgang Nebel and David Atienza}, title = {HReRAM: a hybrid reconfigurable resistive random-access memory}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1299--1304}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757116}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Lastras-Montano15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeCSP15, author = {Yu{-}Min Lee and Chun Chen and JiaXing Song and Kuan{-}Te Pan}, editor = {Wolfgang Nebel and David Atienza}, title = {A {TSV} noise-aware 3-D placer}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1653--1658}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757195}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeCSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeJG15, author = {Dongwook Lee and Lizy K. John and Andreas Gerstlauer}, editor = {Wolfgang Nebel and David Atienza}, title = {Dynamic power and performance back-annotation for fast and accurate functional hardware simulation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1126--1131}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757074}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeJG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeLMHP15, author = {Jinyong Lee and Yongje Lee and Hyungon Moon and Ingoo Heo and Yunheung Paek}, editor = {Wolfgang Nebel and David Atienza}, title = {Extrax: security extension to extract cache resident information for snoop-based external monitors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {151--156}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755786}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeLMHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeSLKKL15, author = {Sangjo Lee and Joonho Song and Wonchang Lee and Doo Hyun Kim and Jaehyun Kim and Shihwa Lee}, editor = {Wolfgang Nebel and David Atienza}, title = {{DSP} based programmable {FHD} {HEVC} decoder}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {972--973}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757039}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeSLKKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Leger15, author = {Gildas L{\'{e}}ger}, editor = {Wolfgang Nebel and David Atienza}, title = {Combining adaptive alternate test and multi-site}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1389--1394}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757135}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Leger15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeleMB15, author = {Alok Lele and Orlando Moreira and Kees van Berkel}, editor = {Wolfgang Nebel and David Atienza}, title = {FP-scheduling for mode-controlled dataflow: a case study}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1257--1260}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757104}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeleMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LezosDM15, author = {Christakis Lezos and Grigoris Dimitroulakos and Konstantinos Masselos}, editor = {Wolfgang Nebel and David Atienza}, title = {Reuse distance analysis for locality optimization in loop-dominated applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1237--1240}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757099}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LezosDM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiDC15, author = {Nan Li and Elena Dubrova and Gunnar Carlsson}, editor = {Wolfgang Nebel and David Atienza}, title = {A scan partitioning algorithm for reducing capture power of delay-fault {LBIST}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {842--847}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755944}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiFBLON15, author = {Hui Li and Alain Fourmigue and S{\'{e}}bastien Le Beux and Xavier Letartre and Ian O'Connor and Gabriela Nicolescu}, editor = {Wolfgang Nebel and David Atienza}, title = {Thermal aware design method for VCSEL-based on-chip optical interconnect}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1120--1125}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757072}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiFBLON15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiJHWCGLKW15, author = {Haitong Li and Zizhen Jiang and Peng Huang and Y. Wu and Hong{-}Yu Chen and Bin Gao and Xiaoyan Liu and Jinfeng Kang and H.{-}S. Philip Wong}, editor = {Wolfgang Nebel and David Atienza}, title = {Variation-aware, reliability-emphasized design and optimization of {RRAM} using {SPICE} model}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1425--1430}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757143}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiJHWCGLKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiLZGSSZCLY15, author = {Hehe Li and Yongpan Liu and Qinghang Zhao and Yizi Gu and Xiao Sheng and Guangyu Sun and Chao Zhang and Meng{-}Fan Chang and Rong Luo and Huazhong Yang}, editor = {Wolfgang Nebel and David Atienza}, title = {An energy efficient backup scheme with low inrush current for nonvolatile {SRAM} in energy harvesting sensor nodes}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {7--12}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755756}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiLZGSSZCLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiSGWXZS15, author = {Qiao Li and Liang Shi and Congming Gao and Kaijie Wu and Chun Jason Xue and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Wolfgang Nebel and David Atienza}, title = {Maximizing {IO} performance via conflict reduction for flash memory storage systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {904--907}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757022}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiSGWXZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiXWNP15, author = {Ji Li and Qing Xie and Yanzhi Wang and Shahin Nazarian and Massoud Pedram}, editor = {Wolfgang Nebel and David Atienza}, title = {Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1579--1582}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757177}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiXWNP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiaoWC15, author = {Chien{-}Hui Liao and Charles H.{-}P. Wen and Krishnendu Chakrabarty}, editor = {Wolfgang Nebel and David Atienza}, title = {An online thermal-constrained task scheduler for 3D multi-core processors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {351--356}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755833}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiaoWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiaperdosAT15, author = {John Liaperdos and Angela Arapoyanni and Yiorgos Tsiatouhas}, editor = {Wolfgang Nebel and David Atienza}, title = {A method for the estimation of defect detection probability of analog/RF defect-oriented tests}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1395--1400}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757136}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiaperdosAT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiaperdosSATAL15, author = {John Liaperdos and Haralampos{-}G. D. Stratigopoulos and Louay Abdallah and Yiorgos Tsiatouhas and Angela Arapoyanni and Xin Li}, editor = {Wolfgang Nebel and David Atienza}, title = {Fast deployment of alternate analog test using Bayesian model fusion}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1030--1035}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757053}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiaperdosSATAL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinH15, author = {Han{-}Yi Lin and Jen{-}Wei Hsieh}, editor = {Wolfgang Nebel and David Atienza}, title = {{HLC:} software-based half-level-cell flash memory}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {936--941}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757031}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinSKRM15, author = {David Lin and Eswaran S and Sharad Kumar and Eric Rentschler and Subhasish Mitra}, editor = {Wolfgang Nebel and David Atienza}, title = {Quick error detection tests with fast runtimes for effective post-silicon validation and debug}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1168--1173}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757083}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinSKRM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinWPKC15, author = {Xue Lin and Yanzhi Wang and Massoud Pedram and Jaemin Kim and Naehyuck Chang}, editor = {Wolfgang Nebel and David Atienza}, title = {Event-driven and sensorless photovoltaic system reconfiguration for electric vehicles}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {19--24}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755758}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinWPKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuDNL15, author = {Xingyu Liu and Yangdong Deng and Yufei Ni and Zonghui Li}, editor = {Wolfgang Nebel and David Atienza}, title = {FastTree: a hardware KD-tree construction acceleration engine for real-time ray tracing}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1595--1598}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757181}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuDNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuHDCPKKTR15, author = {Jianfeng Liu and Mi{-}Suk Hong and Kyung Tae Do and Jung Yun Choi and Jaehong Park and Mohit Kumar and Manish Kumar and Nikhil Tripathi and Abhishek Ranjan}, editor = {Wolfgang Nebel and David Atienza}, title = {Clock domain crossing aware sequential clock gating}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1--6}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755755}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuHDCPKKTR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuHFRQR15, author = {Shuo Liu and Soamar Homsi and Ming Fan and Shaolei Ren and Gang Quan and Shangping Ren}, editor = {Wolfgang Nebel and David Atienza}, title = {Power minimization for data center with guaranteed QoS}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1347--1352}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757126}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuHFRQR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuLY15, author = {Zhiqing Liu and Chuangwen Liu and Evangeline F. Y. Young}, editor = {Wolfgang Nebel and David Atienza}, title = {An effective triple patterning aware grid-based detailed routing approach}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1641--1646}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757193}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoCH15, author = {Wei{-}Hen Lo and Kang Chi and TingTing Hwang}, editor = {Wolfgang Nebel and David Atienza}, title = {Architecture of ring-based redundant {TSV} for clustered faults}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {848--853}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755945}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LoCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LocatelliVMFVKK15, author = {Nicolas Locatelli and Adrien F. Vincent and Alice Mizrahi and Joseph S. Friedman and Damir Vodenicarevic and Joo{-}Von Kim and Jacques{-}Olivier Klein and Weisheng Zhao and Julie Grollier and Damien Querlioz}, editor = {Wolfgang Nebel and David Atienza}, title = {Spintronic devices as key elements for energy-efficient neuroinspired architectures}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {994--999}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757046}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LocatelliVMFVKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LourencoMH15, author = {Nuno Louren{\c{c}}o and Ricardo Martins and Nuno Horta}, editor = {Wolfgang Nebel and David Atienza}, title = {Layout-aware sizing of analog ICs using floorplan {\&} routing estimates for parasitic extraction}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1156--1161}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757080}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LourencoMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuLJLHCL15, author = {Ang Lu and Hsueh{-}Ju Lu and En{-}Jang Jang and Yu{-}Po Lin and Chun{-}Hsiang Hung and Chun{-}Chih Chuang and Rung{-}Bin Lin}, editor = {Wolfgang Nebel and David Atienza}, title = {Simultaneous transistor pairing and placement for {CMOS} standard cells}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1647--1652}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757194}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LuLJLHCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaHJ15, author = {Runan Ma and Zhida Hui and Axel Jantsch}, editor = {Wolfgang Nebel and David Atienza}, title = {A packet-switched interconnect for many-core systems with {BE} and {RT} service}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {980--983}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757041}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MaHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MacherSBAK15, author = {Georg Macher and Harald Sporer and Reinhard Berlach and Eric Armengaud and Christian Kreiner}, editor = {Wolfgang Nebel and David Atienza}, title = {{SAHARA:} a security-aware hazard and risk analysis method}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {621--624}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755894}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MacherSBAK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MadhukarSWKM15, author = {Kumar Madhukar and Mandayam K. Srivas and Bj{\"{o}}rn Wachter and Daniel Kroening and Ravindra Metta}, editor = {Wolfgang Nebel and David Atienza}, title = {Verifying synchronous reactive systems using lazy abstraction}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1571--1574}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757175}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MadhukarSWKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MajumderLBP15, author = {Turbo Majumder and Xian Li and Paul Bogdan and Partha Pratim Pande}, editor = {Wolfgang Nebel and David Atienza}, title = {NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1102--1107}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757068}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MajumderLBP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MajumderPK15, author = {Turbo Majumder and Partha Pratim Pande and Ananth Kalyanaraman}, editor = {Wolfgang Nebel and David Atienza}, title = {On-chip network-enabled many-core architectures for computational biology applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {259--264}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755809}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MajumderPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MamaghaniGE15, author = {Mahdi Jelodari Mamaghani and Jim D. Garside and Doug A. Edwards}, editor = {Wolfgang Nebel and David Atienza}, title = {De-elastisation: from asynchronous dataflows to synchronous circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {273--276}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755813}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MamaghaniGE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MamaghanianV15, author = {Hossein Mamaghanian and Pierre Vandergheynst}, editor = {Wolfgang Nebel and David Atienza}, title = {Ultra-low-power {ECG} front-end design based on compressed sensing}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {671--676}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755907}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MamaghanianV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MavropoulosKN15, author = {Michail Mavropoulos and Georgios Keramidas and Dimitris Nikolos}, editor = {Wolfgang Nebel and David Atienza}, title = {A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {417--422}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755849}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MavropoulosKN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MazloumiM15, author = {Abbas Mazloumi and Mehdi Modarressi}, editor = {Wolfgang Nebel and David Atienza}, title = {A hybrid packet/circuit-switched router to accelerate memory access in NoC-based chip multiprocessors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {908--911}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757023}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MazloumiM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MineoRPACM15, author = {Andrea Mineo and Mohd Shahrizal Rusli and Maurizio Palesi and Giuseppe Ascia and Vincenzo Catania and Muhammad N. Marsono}, editor = {Wolfgang Nebel and David Atienza}, title = {A closed loop transmitting power self-calibration scheme for energy efficient WiNoC architectures}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {513--518}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755869}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MineoRPACM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MirhosseiniSFMS15, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Ali Fakhrzadehgan and Mehdi Modarressi and Hamid Sarbazi{-}Azad}, editor = {Wolfgang Nebel and David Atienza}, title = {An energy-efficient virtual channel power-gating mechanism for on-chip networks}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1527--1532}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757164}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MirhosseiniSFMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MirkhaniMCA15, author = {Shahrzad Mirkhani and Subhasish Mitra and Chen{-}Yong Cher and Jacob A. Abraham}, editor = {Wolfgang Nebel and David Atienza}, title = {Efficient soft error vulnerability estimation of complex designs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {103--108}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755776}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MirkhaniMCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MohammadiGM15, author = {Hassan Ghasemzadeh Mohammadi and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, editor = {Wolfgang Nebel and David Atienza}, title = {Fault modeling in controllable polarity silicon nanowire circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {453--458}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755856}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MohammadiGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MotamanGR15, author = {Seyedhamidreza Motaman and Swaroop Ghosh and Nitin Rathi}, editor = {Wolfgang Nebel and David Atienza}, title = {Impact of process-variations in {STTRAM} and adaptive boosting for robustness}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1431--1436}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757144}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MotamanGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MunchPHH15, author = {Daniel M{\"{u}}nch and Michael Paulitsch and Oliver Hanka and Andreas Herkersdorf}, editor = {Wolfgang Nebel and David Atienza}, title = {{MPIOV:} scaling hardware-based {I/O} virtualization for mixed-criticality embedded real-time systems using non transparent bridges to (multi-core) multi-processor systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {579--584}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755883}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MunchPHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MundhenkSLFC15, author = {Philipp Mundhenk and Sebastian Steinhorst and Martin Lukasiewycz and Suhaib A. Fahmy and Samarjit Chakraborty}, editor = {Wolfgang Nebel and David Atienza}, title = {Lightweight authentication for secure automotive networks}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {285--288}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755816}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MundhenkSLFC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MuzaffarYSE15, author = {Shahzad Muzaffar and Jerald Yoo and Ayman Shabra and Ibrahim Abe M. Elfadel}, editor = {Wolfgang Nebel and David Atienza}, title = {A pulsed-index technique for single-channel, low-power, dynamic signaling}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1485--1490}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757155}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MuzaffarYSE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NgoEBDGNRR15, author = {Xuan Thuy Ngo and Ingrid Exurville and Shivam Bhasin and Jean{-}Luc Danger and Sylvain Guilley and Zakaria Najm and Jean{-}Baptiste Rigaud and Bruno Robisson}, editor = {Wolfgang Nebel and David Atienza}, title = {Hardware trojan detection by delay and electromagnetic measurements}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {782--787}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755931}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NgoEBDGNRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NguyenASS15, author = {Hoang Anh Du Nguyen and Zaid Al{-}Ars and Georgios Smaragdos and Christos Strydis}, editor = {Wolfgang Nebel and David Atienza}, title = {Accelerating complex brain-model simulations on {GPU} platforms}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {974--979}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757040}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NguyenASS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NguyenSCM15, author = {Phuong Ha Nguyen and Durga Prasad Sahoo and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, editor = {Wolfgang Nebel and David Atienza}, title = {Efficient attacks on robust ring oscillator {PUF} with enhanced challenge-response set}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {641--646}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755901}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NguyenSCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiemannHGW15, author = {Philipp Niemann and Frank Hilken and Martin Gogolla and Robert Wille}, editor = {Wolfgang Nebel and David Atienza}, title = {Assisted generation of frame conditions for formal models}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {309--312}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755822}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NiemannHGW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NowosielskiGBVB15, author = {Rochus Nowosielski and Lukas Gerlach and Stephan Bieband and Guillermo Pay{\'{a}} Vay{\'{a}} and Holger Blume}, editor = {Wolfgang Nebel and David Atienza}, title = {{FLINT:} layout-oriented FPGA-based methodology for fault tolerant {ASIC} design}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {297--300}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755819}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NowosielskiGBVB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OborilET15, author = {Fabian Oboril and Jos Ewert and Mehdi Baradaran Tahoori}, editor = {Wolfgang Nebel and David Atienza}, title = {High-resolution online power monitoring for modern microprocessors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {265--268}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755811}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OborilET15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OhYM15, author = {Hyeong{-}Seok Oh and Ji Hwan Yeo and Soo{-}Mook Moon}, editor = {Wolfgang Nebel and David Atienza}, title = {Bytecode-to-C ahead-of-time compilation for Android Dalvik virtual machine}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1048--1053}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757057}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OhYM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OyaSYT15, author = {Masaru Oya and Youhua Shi and Masao Yanagisawa and Nozomu Togawa}, editor = {Wolfgang Nebel and David Atienza}, title = {A score-based classification method for identifying hardware-trojans at gate-level netlists}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {465--470}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755859}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OyaSYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaganiCSH15, author = {Santiago Pagani and Jian{-}Jia Chen and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {MatEx: efficient transient and peak temperature computation for compact thermal models}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1515--1520}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757162}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PaganiCSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaganoVRCSS15, author = {Davide Pagano and Mikel Vuka and Marco Rabozzi and Riccardo Cattaneo and Donatella Sciuto and Marco D. Santambrogio}, editor = {Wolfgang Nebel and David Atienza}, title = {Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {920--923}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757026}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PaganoVRCSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PajouhiFR15, author = {Zoha Pajouhi and Xuanyao Fong and Kaushik Roy}, editor = {Wolfgang Nebel and David Atienza}, title = {Device/circuit/architecture co-design of reliable {STT-MRAM}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1437--1442}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757145}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PajouhiFR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaoneRPZSS15, author = {Edoardo Paone and Francesco Robino and Gianluca Palermo and Vittorio Zaccaria and Ingo Sander and Cristina Silvano}, editor = {Wolfgang Nebel and David Atienza}, title = {Customization of OpenCL applications for efficient task mapping under heterogeneous platform constraints}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {736--741}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755921}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PaoneRPZSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkAHYL15, author = {Eunhyeok Park and Junwhan Ahn and Sungpack Hong and Sungjoo Yoo and Sunggu Lee}, editor = {Wolfgang Nebel and David Atienza}, title = {Memory fast-forward: a low cost special function unit to enhance energy efficiency in {GPU} for big data processing}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1341--1346}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757125}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParkAHYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkTNII15, author = {Mi Sun Park and Omesh Tickoo and Vijaykrishnan Narayanan and Mary Jane Irwin and Ravi Iyer}, editor = {Wolfgang Nebel and David Atienza}, title = {Platform-aware dynamic configuration support for efficient text processing on heterogeneous system}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1503--1508}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757159}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkTNII15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaulinoFBC15, author = {Nuno Miguel Cardanha Paulino and Jo{\~{a}}o Canas Ferreira and Jo{\~{a}}o Bispo and Jo{\~{a}}o M. P. Cardoso}, editor = {Wolfgang Nebel and David Atienza}, title = {Transparent acceleration of program execution using reconfigurable hardware}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1066--1071}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757061}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PaulinoFBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PeemenMC15, author = {Maurice Peemen and Bart Mesman and Henk Corporaal}, editor = {Wolfgang Nebel and David Atienza}, title = {Inter-tile reuse optimization applied to bandwidth constrained embedded accelerators}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {169--174}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755790}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PeemenMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PerriconeZSHN15, author = {Robert Perricone and Yining Zhu and Katherine M. Sanders and Xiaobo Sharon Hu and Michael T. Niemier}, editor = {Wolfgang Nebel and David Atienza}, title = {Towards systematic design of 3D pNML layouts}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1539--1542}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757167}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PerriconeZSHN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PhilippeCBP15, author = {Jean{-}Marc Philippe and Alexandre Carbon and Olivier Brousse and Michel Paindavoine}, editor = {Wolfgang Nebel and David Atienza}, title = {Exploration and design of embedded systems including neural algorithms}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {986--991}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757043}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PhilippeCBP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PoplavkoSBBB15, author = {Peter Poplavko and Dario Socci and Paraskevas Bourgos and Saddek Bensalem and Marius Bozga}, editor = {Wolfgang Nebel and David Atienza}, title = {Models for deterministic execution of real-time multiprocessor applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1665--1670}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757198}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PoplavkoSBBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PorembaMLVX15, author = {Matt Poremba and Sparsh Mittal and Dong Li and Jeffrey S. Vetter and Yuan Xie}, editor = {Wolfgang Nebel and David Atienza}, title = {{DESTINY:} a tool for modeling emerging 3D {NVM} and eDRAM caches}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1543--1546}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757168}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PorembaMLVX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PozoSKM15, author = {Santos Merino Del Pozo and Fran{\c{c}}ois{-}Xavier Standaert and Dina Kamel and Amir Moradi}, editor = {Wolfgang Nebel and David Atienza}, title = {Side-channel attacks from static power: when should we care?}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {145--150}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755785}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PozoSKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PsarrasSND15, author = {Anastasios Psarras and I. Seitanidis and Chrysostomos Nicopoulos and Giorgos Dimitrakopoulos}, editor = {Wolfgang Nebel and David Atienza}, title = {PhaseNoC: {TDM} scheduling at the virtual-channel level for efficient network traffic isolation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1090--1095}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757066}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PsarrasSND15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Rabaey15, author = {Jan M. Rabaey}, editor = {Wolfgang Nebel and David Atienza}, title = {The human intranet: where swarms and humans meet}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {637--640}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755899}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Rabaey15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahaVRR15, author = {Arnab Raha and Swagath Venkataramani and Vijay Raghunathan and Anand Raghunathan}, editor = {Wolfgang Nebel and David Atienza}, title = {Quality configurable reduce-and-rank for energy efficient approximate computing}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {665--670}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755906}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RahaVRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahimiGCBG15, author = {Abbas Rahimi and Amirali Ghofrani and Kwang{-}Ting Cheng and Luca Benini and Rajesh K. Gupta}, editor = {Wolfgang Nebel and David Atienza}, title = {Approximate associative memristive memory for energy-efficient GPUs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1497--1502}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757158}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RahimiGCBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiT15, author = {Devendra Rai and Lothar Thiele}, editor = {Wolfgang Nebel and David Atienza}, title = {A calibration based thermal modeling technique for complex multicore systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1138--1143}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757076}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaiT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RajendranRadhika15, author = {ShriHari RajendranRadhika and Aviral Shrivastava and Mahdi Hamzeh}, editor = {Wolfgang Nebel and David Atienza}, title = {Path selection based acceleration of conditionals in CGRAs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {121--126}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755780}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RajendranRadhika15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RamachandranHHM15, author = {Anand Ramachandran and Yun Heo and Wen{-}mei W. Hwu and Jian Ma and Deming Chen}, editor = {Wolfgang Nebel and David Atienza}, title = {{FPGA} accelerated {DNA} error correction}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1371--1376}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757131}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RamachandranHHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RamboE15, author = {Eberle A. Rambo and Rolf Ernst}, editor = {Wolfgang Nebel and David Atienza}, title = {Worst-case communication time analysis of networks-on-chip with shared virtual channels}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {537--542}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755874}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RamboE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RanjanRVPRR15, author = {Ashish Ranjan and Shankar Ganesh Ramasubramanian and Rangharajan Venkatesan and Vijay S. Pai and Kaushik Roy and Anand Raghunathan}, editor = {Wolfgang Nebel and David Atienza}, title = {DyReCTape: a {\textless}u{\textgreater}dy{\textless}/u{\textgreater}namically {\textless}u{\textgreater}re{\textless}/u{\textgreater}configurable {\textless}u{\textgreater}c{\textless}/u{\textgreater}ache using domain wall memory {\textless}u{\textgreater}tape{\textless}/u{\textgreater}s}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {181--186}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755793}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RanjanRVPRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RawatS15, author = {Tushar Rawat and Aviral Shrivastava}, editor = {Wolfgang Nebel and David Atienza}, title = {Enabling multi-threaded applications on hybrid shared memory manycore architectures}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {742--747}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755922}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RawatS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReehmanCCS15, author = {Saeed Ur Reehman and Cyrille Chavet and Philippe Coussy and Awais Sani}, editor = {Wolfgang Nebel and David Atienza}, title = {In-place memory mapping approach for optimized parallel hardware interleaver architectures}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {896--899}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757020}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ReehmanCCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReichPEB15, author = {Torsten Reich and Benjamin Prautsch and Uwe Eichler and R. Buhl}, editor = {Wolfgang Nebel and David Atienza}, title = {Silicon proof of the intelligent analog {IP} design flow for flexible automotive components}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {403--404}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755844}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReichPEB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RenTB15, author = {Xuanle Ren and V{\'{\i}}tor Grade Tavares and R. D. (Shawn) Blanton}, editor = {Wolfgang Nebel and David Atienza}, title = {Detection of illegitimate access to {JTAG} via statistical learning in chip}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {109--114}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755777}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RenTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RiefertCSRB15, author = {Andreas Riefert and Riccardo Cantoro and Matthias Sauer and Matteo Sonza Reorda and Bernd Becker}, editor = {Wolfgang Nebel and David Atienza}, title = {On the automatic generation of {SBST} test programs for in-field test}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1186--1191}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757086}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RiefertCSRB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RosenMH15, author = {Julius von Rosen and Markus Meissner and Lars Hedrich}, editor = {Wolfgang Nebel and David Atienza}, title = {Semiautomatic implementation of a bioinspired reliable analog task distribution architecture for multiple analog cores}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {912--915}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757024}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RosenMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RuizMK15, author = {Alejandra Ruiz and Alberto Melzi and Tim Kelly}, editor = {Wolfgang Nebel and David Atienza}, title = {Systematic application of {ISO} 26262 on a SEooC: Support by applying a systematic reuse approach}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {393--396}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755842}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RuizMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RustLP15, author = {Jochen Rust and Frank Ludwig and Steffen Paul}, editor = {Wolfgang Nebel and David Atienza}, title = {QR-decomposition architecture based on two-variable numeric function approximation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {892--895}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757019}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RustLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RustP15, author = {Jochen Rust and Steffen Paul}, editor = {Wolfgang Nebel and David Atienza}, title = {Design method for multiplier-less two-variable numeric function approximation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {948--953}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757033}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RustP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SaifhashemiHBB15, author = {Arash Saifhashemi and Hsin{-}Ho Huang and Priyanka Bhalerao and Peter A. Beerel}, editor = {Wolfgang Nebel and David Atienza}, title = {Logical equivalence checking of asynchronous circuits using commercial tools}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1563--1566}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757173}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SaifhashemiHBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SalfelderH15, author = {Felix Salfelder and Lars Hedrich}, editor = {Wolfgang Nebel and David Atienza}, title = {Ageing simulation of analogue circuits and systems using adaptive transient evaluation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1261--1264}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757105}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SalfelderH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SalivaCHFABBA15, author = {M. Saliva and Florian Cacho and Vincent Huard and X. Federspiel and D. Angot and Ahmed Benhassain and Alain Bravaix and Lorena Anghel}, editor = {Wolfgang Nebel and David Atienza}, title = {Digital circuits reliability with in-situ monitors in 28nm fully depleted {SOI}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {441--446}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755854}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SalivaCHFABBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SamieBHH15, author = {Farzad Samie and Lars Bauer and Chih{-}Ming Hsieh and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {Online binding of applications to multiple clock domains in shared FPGA-based systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {25--30}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755760}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SamieBHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SarmaDGVN15, author = {Santanu Sarma and Nikil D. Dutt and Puneet Gupta and Nalini Venkatasubramanian and Alexandru Nicolau}, editor = {Wolfgang Nebel and David Atienza}, title = {Cyberphysical-system-on-chip (CPSoC): a self-aware MPSoC paradigm with cross-layer virtual sensing and actuation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {625--628}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755895}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SarmaDGVN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchaffnerGSB15, author = {Michael Schaffner and Frank K. G{\"{u}}rkaynak and Aljoscha Smolic and Luca Benini}, editor = {Wolfgang Nebel and David Atienza}, title = {{DRAM} or no-DRAM?: exploring linear solver architectures for image domain warping in 28 nm {CMOS}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {707--712}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755915}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchaffnerGSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchneiderHKWW15, author = {Eric Schneider and Stefan Holst and Michael A. Kochte and Xiaoqing Wen and Hans{-}Joachim Wunderlich}, editor = {Wolfgang Nebel and David Atienza}, title = {GPU-accelerated small delay fault simulation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1174--1179}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757084}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchneiderHKWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SedighiPHNN15, author = {Behnam Sedighi and Indranil Palit and Xiaobo Sharon Hu and Joseph Nahas and Michael T. Niemier}, editor = {Wolfgang Nebel and David Atienza}, title = {A CNN-inspired mixed signal processor based on tunnel transistors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1150--1155}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757079}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SedighiPHNN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SenniBTSGM15, author = {Sophiane Senni and Raphael Martins Brum and Lionel Torres and Gilles Sassatelli and Abdoulaye Gamati{\'{e}} and Bruno Mussard}, editor = {Wolfgang Nebel and David Atienza}, title = {Potential applications based on {NVM} emerging technologies}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1012--1017}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757049}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SenniBTSGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SeylerSGNT15, author = {Jan R. Seyler and Thilo Streichert and Michael Gla{\ss} and Nicolas Navet and J{\"{u}}rgen Teich}, editor = {Wolfgang Nebel and David Atienza}, title = {Formal analysis of the startup delay of {SOME/IP} service discovery}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {49--54}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755765}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SeylerSGNT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShafiqueGGH15, author = {Muhammad Shafique and Dennis Gnad and Siddharth Garg and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {Variability-aware dark silicon management in on-chip many-core systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {387--392}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755840}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShafiqueGGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sharma15, author = {Vibhu Sharma}, editor = {Wolfgang Nebel and David Atienza}, title = {Minimum current consumption transition time optimization methodology for low power {CTS}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {412--416}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755847}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Sharma15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SharmaGR15, author = {Ankit Sharma and A. Arun Goud and Kaushik Roy}, editor = {Wolfgang Nebel and David Atienza}, title = {Sub-10 nm FinFETs and Tunnel-FETs: from devices to systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1443--1448}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757146}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SharmaGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShonikerCHP15, author = {Michael Shoniker and Bruce F. Cockburn and Jie Han and Witold Pedrycz}, editor = {Wolfgang Nebel and David Atienza}, title = {Minimizing the number of process corner simulations during design verification}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {289--292}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755817}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShonikerCHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShulakerWSWWM15, author = {Max M. Shulaker and Tony F. Wu and Mohamed M. Sabry and Hai Wei and H.{-}S. Philip Wong and Subhasish Mitra}, editor = {Wolfgang Nebel and David Atienza}, title = {Monolithic 3D integration: a path from concept to reality}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1197--1202}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757089}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShulakerWSWWM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShutoYS15, author = {Yusuke Shuto and Shuu'ichirou Yamamoto and Satoshi Sugahara}, editor = {Wolfgang Nebel and David Atienza}, title = {Comparative study of power-gating architectures for nonvolatile FinFET-SRAM using spintronics-based retention technology}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {866--871}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755949}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShutoYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinglaKUO15, author = {Gaurav Singla and Gurinderjit Kaur and Ali K. Unver and {\"{U}}mit Y. Ogras}, editor = {Wolfgang Nebel and David Atienza}, title = {Predictive dynamic thermal and power management for heterogeneous mobile platforms}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {960--965}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757036}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SinglaKUO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SkalickySLF15, author = {Sam Skalicky and Andrew G. Schmidt and Sonia L{\'{o}}pez and Matthew French}, editor = {Wolfgang Nebel and David Atienza}, title = {A unified hardware/software MPSoC system construction and run-time framework}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {301--304}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755820}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SkalickySLF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SonLKYL15, author = {Mungyu Son and Sungkwang Lee and Kyungho Kim and Sungjoo Yoo and Sunggu Lee}, editor = {Wolfgang Nebel and David Atienza}, title = {A small non-volatile write buffer to reduce storage writes in smartphones}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {713--718}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755916}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SonLKYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SonghoriMLK15, author = {Ebrahim M. Songhori and Azalia Mirhoseini and Xuyang Lu and Farinaz Koushanfar}, editor = {Wolfgang Nebel and David Atienza}, title = {{AHEAD:} automated framework for hardware accelerated iterative data analysis}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {942--947}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757032}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SonghoriMLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SporrerBVMRMBBP15, author = {Benjamin Sporrer and Luca Bettini and Christian Vogt and Andreas Mehmann and Jonas Reber and Josip Marjanovic and David O. Brunner and Thomas Burger and Klaas Paul Pruessmann and Gerhard Tr{\"{o}}ster and Qiuting Huang}, editor = {Wolfgang Nebel and David Atienza}, title = {Integrated {CMOS} receiver for wearable coil arrays in {MRI} applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1689--1694}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757203}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SporrerBVMRMBBP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SteinhorstL15, author = {Sebastian Steinhorst and Martin Lukasiewycz}, editor = {Wolfgang Nebel and David Atienza}, title = {Topology identification for smart cells in modular batteries}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1249--1252}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757102}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SteinhorstL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StoppeWD15, author = {Jannis Stoppe and Robert Wille and Rolf Drechsler}, editor = {Wolfgang Nebel and David Atienza}, title = {Automated feature localization for dynamically generated SystemC designs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {277--280}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755814}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StoppeWD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StrobelBOSP15, author = {Daehyun Strobel and Florian Bache and David F. Oswald and Falk Schellenberg and Christof Paar}, editor = {Wolfgang Nebel and David Atienza}, title = {Scandalee: a side-channel-based disassembler using local electromagnetic emanations}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {139--144}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755784}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StrobelBOSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SuHL15, author = {Hong{-}Yan Su and Chih{-}Hao Hsu and Yih{-}Lang Li}, editor = {Wolfgang Nebel and David Atienza}, title = {SubHunter: a high-performance and scalable sub-circuit recognition method with Pr{\"{u}}fer-encoding}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1583--1586}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757178}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SuHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunKPE15, author = {Xiaojun Sun and Priyank Kalla and Tim Pruss and Florian Enescu}, editor = {Wolfgang Nebel and David Atienza}, title = {Formal verification of sequential Galois field arithmetic circuits using algebraic geometry}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1623--1628}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757189}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SunKPE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunZLZZGSKRLZY15, author = {Guangyu Sun and Chao Zhang and Hehe Li and Yue Zhang and Weiqi Zhang and Yizi Gu and Yinan Sun and Jacques{-}Olivier Klein and Dafine Ravelosona and Yongpan Liu and Weisheng Zhao and Huazhong Yang}, editor = {Wolfgang Nebel and David Atienza}, title = {From device to system: cross-layer design exploration of racetrack memory}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1018--1023}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757050}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SunZLZZGSKRLZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TaatizadehN15, author = {Pouya Taatizadeh and Nicola Nicolici}, editor = {Wolfgang Nebel and David Atienza}, title = {A methodology for automated design of embedded bit-flips detectors in post-silicon validation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {73--78}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755770}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TaatizadehN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TanLF15, author = {Jingweijia Tan and Zhi Li and Xin Fu}, editor = {Wolfgang Nebel and David Atienza}, title = {Soft-error reliability and power co-optimization for {GPGPUS} register file using resistive memory}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {369--374}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755837}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TanLF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TangAKP15, author = {Liang Tang and Jude Angelo Ambrose and Akash Kumar and Sri Parameswaran}, editor = {Wolfgang Nebel and David Atienza}, title = {Dynamic reconfigurable puncturing for secure wireless communication}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {888--891}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757018}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TangAKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TangXLLCWY15, author = {Tianqi Tang and Lixue Xia and Boxun Li and Rong Luo and Yiran Chen and Yu Wang and Huazhong Yang}, editor = {Wolfgang Nebel and David Atienza}, title = {Spiking neural network with {RRAM:} can we use it for real-world application?}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {860--865}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755948}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TangXLLCWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TchagouTMVSQ15, author = {Serge Vladimir Emteu Tchagou and Alexandre Termier and Jean{-}Fran{\c{c}}ois M{\'{e}}haut and Brice Videau and Miguel Santana and Ren{\'{e}} Quiniou}, editor = {Wolfgang Nebel and David Atienza}, title = {Reducing trace size in multimedia applications endurance tests}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {984--985}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757042}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TchagouTMVSQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TemanKGMB15, author = {Adam Teman and Georgios Karakonstantis and Robert Giterman and Pascal Andreas Meinerzhagen and Andreas Peter Burg}, editor = {Wolfgang Nebel and David Atienza}, title = {Energy versus data integrity trade-offs in embedded high-density logic compatible dynamic memories}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {489--494}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755864}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TemanKGMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TeysseyreNOCCG15, author = {Fabien Teysseyre and David Navarro and Ian O'Connor and Francesco Cascio and Fabio Cenni and Olivier Guillaume}, editor = {Wolfgang Nebel and David Atienza}, title = {Fast optical simulation from a reduced set of impulse responses using SystemC-AMS}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {405--409}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755845}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TeysseyreNOCCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThangamuthuCCL15, author = {Sivakumar Thangamuthu and Nicola Concer and Pieter J. L. Cuijpers and Johan J. Lukkien}, editor = {Wolfgang Nebel and David Atienza}, title = {Analysis of ethernet-switch traffic shapers for in-vehicle networking applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {55--60}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755766}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ThangamuthuCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThomasFCG15, author = {David B. Thomas and Shane T. Fleming and George A. Constantinides and Dan R. Ghica}, editor = {Wolfgang Nebel and David Atienza}, title = {Transparent linking of compiled software and synthesized hardware}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1084--1089}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757064}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ThomasFCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TouatiBDGVBR15, author = {Aymen Touati and Alberto Bosio and Luigi Dilillo and Patrick Girard and Arnaud Virazel and Paolo Bernardi and Matteo Sonza Reorda}, editor = {Wolfgang Nebel and David Atienza}, title = {Exploring the impact of functional test programs re-used for power-aware testing}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1277--1280}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757109}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TouatiBDGVBR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TrinadhBSPK15, author = {Satya Trinadh and Ch. Sobhan Babu and Shiv Govind Singh and Seetal Potluri and V. Kamakoti}, editor = {Wolfgang Nebel and David Atienza}, title = {DP-fill: a dynamic programming approach to X-filling for minimizing peak test power in scan tests}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {836--841}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755943}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TrinadhBSPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TuYOLW15, author = {Fengbin Tu and Shouyi Yin and Peng Ouyang and Leibo Liu and Shaojun Wei}, editor = {Wolfgang Nebel and David Atienza}, title = {{RNA:} a reconfigurable architecture for hardware neural acceleration}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {695--700}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755912}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TuYOLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VargasQM15, author = {Roberto Vargas and Eduardo Qui{\~{n}}ones and Andrea Marongiu}, editor = {Wolfgang Nebel and David Atienza}, title = {OpenMP and timing predictability: a possible union?}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {617--620}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755893}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VargasQM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VatajeluNIP15, author = {Elena Ioana Vatajelu and Giorgio Di Natale and Marco Indaco and Paolo Prinetto}, editor = {Wolfgang Nebel and David Atienza}, title = {{STT} MRAM-Based PUFs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {872--875}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757014}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VatajeluNIP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VatajeluRIRPF15, author = {Elena I. Vatajelu and Rosa Rodr{\'{\i}}guez{-}Monta{\~{n}}{\'{e}}s and Marco Indaco and Michel Renovell and Paolo Prinetto and Joan Figueras}, editor = {Wolfgang Nebel and David Atienza}, title = {Read/write robustness estimation metrics for spin transfer torque {(STT)} {MRAM} cell}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {447--452}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755855}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VatajeluRIRPF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkataramanKSE15, author = {Shyamsundar Venkataraman and Akash Kumar and Jeremy Schlachter and Christian C. Enz}, editor = {Wolfgang Nebel and David Atienza}, title = {Designing inexact systems efficiently using elimination heuristics}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {758--763}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755926}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkataramanKSE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkataramaniBH15, author = {Swagath Venkataramani and Victor Bahl and Xian{-}Sheng Hua and Jie Liu and Jin Li and Matthai Philipose and Bodhi Priyantha and Mohammed Shoaib}, editor = {Wolfgang Nebel and David Atienza}, title = {{SAPPHIRE:} an always-on context-aware computer vision system for portable devices}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1491--1496}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757157}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkataramaniBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkataramaniCR15, author = {Swagath Venkataramani and Srimat T. Chakradhar and Kaushik Roy and Anand Raghunathan}, editor = {Wolfgang Nebel and David Atienza}, title = {Computing approximately, and efficiently}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {748--751}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755924}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkataramaniCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkatesanVFRR15, author = {Rangharajan Venkatesan and Swagath Venkataramani and Xuanyao Fong and Kaushik Roy and Anand Raghunathan}, editor = {Wolfgang Nebel and David Atienza}, title = {Spintastic: {\textless}u{\textgreater}spin{\textless}/u{\textgreater}-based s{\textless}u{\textgreater}t{\textless}/u{\textgreater}och{\textless}u{\textgreater}astic{\textless}/u{\textgreater} logic for energy-efficient computing}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1575--1578}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757176}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkatesanVFRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VieiraFCC15, author = {Andrws Vieira and Pedro Faustini and Luigi Carro and {\'{E}}rika F. Cota}, editor = {Wolfgang Nebel and David Atienza}, title = {NFRs early estimation through software metrics}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {329--332}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755827}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VieiraFCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VijayakumarK15, author = {Arunkumar Vijayakumar and Sandip Kundu}, editor = {Wolfgang Nebel and David Atienza}, title = {A novel modeling attack resistant {PUF} design based on non-linear voltage transfer characteristics}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {653--658}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755903}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VijayakumarK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangHNYYWYZ15, author = {Yuhao Wang and Hantao Huang and Leibin Ni and Hao Yu and Mei Yan and Chuliang Weng and Wei Yang and Junfeng Zhao}, editor = {Wolfgang Nebel and David Atienza}, title = {An energy-efficient non-volatile in-memory accelerator for sparse-representation based face recognition}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {932--935}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757029}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangHNYYWYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLWY15, author = {Bin Wang and Zhuo Liu and Xinning Wang and Weikuan Yu}, editor = {Wolfgang Nebel and David Atienza}, title = {Eliminating intra-warp conflict misses in {GPU}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {689--694}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755911}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangLWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLZ15, author = {Chao Wang and Xi Li and Xuehai Zhou}, editor = {Wolfgang Nebel and David Atienza}, title = {{SODA:} software defined {FPGA} based accelerators for big data}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {884--887}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757017}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangNRQ15, author = {Tianyi Wang and Linwei Niu and Shaolei Ren and Gang Quan}, editor = {Wolfgang Nebel and David Atienza}, title = {Multi-core fixed-priority scheduling of real-time tasks with statistical deadline guarantee}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1335--1340}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757123}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangNRQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangWXWWYDLMW15, author = {Zhe Wang and Xuan Wang and Jiang Xu and Xiaowen Wu and Zhehui Wang and Peng Yang and Luan H. K. Duong and Haoran Li and Rafael Kioji Vivas Maeda and Zhifei Wang}, editor = {Wolfgang Nebel and David Atienza}, title = {Adaptively tolerate power-gating-induced power/ground noise under process variations}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {483--488}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755863}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangWXWWYDLMW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WaqasGKSBSVC15, author = {Umar Waqas and Marc Geilen and Jack Kandelaars and Lou J. Somers and Twan Basten and Sander Stuijk and Patrick Vestjens and Henk Corporaal}, editor = {Wolfgang Nebel and David Atienza}, title = {A re-entrant flowshop heuristic for online scheduling of the paper path in a large scale printer}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {573--578}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755882}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WaqasGKSBSVC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WartelKGBSTQLMB15, author = {Franck Wartel and Leonidas Kosmidis and Adriana Gogonel and Andrea Baldovin and Zo{\"{e}} R. Stephenson and Benoit Triquet and Eduardo Qui{\~{n}}ones and Code Lo and Enrico Mezzetti and Ian Broster and Jaume Abella and Liliana Cucu{-}Grosjean and Tullio Vardanega and Francisco J. Cazorla}, editor = {Wolfgang Nebel and David Atienza}, title = {Timing analysis of an avionics case study on complex hardware/software platforms}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {397--402}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755843}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WartelKGBSTQLMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiDC15, author = {Chunan Wei and Ashutosh Dhar and Deming Chen}, editor = {Wolfgang Nebel and David Atienza}, title = {A scalable and high-density {FPGA} architecture with multi-level phase change memory}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1365--1370}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757130}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeiDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiDLW15, author = {Xing Wei and Yi Diao and Tak{-}Kei Lam and Yu{-}Liang Wu}, editor = {Wolfgang Nebel and David Atienza}, title = {A universal macro block mapping scheme for arithmetic circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1629--1634}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757190}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeiDLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Weis0ESVGKW15, author = {Christian Weis and Matthias Jung and Peter Ehses and Cristiano Santos and Pascal Vivet and Sven Goossens and Martijn Koedam and Norbert Wehn}, editor = {Wolfgang Nebel and David Atienza}, title = {Retention time measurements and modelling of bit error rates of {WIDE} {I/O} {DRAM} in MPSoCs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {495--500}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755865}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Weis0ESVGKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WengCCHW15, author = {Wan{-}Chen Weng and Yung{-}Chih Chen and Jui{-}Hung Chen and Ching{-}Yi Huang and Chun{-}Yao Wang}, editor = {Wolfgang Nebel and David Atienza}, title = {Using structural relations for checking combinationality of cyclic circuits}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {325--328}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755826}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WengCCHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XieKBWPC15, author = {Qing Xie and Younghyun Kim and Donkyu Baek and Yanzhi Wang and Massoud Pedram and Naehyuck Chang}, editor = {Wolfgang Nebel and David Atienza}, title = {Efficiency-driven design time optimization of a hybrid energy storage system with networked charge transfer interconnect}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1607--1610}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757184}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XieKBWPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YallaHK15, author = {Panasayya Yalla and Ekawat Homsirikamol and Jens{-}Peter Kaps}, editor = {Wolfgang Nebel and David Atienza}, title = {Comparison of multi-purpose cores of Keccak and {AES}}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {585--588}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755885}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YallaHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YanCC15, author = {Rongjie Yan and Chih{-}Hong Cheng and Yesheng Chai}, editor = {Wolfgang Nebel and David Atienza}, title = {Formal consistency checking over specifications in natural languages}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1677--1682}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757200}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YanCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YanF15, author = {Kaige Yan and Xin Fu}, editor = {Wolfgang Nebel and David Atienza}, title = {Energy-efficient cache design in emerging mobile platforms: the implications and optimizations}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {375--380}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755838}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YanF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangRMDV15, author = {Bohan Yang and Vladimir Rozic and Nele Mentens and Wim Dehaene and Ingrid Verbauwhede}, editor = {Wolfgang Nebel and David Atienza}, title = {Embedded {HW/SW} platform for on-the-fly testing of true random number generators}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {345--350}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755831}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YangRMDV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YaoWGMCZ15, author = {Yuan Yao and Guanhua Wang and Zhiguo Ge and Tulika Mitra and Wenzhi Chen and Naxin Zhang}, editor = {Wolfgang Nebel and David Atienza}, title = {SelectDirectory: a selective directory for cache coherence in many-core architectures}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {175--180}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755792}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YaoWGMCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YazdanbakhshMTP15, author = {Amir Yazdanbakhsh and Divya Mahajan and Bradley Thwaites and Jongse Park and Anandhavel Nagendrakumar and Sindhuja Sethuraman and Kartik Ramkrishnan and Nishanthi Ravindran and Rudra Jariwala and Abbas Rahimi and Hadi Esmaeilzadeh and Kia Bazargan}, editor = {Wolfgang Nebel and David Atienza}, title = {Axilog: language support for approximate hardware design}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {812--817}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755938}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YazdanbakhshMTP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YeYZX15, author = {Rong Ye and Feng Yuan and Jie Zhang and Qiang Xu}, editor = {Wolfgang Nebel and David Atienza}, title = {On the premises and prospects of timing speculation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {605--608}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755890}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YeYZX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YinLLWG15, author = {Shouyi Yin and Dajiang Liu and Leibo Liu and Shaojun Wei and Yike Guo}, editor = {Wolfgang Nebel and David Atienza}, title = {Joint affine transformation and loop pipelining for mapping nested loop on CGRAs}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {115--120}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755779}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YinLLWG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YinLLWG15a, author = {Shouyi Yin and Jiakun Li and Leibo Liu and Shaojun Wei and Yike Guo}, editor = {Wolfgang Nebel and David Atienza}, title = {Cooperatively managing dynamic writeback and insertion policies in a last-level {DRAM} cache}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {187--192}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755794}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YinLLWG15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuSHEAB15, author = {Li Yu and Sharad Saxena and Christopher Hess and Ibrahim M. Elfadel and Dimitri A. Antoniadis and Duane S. Boning}, editor = {Wolfgang Nebel and David Atienza}, title = {Statistical library characterization using belief propagation across multiple technology nodes}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1383--1388}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757134}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YuSHEAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangJSPHP15, author = {Xi Zhang and Haris Javaid and Muhammad Shafique and Jorgen Peddersen and J{\"{o}}rg Henkel and Sri Parameswaran}, editor = {Wolfgang Nebel and David Atienza}, title = {E-pipeline: elastic hardware/software pipelines on a many-core fabric}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {363--368}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755835}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangJSPHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangPJLF15, author = {Ying Zhang and Zebo Peng and Jianhui Jiang and Huawei Li and Masahiro Fujita}, editor = {Wolfgang Nebel and David Atienza}, title = {Temperature-aware software-based self-testing for delay faults}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {423--428}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755850}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangPJLF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangWTYX15, author = {Qian Zhang and Ting Wang and Ye Tian and Feng Yuan and Qiang Xu}, editor = {Wolfgang Nebel and David Atienza}, title = {ApproxANN: an approximate computing framework for artificial neural network}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {701--706}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755913}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangWTYX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangYWLC15, author = {Yaojun Zhang and Bonan Yan and Wenqing Wu and Hai Li and Yiran Chen}, editor = {Wolfgang Nebel and David Atienza}, title = {Giant spin hall effect {(GSHE)} logic design for low power application}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1000--1005}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757047}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangYWLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangZCY15, author = {XianWei Zhang and Youtao Zhang and Bruce R. Childers and Jun Yang}, editor = {Wolfgang Nebel and David Atienza}, title = {Exploiting {DRAM} restore time variations in deep sub-micron scaling}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {477--482}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755862}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangZCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoLXLHX15, author = {Mengying Zhao and Qing'an Li and Mimi Xie and Yongpan Liu and Jingtong Hu and Chun Jason Xue}, editor = {Wolfgang Nebel and David Atienza}, title = {Software assisted non-volatile register reduction for energy harvesting based cyber-physical system}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {567--572}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755881}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaoLXLHX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoQ15, author = {Zheng Zhao and Weikang Qian}, editor = {Wolfgang Nebel and David Atienza}, title = {A general design of stochastic circuit and its synthesis}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1467--1472}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757151}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaoQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhongLLZLZS15, author = {Kan Zhong and Duo Liu and Linbo Long and Xiao Zhu and Weichen Liu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, editor = {Wolfgang Nebel and David Atienza}, title = {\emph{n}Code: limiting harmful writes to emerging mobile {NVRAM} through code swapping}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1305--1310}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757117}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhongLLZLZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuCPP15, author = {Di Zhu and Lizhong Chen and Timothy Mark Pinkston and Massoud Pedram}, editor = {Wolfgang Nebel and David Atienza}, title = {{TAPP:} temperature-aware application mapping for NoC-based many-core processors}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1241--1244}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757100}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuCPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuM15, author = {Charlie Shucheng Zhu and Sharad Malik}, editor = {Wolfgang Nebel and David Atienza}, title = {Optimizing dynamic trace signal selection using machine learning and linear programming}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1289--1292}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757112}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZwergerG15, author = {Michael Zwerger and Helmut E. Graeb}, editor = {Wolfgang Nebel and David Atienza}, title = {Detection of asymmetric aging-critical voltage conditions in analog power-down mode}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1269--1272}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757107}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZwergerG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2015, editor = {Wolfgang Nebel and David Atienza}, title = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755753}, isbn = {978-3-9815370-4-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.