Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2013.bht:"
@inproceedings{DBLP:conf/date/0001WAWG13, author = {Karthik Chandrasekar and Christian Weis and Benny Akesson and Norbert Wehn and Kees Goossens}, editor = {Enrico Macii}, title = {System and circuit level power modeling of energy-efficient 3D-stacked wide {I/O} DRAMs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {236--241}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.061}, doi = {10.7873/DATE.2013.061}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/0001WAWG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbdullaDRSZ13, author = {Parosh Aziz Abdulla and Sandhya Dwarkadas and Ahmed Rezine and Arrvindh Shriraman and Yunyun Zhu}, editor = {Enrico Macii}, title = {Verifying safety and liveness for the FlexTM hybrid transactional memory}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {785--790}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.167}, doi = {10.7873/DATE.2013.167}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AbdullaDRSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AdnanG13, author = {Muhammad Abdullah Adnan and Rajesh Gupta}, editor = {Enrico Macii}, title = {Utility-aware deferred load balancing in the cloud driven by dynamic pricing of electricity}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {262--265}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.066}, doi = {10.7873/DATE.2013.066}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AdnanG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmadyanKV13, author = {Seyed Nematollah Ahmadyan and Jayanand Asok Kumar and Shobha Vasudevan}, editor = {Enrico Macii}, title = {Runtime verification of nonlinear analog circuits using incremental time-augmented {RRT} algorithm}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {21--26}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.019}, doi = {10.7873/DATE.2013.019}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AhmadyanKV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmadyanV13, author = {Seyed Nematollah Ahmadyan and Shobha Vasudevan}, editor = {Enrico Macii}, title = {Reachability analysis of nonlinear analog circuits through iterative reachable set reduction}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1436--1441}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.293}, doi = {10.7873/DATE.2013.293}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AhmadyanV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AitkenFKRR13, author = {Robert C. Aitken and G{\"{o}}rschwin Fey and Zbigniew T. Kalbarczyk and Frank Reichenbach and Matteo Sonza Reorda}, editor = {Enrico Macii}, title = {Reliability analysis reloaded: how will we survive?}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {358--367}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.084}, doi = {10.7873/DATE.2013.084}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AitkenFKRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkhlaghiKAP13, author = {Vahideh Akhlaghi and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram}, editor = {Enrico Macii}, title = {An efficient network on-chip architecture based on isolating local and non-local communications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {350--353}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.082}, doi = {10.7873/DATE.2013.082}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AkhlaghiKAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AliasDP13, author = {Christophe Alias and Alain Darte and Alexandru Plesco}, editor = {Enrico Macii}, title = {Optimizing remote accesses for offloaded kernels: application to high-level synthesis for {FPGA}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {575--580}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.127}, doi = {10.7873/DATE.2013.127}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AliasDP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlieeGRT13, author = {Hananeh Aliee and Michael Gla{\ss} and Felix Reimann and J{\"{u}}rgen Teich}, editor = {Enrico Macii}, title = {Automatic success tree-based reliability analysis for the consideration of transient and permanent faults}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1621--1626}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.329}, doi = {10.7873/DATE.2013.329}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AlieeGRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AmaruGM13, author = {Luca Gaetano Amar{\`{u}} and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, editor = {Enrico Macii}, title = {Biconditional {BDD:} a novel canonical {BDD} for logic synthesis targeting XOR-rich circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1014--1017}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.211}, doi = {10.7873/DATE.2013.211}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AmaruGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AminifarEPC13, author = {Amir Aminifar and Petru Eles and Zebo Peng and Anton Cervin}, editor = {Enrico Macii}, title = {Control-quality driven design of cyber-physical systems with robustness guarantees}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1093--1098}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.230}, doi = {10.7873/DATE.2013.230}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AminifarEPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AmpaduZS13, author = {Paul Ampadu and Meilin Zhang and Vladimir Stojanovic}, editor = {Enrico Macii}, title = {Breaking the energy barrier in fault-tolerant caches for multicore systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {731--736}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.157}, doi = {10.7873/DATE.2013.157}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AmpaduZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AnanthanarayananGP13, author = {Sundaram Ananthanarayanan and Siddharth Garg and Hiren D. Patel}, editor = {Enrico Macii}, title = {Low cost permanent fault detection using ultra-reduced instruction set co-processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {933--938}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.196}, doi = {10.7873/DATE.2013.196}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AnanthanarayananGP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AncajasCR13, author = {Dean Michael Ancajas and Koushik Chakraborty and Sanghamitra Roy}, editor = {Enrico Macii}, title = {Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1032--1037}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.215}, doi = {10.7873/DATE.2013.215}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AncajasCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AyadAMSL13, author = {Gasser Ayad and Andrea Acquaviva and Enrico Macii and Brahim Sahbi and Romain Lemaire}, editor = {Enrico Macii}, title = {{HW-SW} integration for energy-efficient/variability-aware computing}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {607--611}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.133}, doi = {10.7873/DATE.2013.133}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AyadAMSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BackesR13, author = {John D. Backes and Marc D. Riedel}, editor = {Enrico Macii}, title = {Using cubes of non-state variables with property directed reachability}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {807--810}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.171}, doi = {10.7873/DATE.2013.171}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BackesR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaiS13, author = {Ke Bai and Aviral Shrivastava}, editor = {Enrico Macii}, title = {Automatic and efficient heap data management for limited local memory multicore architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {593--598}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.130}, doi = {10.7873/DATE.2013.130}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BaiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BakshiH13, author = {Dhrumeel Bakshi and Michael S. Hsiao}, editor = {Enrico Macii}, title = {{LFSR} seed computation and reduction using SMT-based fault-chaining}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1071--1076}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.226}, doi = {10.7873/DATE.2013.226}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BakshiH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BanaiyanMofradDG13, author = {Abbas BanaiyanMofrad and Nikil D. Dutt and Gustavo Gir{\~{a}}o}, editor = {Enrico Macii}, title = {Modeling and analysis of fault-tolerant distributed memories for networks-on-chip}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1605--1608}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.326}, doi = {10.7873/DATE.2013.326}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BanaiyanMofradDG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BarrioHMMM13, author = {Alberto A. Del Barrio and Rom{\'{a}}n Hermida and Seda Ogrenci Memik and Jose Manuel Mendias and Mar{\'{\i}}a C. Molina}, editor = {Enrico Macii}, title = {Multispeculative additive trees in high-level synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {188--193}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.052}, doi = {10.7873/DATE.2013.052}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BarrioHMMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BayrakVRNBI13, author = {Ali Galip Bayrak and Nikola Velickovic and Francesco Regazzoni and David Novo and Philip Brisk and Paolo Ienne}, editor = {Enrico Macii}, title = {An EDA-friendly protection scheme against side-channel attacks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {410--415}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.093}, doi = {10.7873/DATE.2013.093}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BayrakVRNBI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeerGCCZ13, author = {Salomon Beer and Ran Ginosar and Jerome Cox and Tom Chaney and David M. Zar}, editor = {Enrico Macii}, title = {Metastability challenges for 65nm and beyond: simulation and measurements}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1297--1302}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.268}, doi = {10.7873/DATE.2013.268}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeerGCCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeigneVGTBTBMBMFNAPGCRCEW13, author = {Edith Beign{\'{e}} and Alexandre Valentian and Bastien Giraud and Olivier Thomas and Thomas Benoist and Yvain Thonnart and Serge Bernard and Guillaume Moritz and Olivier Billoint and Y. Maneglia and Philippe Flatresse and Jean{-}Philippe Noel and Fady Abouzeid and Bertrand Pelloux{-}Prayer and Anuj Grover and Sylvain Clerc and Philippe Roche and Julien Le Coz and Sylvain Engels and Robin Wilson}, editor = {Enrico Macii}, title = {Ultra-wide voltage range designs in fully-depleted silicon-on-insulator FETs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {613--618}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.135}, doi = {10.7873/DATE.2013.135}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeigneVGTBTBMBMFNAPGCRCEW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Belov0MM13, author = {Anton Belov and Huan Chen and Alan Mishchenko and Jo{\~{a}}o Marques{-}Silva}, editor = {Enrico Macii}, title = {Core minimization in SAT-based abstraction}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1411--1416}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.288}, doi = {10.7873/DATE.2013.288}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Belov0MM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BernardiBSRB13, author = {Paolo Bernardi and Michele Bonazza and Ernesto S{\'{a}}nchez and Matteo Sonza Reorda and Oscar Ballan}, editor = {Enrico Macii}, title = {On-line functionally untestable fault identification in embedded processor cores}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1462--1467}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.298}, doi = {10.7873/DATE.2013.298}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BernardiBSRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BernasconiCTV13, author = {Anna Bernasconi and Valentina Ciriani and Gabriella Trucco and Tiziano Villa}, editor = {Enrico Macii}, title = {Minimization of P-circuits using Boolean relations}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {996--1001}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.208}, doi = {10.7873/DATE.2013.208}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BernasconiCTV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BertaccoCBFVKP13, author = {Valeria Bertacco and Debapriya Chatterjee and Nicola Bombieri and Franco Fummi and Sara Vinco and Anirudh M. Kaushik and Hiren D. Patel}, editor = {Enrico Macii}, title = {On the use of GP-GPUs for accelerating compute-intensive {EDA} applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1357--1366}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.279}, doi = {10.7873/DATE.2013.279}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BertaccoCBFVKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BiWL13, author = {Xiuyuan Bi and Mohamed Anis Weldon and Hai Li}, editor = {Enrico Macii}, title = {{STT-RAM} designs supporting dual-port accesses}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {853--858}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.180}, doi = {10.7873/DATE.2013.180}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BiWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoettcherGAK13, author = {Matthias Boettcher and Giacomo Gabrielli and Bashir M. Al{-}Hashimi and Danny Kershaw}, editor = {Enrico Macii}, title = {{MALEC:} a multiple access low energy cache}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {368--373}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.085}, doi = {10.7873/DATE.2013.085}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BoettcherGAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoleyCAC13, author = {James Boley and Vikas Chandra and Robert C. Aitken and Benton H. Calhoun}, editor = {Enrico Macii}, title = {Leveraging sensitivity analysis for fast, accurate estimation of {SRAM} dynamic write V\({}_{\mbox{MIN}}\)}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1819--1824}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.364}, doi = {10.7873/DATE.2013.364}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BoleyCAC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BouhadibaMM13, author = {Tayeb Bouhadiba and Matthieu Moy and Florence Maraninchi}, editor = {Enrico Macii}, title = {System-level modeling of energy in {TLM} for early validation of power and thermal management}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1609--1614}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.327}, doi = {10.7873/DATE.2013.327}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BouhadibaMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrandonW13, author = {Anthony Brandon and Stephan Wong}, editor = {Enrico Macii}, title = {Support for dynamic issue width in {VLIW} processors using generic binaries}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {827--832}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.175}, doi = {10.7873/DATE.2013.175}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BrandonW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BraojosAA13, author = {Rub{\'{e}}n Braojos and Giovanni Ansaloni and David Atienza}, editor = {Enrico Macii}, title = {A methodology for embedded classification of heartbeats using random projections}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {899--904}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.189}, doi = {10.7873/DATE.2013.189}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BraojosAA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BundMS13, author = {Tobias Bund and Benjamin Menhorn and Frank Slomka}, editor = {Enrico Macii}, title = {Event density analysis for event triggered control systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1111--1116}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.233}, doi = {10.7873/DATE.2013.233}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BundMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BurgioTMB13, author = {Paolo Burgio and Giuseppe Tagliavini and Andrea Marongiu and Luca Benini}, editor = {Enrico Macii}, title = {Enabling fine-grained OpenMP tasking on tightly-coupled shared memory clusters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1504--1509}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.306}, doi = {10.7873/DATE.2013.306}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BurgioTMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CabodiLV13, author = {Gianpiero Cabodi and Carmelo Loiacono and Danilo Vendraminetto}, editor = {Enrico Macii}, title = {Optimization techniques for craig interpolant compaction in unbounded model checking}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1417--1422}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.289}, doi = {10.7873/DATE.2013.289}, timestamp = {Tue, 17 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CabodiLV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CaiHMM13, author = {Yu Cai and Erich F. Haratsch and Onur Mutlu and Ken Mai}, editor = {Enrico Macii}, title = {Threshold voltage distribution in {MLC} {NAND} flash memory: characterization, analysis, and modeling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1285--1290}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.266}, doi = {10.7873/DATE.2013.266}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CaiHMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CanisAB13, author = {Andrew Canis and Jason Helge Anderson and Stephen Dean Brown}, editor = {Enrico Macii}, title = {Multi-pumping for resource reduction in {FPGA} high-level synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {194--197}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.053}, doi = {10.7873/DATE.2013.053}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CanisAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CarreteroHMRV13, author = {Javier Carretero and Enric Herrero and Matteo Monchiero and Tanaus{\'{u}} Ram{\'{\i}}rez and Xavier Vera}, editor = {Enrico Macii}, title = {Capturing vulnerability variations for register files}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1468--1473}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.299}, doi = {10.7873/DATE.2013.299}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CarreteroHMRV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CarvajalF13, author = {Gonzalo Carvajal and Sebastian Fischmeister}, editor = {Enrico Macii}, title = {An open platform for mixed-criticality real-time ethernet}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {153--156}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.044}, doi = {10.7873/DATE.2013.044}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CarvajalF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiLMBFFMS13, author = {Marco Casale{-}Rossi and Patrick Leduc and Giovanni De Micheli and Patrick Blouet and Brendan Farley and Anna Fontanelli and Dragomir Milojevic and Steve Smith}, editor = {Enrico Macii}, title = {Panel: "will 3D-IC remain a technology of the future... even in the future?"}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1526--1530}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485650}, timestamp = {Fri, 17 May 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiLMBFFMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiSCCMDR13, author = {Marco Casale{-}Rossi and Alberto L. Sangiovanni{-}Vincentelli and Luca P. Carloni and Bernard Courtois and Hugo De Man and Antun Domic and Jan M. Rabaey}, editor = {Enrico Macii}, title = {Panel: the heritage of Mead {\&} Conway: what has remained the same, what was missed, what has changed, what lies ahead}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {171--175}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485333}, timestamp = {Fri, 17 May 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiSCCMDR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CassanoCKHPS13, author = {Luca Cassano and Dario Cozzi and Sebastian Korf and Jens Hagemeyer and Mario Porrmann and Luca Sterpone}, editor = {Enrico Macii}, title = {On-line testing of permanent radiation effects in reconfigurable systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {717--720}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.154}, doi = {10.7873/DATE.2013.154}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CassanoCKHPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CastellanaF13, author = {Vito Giovanni Castellana and Fabrizio Ferrandi}, editor = {Enrico Macii}, title = {Scheduling independent liveness analysis for register binding in high level synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1571--1574}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.319}, doi = {10.7873/DATE.2013.319}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CastellanaF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CevreroEAILBS13, author = {Alessandro Cevrero and Nestor E. Evmorfopoulos and Charalampos Antoniadis and Paolo Ienne and Yusuf Leblebici and Andreas Burg and Georgios I. Stamoulis}, editor = {Enrico Macii}, title = {Fast and accurate {BER} estimation methodology for {I/O} links based on extreme value theory}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {503--508}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.114}, doi = {10.7873/DATE.2013.114}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CevreroEAILBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChaG13, author = {Byeongju Cha and Sandeep K. Gupta}, editor = {Enrico Macii}, title = {Trojan detection via delay measurements: a new approach to select paths and vectors to maximize effectiveness and minimize cost}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1265--1270}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.262}, doi = {10.7873/DATE.2013.262}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChaG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChabrolRDJHOZ13, author = {Damien Chabrol and Didier Roux and Vincent David and Mathieu Jan and Moha Ait Hmid and Patrice Oudin and Gilles Zeppa}, editor = {Enrico Macii}, title = {Time- and angle-triggered real-time kernel}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1060--1062}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.223}, doi = {10.7873/DATE.2013.223}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChabrolRDJHOZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChanCK13, author = {Tuck{-}Boon Chan and Wei{-}Ting Jonas Chan and Andrew B. Kahng}, editor = {Enrico Macii}, title = {Impact of adaptive voltage scaling on aging-aware signoff}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1683--1688}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.340}, doi = {10.7873/DATE.2013.340}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChanCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChandranSP13, author = {Sandeep Chandran and Smruti R. Sarangi and Preeti Ranjan Panda}, editor = {Enrico Macii}, title = {Space sensitive cache dumping for post-silicon validation}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {497--502}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.113}, doi = {10.7873/DATE.2013.113}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChandranSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangWB13, author = {Chia{-}Ling Chang and Charles H.{-}P. Wen and Jayanta Bhadra}, editor = {Enrico Macii}, title = {Process-variation-aware Iddq diagnosis for nano-scale {CMOS} designs - the first step}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {454--457}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.101}, doi = {10.7873/DATE.2013.101}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChangWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChantemYHD13, author = {Thidapat Chantem and Xiang Yun and Xiaobo Sharon Hu and Robert P. Dick}, editor = {Enrico Macii}, title = {Enhancing multicore reliability through wear compensation in online assignment and scheduling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1373--1378}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.281}, doi = {10.7873/DATE.2013.281}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChantemYHD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenD13, author = {Weiwei Chen and Rainer D{\"{o}}mer}, editor = {Enrico Macii}, title = {Optimized out-of-order parallel discrete event simulation using predictions}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {3--8}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.016}, doi = {10.7873/DATE.2013.016}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenHBK13, author = {Gang Chen and Kai Huang and Christian Buckl and Alois C. Knoll}, editor = {Enrico Macii}, title = {Energy optimization with worst-case deadline guarantee for pipelined multiprocessor systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {45--50}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.024}, doi = {10.7873/DATE.2013.024}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenHBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenL13, author = {Yen{-}Hao Chen and Yi{-}Yu Liu}, editor = {Enrico Macii}, title = {Dual-addressing memory architecture for two-dimensional memory access patterns}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {71--76}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.029}, doi = {10.7873/DATE.2013.029}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLSCCAN13, author = {Xiaolin Chen and Shuai Li and Jochen Schleifer and Thomas Coenen and Anupam Chattopadhyay and Gerd Ascheid and Tobias G. Noll}, editor = {Enrico Macii}, title = {High-level modeling and synthesis for embedded FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1565--1570}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.318}, doi = {10.7873/DATE.2013.318}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenLSCCAN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenM13, author = {Chang{-}Chih Chen and Linda Milor}, editor = {Enrico Macii}, title = {System-level modeling and microprocessor reliability analysis for backend wearout mechanisms}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1615--1620}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.328}, doi = {10.7873/DATE.2013.328}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenPKSCP13, author = {Chia{-}Hsin Owen Chen and Sunghyun Park and Tushar Krishna and Suvinay Subramanian and Anantha P. Chandrakasan and Li{-}Shiuan Peh}, editor = {Enrico Macii}, title = {{SMART:} a single-cycle reconfigurable NoC for SoC applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {338--343}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.080}, doi = {10.7873/DATE.2013.080}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenPKSCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenRSIFC13, author = {Ying{-}Yu Chen and Artem Rogachev and Amit Sangai and Giuseppe Iannaccone and Gianluca Fiori and Deming Chen}, editor = {Enrico Macii}, title = {A SPICE-compatible model of graphene nano-ribbon field-effect transistors enabling circuit-level delay and power analysis under process variation}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1789--1794}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.359}, doi = {10.7873/DATE.2013.359}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenRSIFC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenWLL13, author = {Yen{-}Lung Chen and Wan{-}Rong Wu and Guan{-}Ruei Lu and Chien{-}Nan Jimmy Liu}, editor = {Enrico Macii}, title = {Automatic circuit sizing technique for the analog circuits with flexible TFTs considering process variation and bending effects}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1458--1461}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.297}, doi = {10.7873/DATE.2013.297}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenWLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenZ13, author = {Yuankai Chen and Hai Zhou}, editor = {Enrico Macii}, title = {Resource-constrained high-level datapath optimization in {ASIP} design}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {198--201}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.054}, doi = {10.7873/DATE.2013.054}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChiangTWHCDN13, author = {Chang{-}En Chiang and Li{-}Fu Tang and Chun{-}Yao Wang and Ching{-}Yi Huang and Yung{-}Chih Chen and Suman Datta and Vijaykrishnan Narayanan}, editor = {Enrico Macii}, title = {On reconfigurable single-electron transistor arrays synthesis using reordering techniques}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1807--1812}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.362}, doi = {10.7873/DATE.2013.362}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChiangTWHCDN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CilardoGMM13, author = {Alessandro Cilardo and Luca Gallo and Antonino Mazzeo and Nicola Mazzocca}, editor = {Enrico Macii}, title = {Efficient and scalable OpenMP-based system-level design}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {988--991}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.206}, doi = {10.7873/DATE.2013.206}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CilardoGMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoppolaFGK13, author = {Marcello Coppola and Babak Falsafi and John Goodacre and George Kornaros}, editor = {Enrico Macii}, title = {From embedded multi-core SoCs to scale-out processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {947--951}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.199}, doi = {10.7873/DATE.2013.199}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CoppolaFGK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DaloukasMETS13, author = {Konstantis Daloukas and Alexia Marnari and Nestor E. Evmorfopoulos and Panagiota E. Tsompanopoulou and George I. Stamoulis}, editor = {Enrico Macii}, title = {A parallel fast transform-based preconditioning approach for electrical-thermal co-simulation of power delivery networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1689--1694}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.341}, doi = {10.7873/DATE.2013.341}, timestamp = {Thu, 07 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DaloukasMETS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DaneshtalabEPT13, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Juha Plosila and Hannu Tenhunen}, editor = {Enrico Macii}, title = {{CARS:} congestion-aware request scheduler for network interfaces in NoC-based manycore systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1048--1051}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.218}, doi = {10.7873/DATE.2013.218}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DaneshtalabEPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DarbelL13, author = {Nicolas Darbel and St{\'{e}}phane Lecomte}, editor = {Enrico Macii}, title = {SoC low-power practices for wireless applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {778}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.165}, doi = {10.7873/DATE.2013.165}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DarbelL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasKV13, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, editor = {Enrico Macii}, title = {Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {689--694}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.149}, doi = {10.7873/DATE.2013.149}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DasKV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasKV13a, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, editor = {Enrico Macii}, title = {Communication and migration energy aware design space exploration for multicore systems with intermittent faults}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1631--1636}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.331}, doi = {10.7873/DATE.2013.331}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DasKV13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/De13, author = {Vivek De}, editor = {Enrico Macii}, title = {Near-threshold voltage design in nanoscale {CMOS}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {612}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.134}, doi = {10.7873/DATE.2013.134}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/De13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeOrioLBB13, author = {Andrew DeOrio and Qingkun Li and Matthew Burgess and Valeria Bertacco}, editor = {Enrico Macii}, title = {Machine learning-based anomaly detection for post-silicon bug diagnosis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {491--496}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.112}, doi = {10.7873/DATE.2013.112}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DeOrioLBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DengKNOYOBKPD13, author = {Lingfei Deng and Vinay Kundur and Naveen Sai Jangala Naga and Muhlis Kenan Ozel and Ender Yilmaz and Sule Ozev and Bertan Bakkaloglu and Sayfe Kiaei and Divya Pratab and Tehmoor Dar}, editor = {Enrico Macii}, title = {Electrical calibration of spring-mass {MEMS} capacitive accelerometers}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {571--574}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.126}, doi = {10.7873/DATE.2013.126}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DengKNOYOBKPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeutschC13, author = {Sergej Deutsch and Krishnendu Chakrabarty}, editor = {Enrico Macii}, title = {Non-invasive pre-bond {TSV} test using ring oscillators and multiple voltage levels}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1065--1070}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.225}, doi = {10.7873/DATE.2013.225}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DeutschC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DiazSSR13, author = {{\'{A}}lvaro D{\'{\i}}az Su{\'{a}}rez and Pablo S{\'{a}}nchez Espeso and Juan Sancho and Juan Rico}, editor = {Enrico Macii}, title = {Wireless sensor network simulation for security and performance analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {432--435}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.097}, doi = {10.7873/DATE.2013.097}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DiazSSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DimitrakopoulosGNK13, author = {Giorgos Dimitrakopoulos and N. Georgiadis and Chrysostomos Nicopoulos and Emmanouil Kalligeros}, editor = {Enrico Macii}, title = {Switch folding: network-on-chip routers with time-multiplexed output ports}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {344--349}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.081}, doi = {10.7873/DATE.2013.081}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DimitrakopoulosGNK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DiversiBTBB13, author = {Roberto Diversi and Andrea Bartolini and Andrea Tilli and Francesco Beneventi and Luca Benini}, editor = {Enrico Macii}, title = {{SCC} thermal model identification via advanced bias-compensated least-squares}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {230--235}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.060}, doi = {10.7873/DATE.2013.060}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DiversiBTBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoganBCABA13, author = {Ahmed Yasir Dogan and Rub{\'{e}}n Braojos and Jeremy Constantin and Giovanni Ansaloni and Andreas Burg and David Atienza}, editor = {Enrico Macii}, title = {Synchronizing code execution on ultra-low-power embedded multi-channel signal analysis platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {396--399}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.090}, doi = {10.7873/DATE.2013.090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoganBCABA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DutoitGP13, author = {Denis Dutoit and Eric Guthmuller and Ivan Miro Panades}, editor = {Enrico Macii}, title = {3D integration for power-efficient computing}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {779--784}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.166}, doi = {10.7873/DATE.2013.166}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DutoitGP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbrahimiDP13, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Juha Plosila}, editor = {Enrico Macii}, title = {Fault-tolerant routing algorithm for 3D NoC using Hamiltonian path strategy}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1601--1604}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.325}, doi = {10.7873/DATE.2013.325}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/EbrahimiDP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/El-NacouziAPZJM13, author = {Michel El{-}Nacouzi and Islam Atta and Myrto Papadopoulou and Jason Zebchuk and Natalie D. Enright Jerger and Andreas Moshovos}, editor = {Enrico Macii}, title = {A dual grain hit-miss detector for large die-stacked {DRAM} caches}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {89--92}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.032}, doi = {10.7873/DATE.2013.032}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/El-NacouziAPZJM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/El-ShambakeyR13, author = {Mohammed El{-}Shambakey and Binoy Ravindran}, editor = {Enrico Macii}, title = {{FBLT:} a real-time contention manager with improved schedulability}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1325--1330}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.273}, doi = {10.7873/DATE.2013.273}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/El-ShambakeyR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ElbayoumiHE13, author = {Mahmoud Elbayoumi and Michael S. Hsiao and Mustafa Y. ElNainay}, editor = {Enrico Macii}, title = {A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1427--1430}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.291}, doi = {10.7873/DATE.2013.291}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ElbayoumiHE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ElfadelMA13, author = {Ibrahim M. Elfadel and Radu Marculescu and David Atienza}, editor = {Enrico Macii}, title = {Closed-loop control for power and thermal management in multi-core processors: formal methods and industrial practice}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1879--1881}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.374}, doi = {10.7873/DATE.2013.374}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ElfadelMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FakihGFR13, author = {Maher Fakih and Kim Gr{\"{u}}ttner and Martin Fr{\"{a}}nzle and Achim Rettberg}, editor = {Enrico Macii}, title = {Towards performance analysis of SDFGs mapped to shared-bus architectures using model-checking}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1167--1172}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.243}, doi = {10.7873/DATE.2013.243}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FakihGFR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FarisiBCS13, author = {Brahim Al Farisi and Karel Bruneel and Jo{\~{a}}o M. P. Cardoso and Dirk Stroobandt}, editor = {Enrico Macii}, title = {An automatic tool flow for the combined implementation of multi-mode circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {821--826}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.174}, doi = {10.7873/DATE.2013.174}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FarisiBCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Feng13, author = {Zhuo Feng}, editor = {Enrico Macii}, title = {Large-scale flip-chip power grid reduction with geometric templates}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1679--1682}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.339}, doi = {10.7873/DATE.2013.339}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Feng13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FettweisHLF13, author = {Gerhard P. Fettweis and Najeeb ul Hassan and Lukas Landau and Erik Fischer}, editor = {Enrico Macii}, title = {Wireless interconnect for board and chip level}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {958--963}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.201}, doi = {10.7873/DATE.2013.201}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FettweisHLF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FirouziKTN13, author = {Farshad Firouzi and Saman Kiamehr and Mehdi Baradaran Tahoori and Sani R. Nassif}, editor = {Enrico Macii}, title = {Incorporating the impacts of workload-dependent runtime variations into timing analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1022--1025}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.213}, doi = {10.7873/DATE.2013.213}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FirouziKTN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FourmigueBN13, author = {Alain Fourmigue and Giovanni Beltrame and Gabriela Nicolescu}, editor = {Enrico Macii}, title = {Explicit transient thermal simulation of liquid-cooled 3D ICs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1385--1390}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.283}, doi = {10.7873/DATE.2013.283}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FourmigueBN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FreitasRS13, author = {Leandro S. Freitas and Eberle A. Rambo and Luiz C. V. dos Santos}, editor = {Enrico Macii}, title = {On-the-fly verification of memory consistency with concurrent relaxed scoreboards}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {631--636}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.138}, doi = {10.7873/DATE.2013.138}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FreitasRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaillardonABMSLM13, author = {Pierre{-}Emmanuel Gaillardon and Luca Gaetano Amar{\`{u}} and Shashikanth Bobba and Michele De Marchi and Davide Sacchetto and Yusuf Leblebici and Giovanni De Micheli}, editor = {Enrico Macii}, title = {Vertically-stacked double-gate nanowire FETs with controllable polarity: from devices to regular ASICs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {625--630}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.137}, doi = {10.7873/DATE.2013.137}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GaillardonABMSLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GangadharanCZ13, author = {Deepak Gangadharan and Samarjit Chakraborty and Roger Zimmermann}, editor = {Enrico Macii}, title = {Quality-aware media scheduling on MPSoC platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {976--981}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.204}, doi = {10.7873/DATE.2013.204}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GangadharanCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaoBW13, author = {Yue Gao and Melvin A. Breuer and Yanzhi Wang}, editor = {Enrico Macii}, title = {A new paradigm for trading off yield, area and performance to enhance performance per wafer}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1753--1758}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.353}, doi = {10.7873/DATE.2013.353}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GaoBW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaoGB13, author = {Yue Gao and Sandeep K. Gupta and Melvin A. Breuer}, editor = {Enrico Macii}, title = {Using explicit output comparisons for fault tolerant scheduling {(FTS)} on modern high-performance processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {927--932}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.195}, doi = {10.7873/DATE.2013.195}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GaoGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhaidaG13, author = {Rani S. Ghaida and Puneet Gupta}, editor = {Enrico Macii}, title = {Role of design in multiple patterning: technology development, design enablement and process control}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {314--319}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.076}, doi = {10.7873/DATE.2013.076}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GhaidaG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhiribaldiBN13, author = {Alberto Ghiribaldi and Davide Bertozzi and Steven M. Nowick}, editor = {Enrico Macii}, title = {A transition-signaling bundled data NoC switch architecture for cost-effective {GALS} multicore systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {332--337}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.079}, doi = {10.7873/DATE.2013.079}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GhiribaldiBN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GielenM13, author = {Georges G. E. Gielen and Elie Maricau}, editor = {Enrico Macii}, title = {Stochastic degradation modeling and simulation for analog integrated circuits in nanometer {CMOS}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {326--331}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.078}, doi = {10.7873/DATE.2013.078}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GielenM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GiraoSW13, author = {Gustavo Gir{\~{a}}o and Thiago Santini and Fl{\'{a}}vio Rech Wagner}, editor = {Enrico Macii}, title = {Exploring resource mapping policies for dynamic clustering on NoC-based MPSoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {681--684}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.147}, doi = {10.7873/DATE.2013.147}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GiraoSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Gomez-PradoCT13, author = {Daniel Gomez{-}Prado and Maciej J. Ciesielski and Russell Tessier}, editor = {Enrico Macii}, title = {{FPGA} latency optimization using system-level transformations and {DFG} restructuring}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1553--1558}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.316}, doi = {10.7873/DATE.2013.316}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Gomez-PradoCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GomonyAG13, author = {Manil Dev Gomony and Benny Akesson and Kees Goossens}, editor = {Enrico Macii}, title = {Architecture and optimal configuration of a real-time multi-channel memory controller}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1307--1312}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.270}, doi = {10.7873/DATE.2013.270}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GomonyAG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoossensAG13, author = {Sven Goossens and Benny Akesson and Kees Goossens}, editor = {Enrico Macii}, title = {Conservative open-page policy for mixed time-criticality memory controllers}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {525--530}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.118}, doi = {10.7873/DATE.2013.118}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GoossensAG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoswamiMSXC13, author = {Dip Goswami and Alejandro Masrur and Reinhard Schneider and Chun Jason Xue and Samarjit Chakraborty}, editor = {Enrico Macii}, title = {Multirate controller design for resource- and schedule-constrained automotive ECUs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1123--1126}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.235}, doi = {10.7873/DATE.2013.235}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GoswamiMSXC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoultiaevaSB13, author = {Alexandra Goultiaeva and Martina Seidl and Armin Biere}, editor = {Enrico Macii}, title = {Bridging the gap between dual propagation and CNF-based {QBF} solving}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {811--814}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.172}, doi = {10.7873/DATE.2013.172}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GoultiaevaSB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GreenGW13, author = {Kevin Green and Salvador Rodr{\'{\i}}guez Gonz{\'{a}}lez and Ruud Wijtvliet}, editor = {Enrico Macii}, title = {Innovative energy storage solutions for future electromobility in smart cities}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1730--1734}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.348}, doi = {10.7873/DATE.2013.348}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GreenGW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrimmMP13, author = {Christoph Grimm and Javier Moreno and Xiao Pan}, editor = {Enrico Macii}, title = {Ultra-low power: an {EDA} challenge}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {483}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485407}, timestamp = {Mon, 09 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GrimmMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuanYL013, author = {Nan Guan and Xinping Yang and Mingsong Lv and Wang Yi}, editor = {Enrico Macii}, title = {{FIFO} cache analysis for {WCET} estimation: a quantitative approach}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {296--301}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.073}, doi = {10.7873/DATE.2013.073}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuanYL013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuoWLLLC13, author = {Jie Guo and Wujie Wen and Yaojun Zhang and Sicheng Li and Hai Li and Yiran Chen}, editor = {Enrico Macii}, title = {{DA-RAID-5:} a disturb aware data protection technique for {NAND} flash storage systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {380--385}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.087}, doi = {10.7873/DATE.2013.087}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuoWLLLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuoYZC13, author = {Jie Guo and Jun Yang and Youtao Zhang and Yiran Chen}, editor = {Enrico Macii}, title = {Low cost power failure protection for {MLC} {NAND} flash storage systems with {PRAM/DRAM} hybrid buffer}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {859--864}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.181}, doi = {10.7873/DATE.2013.181}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuoYZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GurgenGBG13, author = {Levent G{\"{u}}rgen and Ozan Necati G{\"{u}}nalp and Yazid Benazzouz and Mathieu Gallissot}, editor = {Enrico Macii}, title = {Self-aware cyber-physical systems and applications in smart buildings and cities}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1149--1154}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.240}, doi = {10.7873/DATE.2013.240}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GurgenGBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HamdiouiNGGGB13, author = {Said Hamdioui and Michael Nicolaidis and Dimitris Gizopoulos and Arnaud Grasset and Guido Groeseneken and Philippe Bonnot}, editor = {Enrico Macii}, title = {Reliability challenges of real-time systems in forthcoming technology nodes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {129--134}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.040}, doi = {10.7873/DATE.2013.040}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HamdiouiNGGGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HameedBH13, author = {Fazal Hameed and Lars Bauer and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {Adaptive cache management for a combined {SRAM} and {DRAM} cache hierarchy for multi-cores}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {77--82}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.030}, doi = {10.7873/DATE.2013.030}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HameedBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanCL13, author = {Kyuseung Han and Kiyoung Choi and Jongeun Lee}, editor = {Enrico Macii}, title = {Compiling control-intensive loops for CGRAs with state-based full predication}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1579--1582}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.321}, doi = {10.7873/DATE.2013.321}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HanCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HankMVK13, author = {Peter Hank and Steffen M{\"{u}}ller and Ovidiu Vermesan and Jeroen Van den Keybus}, editor = {Enrico Macii}, title = {Automotive ethernet: in-vehicle networking and smart mobility}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1735--1739}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.349}, doi = {10.7873/DATE.2013.349}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HankMVK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanxledenMADFMMO13, author = {Reinhard von Hanxleden and Michael Mendler and Joaqu{\'{\i}}n Aguado and Bj{\"{o}}rn Duderstadt and Insa Fuhrmann and Christian Motika and Stephen Mercer and Owen O'Brien}, editor = {Enrico Macii}, title = {Sequentially constructive concurrency: a conservative extension of the synchronous model of computation}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {581--586}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.128}, doi = {10.7873/DATE.2013.128}, timestamp = {Wed, 10 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HanxledenMADFMMO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Hara-AzumiFKT13, author = {Yuko Hara{-}Azumi and Farshad Firouzi and Saman Kiamehr and Mehdi Baradaran Tahoori}, editor = {Enrico Macii}, title = {Instruction-set extension under process variation and aging effects}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {182--187}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.051}, doi = {10.7873/DATE.2013.051}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Hara-AzumiFKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HasanA13, author = {Osman Hasan and Muhammad Ahmad}, editor = {Enrico Macii}, title = {Formal analysis of steady state errors in feedback control systems using HOL-light}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1423--1426}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.290}, doi = {10.7873/DATE.2013.290}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HasanA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeLLHY13, author = {Xinyu He and Shuangchen Li and Yongpan Liu and Xiaobo Sharon Hu and Huazhong Yang}, editor = {Enrico Macii}, title = {Utilizing voltage-frequency islands in C-to-RTL synthesis for streaming applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {992--995}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.207}, doi = {10.7873/DATE.2013.207}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HeLLHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HellwegeHPP13, author = {Nico Hellwege and Nils Heidmann and Dagmar Peters{-}Drolshagen and Steffen Paul}, editor = {Enrico Macii}, title = {Reliability analysis for integrated circuit amplifiers used in neural measurement systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {713--716}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.153}, doi = {10.7873/DATE.2013.153}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HellwegeHPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HelmstetterCGMV13, author = {Claude Helmstetter and J{\'{e}}r{\^{o}}me Cornet and Bruno Galil{\'{e}}e and Matthieu Moy and Pascal Vivet}, editor = {Enrico Macii}, title = {Fast and accurate {TLM} simulations using temporal decoupling for FIFO-based communications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1185--1188}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.246}, doi = {10.7873/DATE.2013.246}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HelmstetterCGMV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HillebrechtKEWB13, author = {Stefan Hillebrecht and Michael A. Kochte and Dominik Erb and Hans{-}Joachim Wunderlich and Bernd Becker}, editor = {Enrico Macii}, title = {Accurate QBF-based test pattern generation in presence of unknown values}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {436--441}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.098}, doi = {10.7873/DATE.2013.098}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HillebrechtKEWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HongK13, author = {Seokin Hong and Soontae Kim}, editor = {Enrico Macii}, title = {{AVICA:} an access-time variation insensitive {L1} cache architecture}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {65--70}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.028}, doi = {10.7873/DATE.2013.028}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HongK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HoofP13, author = {Chris Van Hoof and Julien Penders}, editor = {Enrico Macii}, title = {Addressing the healthcare cost dilemma by managing health instead of managing illness: an opportunity for wearable wireless sensors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1537--1539}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.312}, doi = {10.7873/DATE.2013.312}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HoofP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsiehWH13, author = {Chih{-}Ming Hsieh and Zhonglei Wang and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {{DANCE:} distributed application-aware node configuration engine in shared reconfigurable sensor networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {839--842}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.177}, doi = {10.7873/DATE.2013.177}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HsiehWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuHMCF13, author = {Kai Hu and Bang{-}Ning Hsu and Andrew Madison and Krishnendu Chakrabarty and Richard B. Fair}, editor = {Enrico Macii}, title = {Fault detection, real-time error recovery, and experimental demonstration for digital microfluidic biochips}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {559--564}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.124}, doi = {10.7873/DATE.2013.124}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuHMCF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuNRK13, author = {Kangqiao Hu and Abdullah Nazma Nowroz and Sherief Reda and Farinaz Koushanfar}, editor = {Enrico Macii}, title = {High-sensitivity hardware trojan detection using multimodal characterization}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1271--1276}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.263}, doi = {10.7873/DATE.2013.263}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuNRK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuYH013, author = {Xing Hu and Guihai Yan and Yu Hu and Xiaowei Li}, editor = {Enrico Macii}, title = {Orchestrator: a low-cost solution to reduce voltage emergencies for multi-threaded applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {208--213}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.056}, doi = {10.7873/DATE.2013.056}, timestamp = {Tue, 12 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuYH013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuZXTS13, author = {Jingtong Hu and Qingfeng Zhuge and Chun Jason Xue and Wei{-}Che Tseng and Edwin Hsing{-}Mean Sha}, editor = {Enrico Macii}, title = {Software enabled wear-leveling for hybrid {PCM} main memory on embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {599--602}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.131}, doi = {10.7873/DATE.2013.131}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuZXTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangKCM13, author = {Ke Huang and Nathan Kupp and John M. Carulli Jr. and Yiorgos Makris}, editor = {Enrico Macii}, title = {Handling discontinuous effects in modeling spatial correlation of wafer-level analog/RF tests}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {553--558}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.123}, doi = {10.7873/DATE.2013.123}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangKCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangMSBP13, author = {Xiaoyu Huang and Jimson Mathew and Rishad A. Shafik and Subhasis Bhattacharjee and Dhiraj K. Pradhan}, editor = {Enrico Macii}, title = {A fast and Effective {DFT} for test and diagnosis of power switches in SoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1089--1092}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.229}, doi = {10.7873/DATE.2013.229}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangMSBP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImagawaTOS13, author = {Takashi Imagawa and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, editor = {Enrico Macii}, title = {A cost-effective selective {TMR} for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {701--706}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.151}, doi = {10.7873/DATE.2013.151}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ImagawaTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JahnH13, author = {Janmartin Jahn and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {Pipelets: self-organizing software pipelines for many-core architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1516--1521}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.308}, doi = {10.7873/DATE.2013.308}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JahnH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JainTG13, author = {Rohit Kumar Jain and Praveen Tiwari and Soumen Ghosh}, editor = {Enrico Macii}, title = {Automated determination of top level control signals}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {509--512}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.115}, doi = {10.7873/DATE.2013.115}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JainTG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JaninBCDEGLT13, author = {Yves Janin and Val{\'{e}}rie Bertin and Herv{\'{e}} Chauvet and Thomas Deruyter and Christophe Eichwald and Olivier{-}Andr{\'{e}} Giraud and Vincent Lorquet and Thomas Thery}, editor = {Enrico Macii}, title = {Designing tightly-coupled extension units for the STxP70 processor}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1052--1053}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.219}, doi = {10.7873/DATE.2013.219}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JaninBCDEGLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiangEP13, author = {Ke Jiang and Petru Eles and Zebo Peng}, editor = {Enrico Macii}, title = {Optimization of secure embedded systems with dynamic task sets}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1765--1770}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.355}, doi = {10.7873/DATE.2013.355}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JiangEP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JimenezNI13, author = {Xavier Jimenez and David Novo and Paolo Ienne}, editor = {Enrico Macii}, title = {Ph{\oe}nix: reviving {MLC} blocks as {SLC} to extend {NAND} flash devices lifetime}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {226--229}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.059}, doi = {10.7873/DATE.2013.059}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JimenezNI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JohnSVK13, author = {Reiner John and Martin Schulz and Ovidiu Vermesan and Kai Kriegel}, editor = {Enrico Macii}, title = {Semiconductor technologies for smart mobility management}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1749--1752}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.352}, doi = {10.7873/DATE.2013.352}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JohnSVK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JongheDDG13, author = {Dimitri de Jonghe and Dirk Deschrijver and Tom Dhaene and Georges G. E. Gielen}, editor = {Enrico Macii}, title = {Extracting analytical nonlinear models from analog circuits by recursive vector fitting of transfer function trajectories}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1448--1453}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.295}, doi = {10.7873/DATE.2013.295}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JongheDDG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JooyaB13, author = {Ali Jooya and Amirali Baniasadi}, editor = {Enrico Macii}, title = {Using synchronization stalls in power-aware accelerators}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {400--403}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.091}, doi = {10.7873/DATE.2013.091}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JooyaB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JoseNKM13, author = {John Jose and Bhawna Nayak and Damarla Kranthi Kumar and Madhu Mutyam}, editor = {Enrico Macii}, title = {DeBAR: deflection based adaptive router with minimal buffering}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1583--1588}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.322}, doi = {10.7873/DATE.2013.322}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JoseNKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JoshiLBBG13, author = {Smriti Joshi and Anne Lombardot and Marc Belleville and Edith Beign{\'{e}} and St{\'{e}}phane Girard}, editor = {Enrico Macii}, title = {A gate level methodology for efficient statistical leakage estimation in complex 32nm circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1056--1057}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.221}, doi = {10.7873/DATE.2013.221}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JoshiLBBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kae-NuneP13, author = {Nathalie Kae{-}Nune and Stephanie Pesseguier}, editor = {Enrico Macii}, title = {Qualification and testing process to implement anti-counterfeiting technologies into {IC} packages}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1131--1136}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.237}, doi = {10.7873/DATE.2013.237}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Kae-NuneP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KahngKP13, author = {Andrew B. Kahng and Seokhyeong Kang and Bongil Park}, editor = {Enrico Macii}, title = {Active-mode leakage reduction with data-retained power gating}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1209--1214}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.251}, doi = {10.7873/DATE.2013.251}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KahngKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KahngLN13, author = {Andrew B. Kahng and Bill Lin and Siddhartha Nath}, editor = {Enrico Macii}, title = {Enhanced metamodeling techniques for high-dimensional {IC} design estimation problems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1861--1866}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.371}, doi = {10.7873/DATE.2013.371}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KahngLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KappelHHHHH13, author = {Robert Kappel and G{\"{u}}nter Hofer and Gerald Holweg and Thomas Herndl}, editor = {Enrico Macii}, title = {Alternative power supply concepts for self-sufficient wireless sensor nodes by energy harvesting}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {481}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485405}, timestamp = {Thu, 09 Jun 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KappelHHHHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KatoenNWSS13, author = {Joost{-}Pieter Katoen and Thomas Noll and Hao Wu and Thomas Santen and Dirk Seifert}, editor = {Enrico Macii}, title = {Model-based energy optimization of automotive control systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {761--766}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.162}, doi = {10.7873/DATE.2013.162}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KatoenNWSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KavousianosC13, author = {Xrysovalantis Kavousianos and Krishnendu Chakrabarty}, editor = {Enrico Macii}, title = {Testing for SoCs with advanced static and dynamic power-management capabilities}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {737--742}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.158}, doi = {10.7873/DATE.2013.158}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KavousianosC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KazmierskiWAM13, author = {Tom J. Kazmierski and Leran Wang and Bashir M. Al{-}Hashimi and Geoff V. Merrett}, editor = {Enrico Macii}, title = {DoE-based performance optimization of energy management in sensor nodes powered by tunable energy-harvesters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {484}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485408}, timestamp = {Fri, 17 May 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KazmierskiWAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhanBBSH13, author = {Muhammad Usman Karim Khan and Jan Micha Borrmann and Lars Bauer and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {An {H.264} Quad-FullHD low-latency intra video encoder}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {115--120}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.037}, doi = {10.7873/DATE.2013.037}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KhanBBSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhanSGH13, author = {Muhammad Usman Karim Khan and Muhammad Shafique and Mateus Grellert and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {Hardware-software collaborative complexity reduction scheme for the emerging {HEVC} intra encoder}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {125--128}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.039}, doi = {10.7873/DATE.2013.039}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhanSGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimJC13, author = {Dongwon Kim and Wonwoo Jung and Hojung Cha}, editor = {Enrico Macii}, title = {Runtime power estimation of mobile {AMOLED} displays}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {61--64}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.027}, doi = {10.7873/DATE.2013.027}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimRAL13, author = {Jungsoo Kim and Martino Ruggiero and David Atienza and Marcel Lederberger}, editor = {Enrico Macii}, title = {Correlation-aware virtual machine allocation for energy-efficient datacenters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1345--1350}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.277}, doi = {10.7873/DATE.2013.277}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimRAL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimYIBS13, author = {Jung{-}Eun Kim and Man{-}Ki Yoon and Sungjin Im and Richard M. Bradford and Lui Sha}, editor = {Enrico Macii}, title = {Optimized scheduling of multi-IMA partitions with exclusive region for synchronized real-time multi-core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {970--975}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.203}, doi = {10.7873/DATE.2013.203}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimYIBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KinsyCKD13, author = {Michel A. Kinsy and Ivan Celanovic and Omer Khan and Srinivas Devadas}, editor = {Enrico Macii}, title = {{MARTHA:} architecture for control and emulation of power electronics and smart grid systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {519--524}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.117}, doi = {10.7873/DATE.2013.117}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KinsyCKD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KleefMLMTBSM13, author = {Joshua Paul van Kleef and Travis L. Massey and Peter Ledochowitsch and Rikky Muller and R. Tiefenauer and Timothy J. Blanche and Hirotaka Sato and Michel M. Maharbiz}, editor = {Enrico Macii}, title = {Cyborg insects, neural interfaces and other things: building interfaces between the synthetic and the multicellular}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1546}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.314}, doi = {10.7873/DATE.2013.314}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KleefMLMTBSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KloosM13, author = {Johannes Kloos and Rupak Majumdar}, editor = {Enrico Macii}, title = {Supervisor synthesis for controller upgrades}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1105--1110}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.232}, doi = {10.7873/DATE.2013.232}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KloosM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KocBKE13, author = {Fahrettin Koc and Kenan Bozdas and Burak Karsli and Oguz Ergin}, editor = {Enrico Macii}, title = {Exploiting replicated checkpoints for soft error detection and correction}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1494--1497}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.304}, doi = {10.7873/DATE.2013.304}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KocBKE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KodakaTSYKTXSUTMM13, author = {Takeshi Kodaka and Akira Takeda and Shunsuke Sasaki and Akira Yokosawa and Toshiki Kizu and Takahiro Tokuyoshi and Hui Xu and Toru Sano and Hiroyuki Usui and Jun Tanabe and Takashi Miyamori and Nobu Matsumoto}, editor = {Enrico Macii}, title = {A near-future prediction method for low power consumption on a many-core processor}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1058--1059}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.222}, doi = {10.7873/DATE.2013.222}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KodakaTSYKTXSUTMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KoeberlKS13, author = {Patrick Koeberl and {\"{U}}nal Ko{\c{c}}abas and Ahmad{-}Reza Sadeghi}, editor = {Enrico Macii}, title = {Memristor PUFs: a new generation of memory-based physically unclonable functions}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {428--431}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.096}, doi = {10.7873/DATE.2013.096}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KoeberlKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KondratyevLMW13, author = {Alex Kondratyev and Luciano Lavagno and Mike Meyer and Yosinori Watanabe}, editor = {Enrico Macii}, title = {Share with care: a quantitative evaluation of sharing approaches in high-level synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1547--1552}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.315}, doi = {10.7873/DATE.2013.315}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KondratyevLMW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KosmidisAQC13, author = {Leonidas Kosmidis and Jaume Abella and Eduardo Qui{\~{n}}ones and Francisco J. Cazorla}, editor = {Enrico Macii}, title = {A cache design for probabilistically analysable real-time systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {513--518}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.116}, doi = {10.7873/DATE.2013.116}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KosmidisAQC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KosmidisCQABC13, author = {Leonidas Kosmidis and Charlie Curtsinger and Eduardo Qui{\~{n}}ones and Jaume Abella and Emery D. Berger and Francisco J. Cazorla}, editor = {Enrico Macii}, title = {Probabilistic timing analysis on conventional cache designs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {603--606}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.132}, doi = {10.7873/DATE.2013.132}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KosmidisCQABC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kozyrakis13, author = {Christos Kozyrakis}, editor = {Enrico Macii}, title = {Resource efficient computing for warehouse-scale datacenters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1351--1356}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.278}, doi = {10.7873/DATE.2013.278}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Kozyrakis13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarCT13, author = {Pratyush Kumar and Devesh B. Chokshi and Lothar Thiele}, editor = {Enrico Macii}, title = {A satisfiability approach to speed assignment for distributed real-time systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {749--754}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.160}, doi = {10.7873/DATE.2013.160}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KumarCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarK13, author = {Rajeev Kumar and Sunil P. Khatri}, editor = {Enrico Macii}, title = {Crosstalk avoidance codes for 3D {VLSI}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1673--1678}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.338}, doi = {10.7873/DATE.2013.338}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KumarK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LagraaTP13, author = {Sofiane Lagraa and Alexandre Termier and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, editor = {Enrico Macii}, title = {Data mining MPSoC simulation traces to identify concurrent memory access patterns}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {755--760}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.161}, doi = {10.7873/DATE.2013.161}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LagraaTP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LaiCAG13, author = {Liangzhen Lai and Vikas Chandra and Robert C. Aitken and Puneet Gupta}, editor = {Enrico Macii}, title = {SlackProbe: a low overhead in situ on-line timing slack monitoring methodology}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {282--287}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.070}, doi = {10.7873/DATE.2013.070}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LaiCAG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeGD13, author = {Hoang Minh Le and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Enrico Macii}, title = {Scalable fault localization for SystemC {TLM} designs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {35--38}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.022}, doi = {10.7873/DATE.2013.022}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeGD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeJS13, author = {Jongeun Lee and Yeonghun Jeong and Sungsok Seo}, editor = {Enrico Macii}, title = {Fast shared on-chip memory architecture for efficient hybrid computing with CGRAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1575--1578}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.320}, doi = {10.7873/DATE.2013.320}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeKPK13, author = {Sungjin Lee and Taejin Kim and Jisung Park and Jihong Kim}, editor = {Enrico Macii}, title = {An integrated approach for managing the lifetime of flash-based SSDs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1522--1525}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.309}, doi = {10.7873/DATE.2013.309}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeeKPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeVTS13, author = {William Lee and Vikas S. Vij and Anthony R. Thatcher and Kenneth S. Stevens}, editor = {Enrico Macii}, title = {Design of low energy, high performance synchronous and asynchronous 64-point {FFT}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {242--247}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.062}, doi = {10.7873/DATE.2013.062}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeVTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeWHY13, author = {Yu{-}Min Lee and Tsung{-}Heng Wu and Pei{-}Yu Huang and Chi{-}Ping Yang}, editor = {Enrico Macii}, title = {{NUMANA:} a hybrid {\textless}u{\textgreater}num{\textless}/u{\textgreater}erical and {\textless}u{\textgreater}ana{\textless}/u{\textgreater}lytical thermal simulator for 3-D ICs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1379--1384}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.282}, doi = {10.7873/DATE.2013.282}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeWHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeestT13, author = {Vincent van der Leest and Pim Tuyls}, editor = {Enrico Macii}, title = {Anti-counterfeiting with hardware intrinsic security}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1137--1142}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.238}, doi = {10.7873/DATE.2013.238}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeestT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LefterVTEHC13, author = {Mihai Lefter and George Razvan Voicu and Mottaqiallah Taouil and Marius Enachescu and Said Hamdioui and Sorin Dan Cotofana}, editor = {Enrico Macii}, title = {Is TSV-based 3D integration suitable for inter-die memory repair?}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1251--1254}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.259}, doi = {10.7873/DATE.2013.259}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LefterVTEHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Lehner13, author = {Wolfgang Lehner}, editor = {Enrico Macii}, title = {Energy-efficient in-memory database computing}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {470--474}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.105}, doi = {10.7873/DATE.2013.105}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Lehner13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiBMLO13, author = {Zhen Li and S{\'{e}}bastien Le Beux and Christelle Monat and Xavier Letartre and Ian O'Connor}, editor = {Enrico Macii}, title = {Optical look up table}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {873--876}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.184}, doi = {10.7873/DATE.2013.184}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiBMLO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiD13, author = {Min Li and Azadeh Davoodi}, editor = {Enrico Macii}, title = {A hybrid approach for fast and accurate trace signal selection for post-silicon debug}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {485--490}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.111}, doi = {10.7873/DATE.2013.111}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiSLXCX13, author = {Jianhua Li and Liang Shi and Qing'an Li and Chun Jason Xue and Yiran Chen and Yinlong Xu}, editor = {Enrico Macii}, title = {Cache coherence enabled adaptive refresh for volatile {STT-RAM}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1247--1250}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.258}, doi = {10.7873/DATE.2013.258}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiSLXCX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiSRRRAHP13, author = {Tuo Li and Muhammad Shafique and Semeen Rehman and Swarnalatha Radhakrishnan and Roshan G. Ragel and Jude Angelo Ambrose and J{\"{o}}rg Henkel and Sri Parameswaran}, editor = {Enrico Macii}, title = {{CSER:} {HW/SW} configurable soft-error resiliency for application specific instruction-set processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {707--712}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.152}, doi = {10.7873/DATE.2013.152}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiSRRRAHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiYHL13, author = {Xueliang Li and Guihai Yan and Yinhe Han and Xiaowei Li}, editor = {Enrico Macii}, title = {SmartCap: user experience-oriented power adaptation for smartphone's application processor}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {57--60}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.026}, doi = {10.7873/DATE.2013.026}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiYHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiZCZ13, author = {Jiaxin Li and Weihua Zhang and Haibo Chen and Binyu Zang}, editor = {Enrico Macii}, title = {Multi-level phase analysis for sampling simulation}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {649--654}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.141}, doi = {10.7873/DATE.2013.141}, timestamp = {Mon, 31 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiZCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LifaEP13, author = {Adrian Alin Lifa and Petru Eles and Zebo Peng}, editor = {Enrico Macii}, title = {Dynamic configuration prefetching based on piecewise linear prediction}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {815--820}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.173}, doi = {10.7873/DATE.2013.173}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LifaEP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinHLFGHM13, author = {David Lin and Ted Hong and Yanjing Li and Farzan Fallah and Donald S. Gardner and Nagib Hakim and Subhasish Mitra}, editor = {Enrico Macii}, title = {Overcoming post-silicon validation challenges through quick error detection {(QED)}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {320--325}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.077}, doi = {10.7873/DATE.2013.077}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinHLFGHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LindwerP13, author = {Menno Lindwer and Mark Ruvald Pedersen}, editor = {Enrico Macii}, title = {High-performance imaging subsystems and their integration in mobile devices}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {170}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.048}, doi = {10.7873/DATE.2013.048}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LindwerP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LishernessLC13, author = {Peter Lisherness and Nicole Lesperance and Kwang{-}Ting (Tim) Cheng}, editor = {Enrico Macii}, title = {Mutation analysis with coverage discounting}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {31--34}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.021}, doi = {10.7873/DATE.2013.021}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LishernessLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuLC13, author = {Shih{-}Ying Sean Liu and Ren{-}Guo Luo and Hung{-}Ming Chen}, editor = {Enrico Macii}, title = {A network-flow based algorithm for power density mitigation at post-placement stage}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1707--1710}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.344}, doi = {10.7873/DATE.2013.344}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuLHCLL13, author = {Shih{-}Ying Sean Liu and Chieh{-}Jui Lee and Chuan{-}Chia Huang and Hung{-}Ming Chen and Chang{-}Tzu Lin and Chia{-}Hsin Lee}, editor = {Enrico Macii}, title = {Effective power network prototyping via statistical-based clustering and sequential linear programming}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1701--1706}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.343}, doi = {10.7873/DATE.2013.343}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuLHCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuN13, author = {Haisheng Liu and Sma{\"{\i}}l Niar}, editor = {Enrico Macii}, title = {Radar signature in multiple target tracking system for driver assistant application}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {887--892}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.187}, doi = {10.7873/DATE.2013.187}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LivramentoGGJ13, author = {Vinicius S. Livramento and Chrystian Guth and Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel and Marcelo O. Johann}, editor = {Enrico Macii}, title = {Fast and efficient lagrangian relaxation-based discrete gate sizing}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1855--1860}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.370}, doi = {10.7873/DATE.2013.370}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LivramentoGGJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoiaconoPPPQRVB13, author = {Carmelo Loiacono and Marco Palena and Paolo Pasini and Denis Patti and Stefano Quer and Stefano Ricossa and Danilo Vendraminetto and Jason Baumgartner}, editor = {Enrico Macii}, title = {Fast cone-of-influence computation and estimation in problems with multiple properties}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {803--806}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.170}, doi = {10.7873/DATE.2013.170}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LoiaconoPPPQRVB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LorenteVSPCLD13, author = {Vicente Lorente and Alejandro Valero and Julio Sahuquillo and Salvador Petit and Ramon Canal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Enrico Macii}, title = {Combining {RAM} technologies for hard-error recovery in {L1} data caches working at very-low power modes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {83--88}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.031}, doi = {10.7873/DATE.2013.031}, timestamp = {Wed, 19 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LorenteVSPCLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LotfianJ13, author = {Reza Lotfian and Roozbeh Jafari}, editor = {Enrico Macii}, title = {An ultra-low power hardware accelerator architecture for wearable computers using dynamic time warping}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {913--916}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.192}, doi = {10.7873/DATE.2013.192}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LotfianJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuL13, author = {Chia{-}Chieh Lu and Rung{-}Bin Lin}, editor = {Enrico Macii}, title = {Slack budgeting and slack to length converting for multi-bit flip-flop merging}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1837--1842}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.367}, doi = {10.7873/DATE.2013.367}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LuL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuMS13, author = {Kun Lu and Daniel Mueller{-}Gritschneder and Ulf Schlichtmann}, editor = {Enrico Macii}, title = {Fast cache simulation for host-compiled simulation of embedded software}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {637--642}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.139}, doi = {10.7873/DATE.2013.139}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LuMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuMS13a, author = {Kun Lu and Daniel Mueller{-}Gritschneder and Ulf Schlichtmann}, editor = {Enrico Macii}, title = {Analytical timing estimation for temporally decoupled TLMs considering resource conflicts}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1161--1166}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.242}, doi = {10.7873/DATE.2013.242}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LuMS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuZ13, author = {Yinghai Lu and Hai Zhou}, editor = {Enrico Macii}, title = {Retiming for Soft Error Minimization Under Error-Latching Window Constraints}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1008--1013}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.210}, doi = {10.7873/DATE.2013.210}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LuZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LukasiewyczSC13, author = {Martin Lukasiewycz and Sebastian Steinhorst and Samarjit Chakraborty}, editor = {Enrico Macii}, title = {Priority assignment for event-triggered systems using mathematical programming}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {982--987}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.205}, doi = {10.7873/DATE.2013.205}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LukasiewyczSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LyrasRPS13, author = {Grigorios Lyras and Dimitrios Rodopoulos and Antonis Papanikolaou and Dimitrios Soudris}, editor = {Enrico Macii}, title = {Hypervised transient {SPICE} simulations of large netlists {\&} workloads on multi-processor systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {655--658}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.142}, doi = {10.7873/DATE.2013.142}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LyrasRPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaYGGTBH13, author = {Yue Ma and Huafeng Yu and Thierry Gautier and Paul {Le Guernic} and Jean{-}Pierre Talpin and Lo{\"{\i}}c Besnard and Maurice Heitz}, editor = {Enrico Macii}, title = {Toward polychronous analysis and validation for timed software architectures in {AADL}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1173--1178}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.244}, doi = {10.7873/DATE.2013.244}, timestamp = {Wed, 29 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MaYGGTBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MagarshackFC13, author = {Philippe Magarshack and Philippe Flatresse and Giorgio Cesana}, editor = {Enrico Macii}, title = {{UTBB} {FD-SOI:} a process/design symbiosis for breakthrough energy-efficiency}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {952--957}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.200}, doi = {10.7873/DATE.2013.200}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MagarshackFC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MalburgFF13, author = {Jan Malburg and Alexander Finder and G{\"{o}}rschwin Fey}, editor = {Enrico Macii}, title = {Tuning dynamic data flow analysis to support design understanding}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1179--1184}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.245}, doi = {10.7873/DATE.2013.245}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MalburgFF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MandalKM13, author = {Ayan Mandal and Sunil P. Khatri and Rabi N. Mahapatra}, editor = {Enrico Macii}, title = {Exploring topologies for source-synchronous ring-based network-on-chip}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1026--1031}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.214}, doi = {10.7873/DATE.2013.214}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MandalKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ManiatakosMM13, author = {Michail Maniatakos and Maria K. Michael and Yiorgos Makris}, editor = {Enrico Macii}, title = {AVF-driven parity optimization for {MBU} protection of in-core memory arrays}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1480--1485}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.301}, doi = {10.7873/DATE.2013.301}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ManiatakosMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaricAV13, author = {Bojan Maric and Jaume Abella and Mateo Valero}, editor = {Enrico Macii}, title = {Efficient cache architectures for reliable hybrid voltage operation using {EDC} codes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {917--920}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.193}, doi = {10.7873/DATE.2013.193}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MaricAV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MartinB13, author = {Frank Martin and Peter Bennett}, editor = {Enrico Macii}, title = {Configurable {I/O} integration to reduce system-on-chip time to market: DDR, PCIe examples}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {169}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.047}, doi = {10.7873/DATE.2013.047}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MartinB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Milano13, author = {Michela Milano}, editor = {Enrico Macii}, title = {Sustainable energy policies: research challenges and opportunities}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1143--1148}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.239}, doi = {10.7873/DATE.2013.239}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Milano13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MillerB13, author = {Merritt Miller and Forrest Brewer}, editor = {Enrico Macii}, title = {Formal verification of analog circuit parameters across variation utilizing {SAT}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1442--1447}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.294}, doi = {10.7873/DATE.2013.294}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MillerB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiryalaMCMP13, author = {Sandeep Miryala and Mehrdad Montazeri and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Enrico Macii}, title = {A verilog-a model for reconfigurable logic gates based on graphene pn-junctions}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {877--880}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.185}, doi = {10.7873/DATE.2013.185}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MiryalaMCMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MishchenkoEBBMN13, author = {Alan Mishchenko and Niklas E{\'{e}}n and Robert K. Brayton and Jason Baumgartner and Hari Mony and Pradeep Kumar Nalla}, editor = {Enrico Macii}, title = {{GLA:} gate-level abstraction revisited}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1399--1404}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.286}, doi = {10.7873/DATE.2013.286}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MishchenkoEBBMN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MishchenkoEBCCS13, author = {Alan Mishchenko and Niklas E{\'{e}}n and Robert K. Brayton and Michael L. Case and Pankaj Chauhan and Nikhil Sharma}, editor = {Enrico Macii}, title = {A semi-canonical form for sequential AIGs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {797--802}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.169}, doi = {10.7873/DATE.2013.169}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MishchenkoEBCCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MishraBTRF13, author = {Biswajit Mishra and Cyril Botteron and Gabriele Tasselli and Christian Robert and Pierre{-}Andr{\'{e}} Farine}, editor = {Enrico Macii}, title = {A sub-{\(\mu\)}\emph{a} power management circuit in 0.18{\(\mu\)}\emph{m} {CMOS} for energy harvesters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1197--1202}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.249}, doi = {10.7873/DATE.2013.249}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MishraBTRF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Mitcheson13, author = {Paul D. Mitcheson}, editor = {Enrico Macii}, title = {Adaptable, high performance energy harvesters: can energy harvesting deliver enough power for automotive electronics?}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {482}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485406}, timestamp = {Fri, 17 May 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Mitcheson13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MittalBKDKP13, author = {Rajesh Mittal and Lakshmanan Balasubramanian and Y. B. Chethan Kumar and V. R. Devanathan and Mudasir Kawoosa and Rubin A. Parekhji}, editor = {Enrico Macii}, title = {Towards adaptive test of multi-core {RF} SoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {743--748}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.159}, doi = {10.7873/DATE.2013.159}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MittalBKDKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiyamoriXKUST13, author = {Takashi Miyamori and Hui Xu and Takeshi Kodaka and Hiroyuki Usui and Toru Sano and Jun Tanabe}, editor = {Enrico Macii}, title = {Development of low power many-core SoC for multimedia applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {773--777}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.164}, doi = {10.7873/DATE.2013.164}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MiyamoriXKUST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MockCRB13, author = {Randolf Mock and Tullio Salmon Cinotti and Johannes Reinschke and Luciano Bononi}, editor = {Enrico Macii}, title = {Interactions of large scale {EV} mobility and virtual power plants}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1725--1729}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.347}, doi = {10.7873/DATE.2013.347}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MockCRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MohanramWI13, author = {Kartik Mohanram and Matthew Wartell and Sundar Iyer}, editor = {Enrico Macii}, title = {Mempack: an order of magnitude reduction in the cost, risk, and time for memory compiler certification}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1490--1493}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.303}, doi = {10.7873/DATE.2013.303}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MohanramWI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Moy13, author = {Matthieu Moy}, editor = {Enrico Macii}, title = {Parallel programming with SystemC for loosely timed models: a non-intrusive approach}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {9--14}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.017}, doi = {10.7873/DATE.2013.017}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Moy13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Mueller-GritschnederLWGS13, author = {Daniel Mueller{-}Gritschneder and Kun Lu and Erik Wallander and Marc Greim and Ulf Schlichtmann}, editor = {Enrico Macii}, title = {A virtual prototyping platform for real-time systems with a case study for a two-wheeled robot}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1331--1334}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.274}, doi = {10.7873/DATE.2013.274}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Mueller-GritschnederLWGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MuradoreQF13, author = {Riccardo Muradore and Davide Quaglia and Paolo Fiorini}, editor = {Enrico Macii}, title = {Model predictive control over delay-based differentiated services control networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1117--1122}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.234}, doi = {10.7873/DATE.2013.234}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MuradoreQF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MurugappaBJ13, author = {Purushotham Murugappa and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel}, editor = {Enrico Macii}, title = {Parameterized area-efficient multi-standard turbo decoder}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {109--114}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.036}, doi = {10.7873/DATE.2013.036}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MurugappaBJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MushtaqAB13, author = {Hamid Mushtaq and Zaid Al{-}Ars and Koen Bertels}, editor = {Enrico Macii}, title = {Efficient software-based fault tolerance approach on multicore platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {921--926}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.194}, doi = {10.7873/DATE.2013.194}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MushtaqAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NavasSO13, author = {Byron Navas and Ingo Sander and Johnny {\"{O}}berg}, editor = {Enrico Macii}, title = {The RecoBlock SoC platform: a flexible array of reusable run-time-reconfigurable IP-blocks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {833--838}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.176}, doi = {10.7873/DATE.2013.176}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NavasSO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NegreanKE13, author = {Mircea Negrean and Sebastian Klawitter and Rolf Ernst}, editor = {Enrico Macii}, title = {Timing analysis of multi-mode applications on {AUTOSAR} conform multi-core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {302--307}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.074}, doi = {10.7873/DATE.2013.074}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NegreanKE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeukirchnerQMAE13, author = {Moritz Neukirchner and Sophie Quinton and Tobias Michaels and Philip Axer and Rolf Ernst}, editor = {Enrico Macii}, title = {Sensitivity analysis for arbitrary activation patterns in real-time systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {135--140}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.041}, doi = {10.7873/DATE.2013.041}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NeukirchnerQMAE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiakiS13, author = {Seyed{-}Hosein Attarzadeh{-}Niaki and Ingo Sander}, editor = {Enrico Macii}, title = {An automated parallel simulation flow for heterogeneous embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {27--30}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.020}, doi = {10.7873/DATE.2013.020}, timestamp = {Fri, 03 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NiakiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NikolaouSNOI13, author = {Panagiota Nikolaou and Yiannakis Sazeides and Lorena Ndreu and Emre {\"{O}}zer and Sachin Idgunji}, editor = {Enrico Macii}, title = {Memory array protection: check on read or check on write?}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {214--219}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.057}, doi = {10.7873/DATE.2013.057}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NikolaouSNOI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NoguchiNAFAKNMN13, author = {Hiroki Noguchi and Kumiko Nomura and Keiko Abe and Shinobu Fujita and Eishi Arima and Kyundong Kim and Takashi Nakada and Shinobu Miwa and Hiroshi Nakamura}, editor = {Enrico Macii}, title = {{D-MRAM} cache: enhancing energy efficiency with 3T-1MTJ {DRAM/MRAM} hybrid memory}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1813--1818}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.363}, doi = {10.7873/DATE.2013.363}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NoguchiNAFAKNMN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NovoAI13, author = {David Novo and Sara El Alaoui and Paolo Ienne}, editor = {Enrico Macii}, title = {Accuracy vs speed tradeoffs in the estimation of fixed-point errors on linear time-invariant systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {15--20}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.018}, doi = {10.7873/DATE.2013.018}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NovoAI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NugterenBC13, author = {Cedric Nugteren and Gert{-}Jan van den Braak and Henk Corporaal}, editor = {Enrico Macii}, title = {Future of {GPGPU} micro-architectural parameters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {392--395}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.089}, doi = {10.7873/DATE.2013.089}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NugterenBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ObergMSK13, author = {Jason Oberg and Sarah Meiklejohn and Timothy Sherwood and Ryan Kastner}, editor = {Enrico Macii}, title = {A practical testing framework for isolating hardware timing channels}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1281--1284}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.265}, doi = {10.7873/DATE.2013.265}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ObergMSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OborilT13, author = {Fabian Oboril and Mehdi Baradaran Tahoori}, editor = {Enrico Macii}, title = {MTTF-balanced pipeline design}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {270--275}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.068}, doi = {10.7873/DATE.2013.068}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OborilT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OjailDLG13, author = {Maroun Ojail and Rapha{\"{e}}l David and Yves Lhuillier and Alexandre Guerre}, editor = {Enrico Macii}, title = {{ARTM:} a lightweight fork-join framework for many-core embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1510--1515}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.307}, doi = {10.7873/DATE.2013.307}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OjailDLG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OlivoGCM13, author = {Jacopo Olivo and Sara S. Ghoreishizadeh and Sandro Carrara and Giovanni De Micheli}, editor = {Enrico Macii}, title = {Electronic implants: power delivery and management}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1540--1545}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.313}, doi = {10.7873/DATE.2013.313}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OlivoGCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PalitHNN13, author = {Indranil Palit and Xiaobo Sharon Hu and Joseph Nahas and Michael T. Niemier}, editor = {Enrico Macii}, title = {Systematic design of nanomagnet logic circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1795--1800}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.360}, doi = {10.7873/DATE.2013.360}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PalitHNN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanCL13, author = {Po{-}Cheng Pan and Hung{-}Ming Chen and Chien{-}Chih Lin}, editor = {Enrico Macii}, title = {{PAGE:} parallel agile genetic exploration towards utmost performance for analog circuit design}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1849--1854}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.369}, doi = {10.7873/DATE.2013.369}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PanCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaoneVZSMHL13, author = {Edoardo Paone and Nazanin Vahabi and Vittorio Zaccaria and Cristina Silvano and Diego Melpignano and Germain Haugou and Thierry Lepley}, editor = {Enrico Macii}, title = {Improving simulation speed and accuracy for many-core embedded platforms with ensemble models}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {671--676}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.145}, doi = {10.7873/DATE.2013.145}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaoneVZSMHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkCA13, author = {Junyoung Park and Ameya Chaudhari and Jacob A. Abraham}, editor = {Enrico Macii}, title = {Non-speculative double-sampling technique to increase energy-efficiency in a high-performance processor}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {254--257}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.064}, doi = {10.7873/DATE.2013.064}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParkCA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkQPC13, author = {Sunghyun Park and Masood Qazi and Li{-}Shiuan Peh and Anantha P. Chandrakasan}, editor = {Enrico Macii}, title = {40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm {SOI} {CMOS}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1637--1642}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.332}, doi = {10.7873/DATE.2013.332}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParkQPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaternaR13, author = {Francesco Paterna and Sherief Reda}, editor = {Enrico Macii}, title = {Mitigating dark-silicon problems using superlattice-based thermoelectric coolers}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1391--1394}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.284}, doi = {10.7873/DATE.2013.284}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PaternaR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Pedram13, author = {Massoud Pedram}, editor = {Enrico Macii}, title = {Creating a sustainable information and communication infrastructure}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {2}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.015}, doi = {10.7873/DATE.2013.015}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Pedram13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PerelliCMBMB13, author = {Alessandro Perelli and Carlo Caione and Luca De Marchi and Davide Brunelli and Alessandro Marzani and Luca Benini}, editor = {Enrico Macii}, title = {Design of an ultra-low power device for aircraft structural health monitoring}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1127--1130}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.236}, doi = {10.7873/DATE.2013.236}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PerelliCMBMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Pham-QuocHWABB13, author = {Cuong Pham{-}Quoc and Jan Heisswolf and Stephan Werner and Zaid Al{-}Ars and J{\"{u}}rgen Becker and Koen Bertels}, editor = {Enrico Macii}, title = {Hybrid interconnect design for heterogeneous hardware accelerators}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {843--846}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.178}, doi = {10.7873/DATE.2013.178}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Pham-QuocHWABB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PigorschS13, author = {Florian Pigorsch and Christoph Scholl}, editor = {Enrico Macii}, title = {Lemma localization: a practical method for downsizing SMT-interpolants}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1405--1410}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.287}, doi = {10.7873/DATE.2013.287}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PigorschS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PiriouDRR13, author = {Erwan Piriou and Rapha{\"{e}}l David and Fahim Rahim and Solaiman Rahim}, editor = {Enrico Macii}, title = {A fast and accurate methodology for power estimation and reduction of programmable architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1054--1055}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.220}, doi = {10.7873/DATE.2013.220}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PiriouDRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PistorHRTSBGMPKSLPP13, author = {Jonas Pistor and Janpeter Hoeffmann and David Rotermund and Elena Tolstosheeva and Tim Schellenberg and Dmitriy Boll and V{\'{\i}}ctor Gordillo{-}Gonz{\'{a}}lez and Sunita Mandon and Dagmar Peters{-}Drolshagen and Andreas K. Kreiter and Martin Schneider and Walter Lang and Klaus Pawelzik and Steffen Paul}, editor = {Enrico Macii}, title = {Development of a fully implantable recording system for ECoG signals}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {893--898}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.188}, doi = {10.7873/DATE.2013.188}, timestamp = {Sat, 09 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PistorHRTSBGMPKSLPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PollexFSMMW13, author = {Victor Pollex and Timo Feld and Frank Slomka and Ulrich Margull and Ralph Mader and Gerhard Wirrer}, editor = {Enrico Macii}, title = {Sufficient real-time analysis for an engine control unit with constant angular velocities}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1335--1338}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.275}, doi = {10.7873/DATE.2013.275}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PollexFSMMW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Pomeranz13, author = {Irith Pomeranz}, editor = {Enrico Macii}, title = {On candidate fault sets for fault diagnosis and dominance graphs of equivalence classes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1083--1088}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.228}, doi = {10.7873/DATE.2013.228}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Pomeranz13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PontarelliOEW13, author = {Salvatore Pontarelli and Marco Ottavi and Adrian Evans and Shi{-}Jie Wen}, editor = {Enrico Macii}, title = {Error detection in ternary CAMs using bloom filters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1474--1479}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.300}, doi = {10.7873/DATE.2013.300}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PontarelliOEW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PorcarelliBBP13, author = {Danilo Porcarelli and Domenico Balsamo and Davide Brunelli and Giacomo Paci}, editor = {Enrico Macii}, title = {Perpetual and low-cost power meter for monitoring residential and industrial appliances}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1155--1160}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.241}, doi = {10.7873/DATE.2013.241}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PorcarelliBBP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PouyanAMR13, author = {Peyman Pouyan and Esteve Amat and Francesc Moll and Antonio Rubio}, editor = {Enrico Macii}, title = {Design and implementation of an adaptive proactive reconfiguration technique for {SRAM} caches}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1303--1306}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.269}, doi = {10.7873/DATE.2013.269}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PouyanAMR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QianJBTMM13, author = {Zhiliang Qian and Da{-}Cheng Juan and Paul Bogdan and Chi{-}Ying Tsui and Diana Marculescu and Radu Marculescu}, editor = {Enrico Macii}, title = {SVR-NoC: a performance analysis tool for network-on-chips using learning-based support vector regression model}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {354--357}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.083}, doi = {10.7873/DATE.2013.083}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/QianJBTMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QuintonNE13, author = {Sophie Quinton and Mircea Negrean and Rolf Ernst}, editor = {Enrico Macii}, title = {Formal analysis of sporadic bursts in real-time systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {767--772}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.163}, doi = {10.7873/DATE.2013.163}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/QuintonNE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaghunathanTGM13, author = {Bharathwaj Raghunathan and Yatish Turakhia and Siddharth Garg and Diana Marculescu}, editor = {Enrico Macii}, title = {Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {39--44}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.023}, doi = {10.7873/DATE.2013.023}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaghunathanTGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahimiBG13, author = {Abbas Rahimi and Luca Benini and Rajesh K. Gupta}, editor = {Enrico Macii}, title = {Hierarchically focused guardbanding: an adaptive approach to mitigate {PVT} variations and aging}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1695--1700}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.342}, doi = {10.7873/DATE.2013.342}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RahimiBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahimiMBGB13, author = {Abbas Rahimi and Andrea Marongiu and Paolo Burgio and Rajesh K. Gupta and Luca Benini}, editor = {Enrico Macii}, title = {Variation-tolerant OpenMP tasking on tightly-coupled processor clusters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {541--546}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.121}, doi = {10.7873/DATE.2013.121}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RahimiMBGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RajendranSK13, author = {Jeyavijayan Rajendran and Ozgur Sinanoglu and Ramesh Karri}, editor = {Enrico Macii}, title = {Is split manufacturing secure?}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1259--1264}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.261}, doi = {10.7873/DATE.2013.261}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RajendranSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RajovicRVGPR13, author = {Nikola Rajovic and Alejandro Rico and James Vipond and Isaac Gelado and Nikola Puzovic and Alex Ram{\'{\i}}rez}, editor = {Enrico Macii}, title = {Experiences with mobile processors for energy efficient {HPC}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {464--468}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.103}, doi = {10.7873/DATE.2013.103}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RajovicRVGPR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RakossyHTSNO13, author = {Zolt{\'{a}}n Endre R{\'{a}}kossy and Masayuki Hiromoto and Hiroshi Tsutsui and Takashi Sato and Yukihiro Nakamura and Hiroyuki Ochi}, editor = {Enrico Macii}, title = {Hot-swapping architecture with back-biased testing for mitigation of permanent faults in functional unit array}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {535--540}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.120}, doi = {10.7873/DATE.2013.120}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RakossyHTSNO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaminiGBB13, author = {Luca Ramini and Paolo Grani and Sandro Bartolini and Davide Bertozzi}, editor = {Enrico Macii}, title = {Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1589--1594}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.323}, doi = {10.7873/DATE.2013.323}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaminiGBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RayB13, author = {B. N. Bhramar Ray and Shankar Balachandran}, editor = {Enrico Macii}, title = {An efficient wirelength model for analytical placement}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1711--1714}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.345}, doi = {10.7873/DATE.2013.345}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RayB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RehmanSAKCH13, author = {Semeen Rehman and Muhammad Shafique and Pau Vilimelis Aceituno and Florian Kriebel and Jian{-}Jia Chen and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {Leveraging variable function resilience for selective software reliability on unreliable hardware}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1759--1764}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.354}, doi = {10.7873/DATE.2013.354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RehmanSAKCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RenPRKWEK13, author = {Haoxing Ren and Ruchir Puri and Lakshmi N. Reddy and Smita Krishnaswamy and Cindy Washburn and Joel Earl and Joachim Keinert}, editor = {Enrico Macii}, title = {Intuitive {ECO} synthesis for high performance circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1002--1007}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.209}, doi = {10.7873/DATE.2013.209}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RenPRKWEK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RethyDSDG13, author = {Jelle Van Rethy and Hans Danneels and Valentijn De Smedt and Wim Dehaene and Georges G. E. Gielen}, editor = {Enrico Macii}, title = {A low-power and low-voltage BBPLL-based sensor interface in 130nm {CMOS} for wireless sensor networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1431--1435}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.292}, doi = {10.7873/DATE.2013.292}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RethyDSDG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RienerFF13, author = {Heinz Riener and Stefan Frehse and G{\"{o}}rschwin Fey}, editor = {Enrico Macii}, title = {Improving fault tolerance utilizing hardware-software-co-synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {939--942}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.197}, doi = {10.7873/DATE.2013.197}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RienerFF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RizkBJMA13, author = {Mostafa Rizk and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel and Yasser Mohana and Youssef Atat}, editor = {Enrico Macii}, title = {Statically-scheduled application-specific processor design: a case-study on {MMSE} {MIMO} equalization}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {677--680}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.146}, doi = {10.7873/DATE.2013.146}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RizkBJMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Rodriguez-RodriguezCCPT13, author = {Roberto Rodr{\'{\i}}guez{-}Rodr{\'{\i}}guez and Fernando Castro and Daniel Chaver and Luis Pi{\~{n}}uel and Francisco Tirado}, editor = {Enrico Macii}, title = {Reducing writes in phase-change memory environments by using efficient cache replacement policies}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {93--96}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.033}, doi = {10.7873/DATE.2013.033}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Rodriguez-RodriguezCCPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RuchBPMM13, author = {Patrick W. Ruch and Thomas Brunschwiler and Stephan Paredes and Gerhard Ingmar Meijer and Bruno Michel}, editor = {Enrico Macii}, title = {Roadmap towards ultimately-efficient zeta-scale datacenters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1339--1344}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.276}, doi = {10.7873/DATE.2013.276}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RuchBPMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RustLP13, author = {Jochen Rust and Frank Ludwig and Steffen Paul}, editor = {Enrico Macii}, title = {Low complexity QR-decomposition architecture using the logarithmic number system}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {97--102}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.034}, doi = {10.7873/DATE.2013.034}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RustLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RutzigBC13, author = {Mateus B. Rutzig and Antonio Carlos Schneider Beck and Luigi Carro}, editor = {Enrico Macii}, title = {A transparent and energy aware reconfigurable multiprocessor platform for simultaneous {ILP} and {TLP} exploitation}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1559--1564}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.317}, doi = {10.7873/DATE.2013.317}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RutzigBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabooriA13, author = {Ehsan Saboori and Samar Abdi}, editor = {Enrico Macii}, title = {Hybrid prototyping of multicore embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1627--1630}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.330}, doi = {10.7873/DATE.2013.330}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SabooriA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SagstetterLSWBHJPPC13, author = {Florian Sagstetter and Martin Lukasiewycz and Sebastian Steinhorst and Marko Wolf and Alexandre Bouard and William R. Harris and Somesh Jha and Thomas Peyrin and Axel Poschmann and Samarjit Chakraborty}, editor = {Enrico Macii}, title = {Security challenges in automotive hardware/software architecture design}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {458--463}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.102}, doi = {10.7873/DATE.2013.102}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SagstetterLSWBHJPPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SampaioZSABH13, author = {Felipe Sampaio and Bruno Zatt and Muhammad Shafique and Luciano Volcan Agostini and Sergio Bampi and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {Energy-efficient memory hierarchy for motion and disparity estimation in multiview video coding}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {665--670}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.144}, doi = {10.7873/DATE.2013.144}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SampaioZSABH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SarrazinENBG13, author = {S{\'{e}}bastien Sarrazin and Samuel Evain and Lirida Alves de Barros Naviner and Yannick Bonhomme and Valentin Gherman}, editor = {Enrico Macii}, title = {Scan design with shadow flip-flops for low performance overhead and concurrent delay fault detection}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1077--1082}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.227}, doi = {10.7873/DATE.2013.227}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SarrazinENBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SauerRSPB13, author = {Matthias Sauer and Sven Reimer and Tobias Schubert and Ilia Polian and Bernd Becker}, editor = {Enrico Macii}, title = {Efficient SAT-based dynamic compaction and relaxation for longest sensitizable paths}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {448--453}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.100}, doi = {10.7873/DATE.2013.100}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SauerRSPB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchneiderP13, author = {Josef Schneider and Sri Parameswaran}, editor = {Enrico Macii}, title = {An extremely compact {JPEG} encoder for adaptive embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1063--1064}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.224}, doi = {10.7873/DATE.2013.224}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchneiderP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchneiderZGMC13, author = {Reinhard Schneider and Licong Zhang and Dip Goswami and Alejandro Masrur and Samarjit Chakraborty}, editor = {Enrico Macii}, title = {Compositional analysis of switched ethernet topologies}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1099--1104}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.231}, doi = {10.7873/DATE.2013.231}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchneiderZGMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchonwaldVBR13, author = {Timo Sch{\"{o}}nwald and Alexander Viehl and Oliver Bringmann and Wolfgang Rosenstiel}, editor = {Enrico Macii}, title = {Shared memory aware MPSoC software deployment}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1771--1776}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.356}, doi = {10.7873/DATE.2013.356}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchonwaldVBR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchryverTW13, author = {Christian de Schryver and Pedro Torruella and Norbert Wehn}, editor = {Enrico Macii}, title = {A multi-level Monte Carlo {FPGA} accelerator for option pricing in the Heston model}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {248--253}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.063}, doi = {10.7873/DATE.2013.063}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchryverTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SeiterWSD13, author = {Julia Seiter and Robert Wille and Mathias Soeken and Rolf Drechsler}, editor = {Enrico Macii}, title = {Determining relevant model elements for the verification of {UML/OCL} specifications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1189--1192}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.247}, doi = {10.7873/DATE.2013.247}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SeiterWSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShafaeiSP13, author = {Alireza Shafaei and Mehdi Saeedi and Massoud Pedram}, editor = {Enrico Macii}, title = {Reversible logic synthesis of \emph{k}-input, \emph{m}-output lookup tables}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1235--1240}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.256}, doi = {10.7873/DATE.2013.256}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShafaeiSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShafiqueVH13, author = {Muhammad Shafique and Benjamin Vogel and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {Self-adaptive hybrid dynamic power management for many-core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {51--56}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.025}, doi = {10.7873/DATE.2013.025}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShafiqueVH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShahKA13, author = {Hardik Shah and Alois C. Knoll and Benny Akesson}, editor = {Enrico Macii}, title = {Bounding {SDRAM} interference: detailed analysis vs. latency-rate analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {308--313}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.075}, doi = {10.7873/DATE.2013.075}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShahKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShenQ13, author = {Hao Shen and Qinru Qiu}, editor = {Enrico Macii}, title = {User-aware energy efficient streaming strategy for smartphone based video playback applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {258--261}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.065}, doi = {10.7873/DATE.2013.065}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShenQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShengWLY13, author = {Xiao Sheng and Yiqun Wang and Yongpan Liu and Huazhong Yang}, editor = {Enrico Macii}, title = {SPaC: a segment-based parallel compression for backup acceleration in nonvolatile processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {865--868}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.182}, doi = {10.7873/DATE.2013.182}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShengWLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShihW13, author = {Hsiu{-}Chuan Shih and Cheng{-}Wen Wu}, editor = {Enrico Macii}, title = {An enhanced double-TSV scheme for defect tolerance in 3D-IC}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1486--1489}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.302}, doi = {10.7873/DATE.2013.302}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShihW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShreejithVFL13, author = {Shanker Shreejith and Kizheppatt Vipin and Suhaib A. Fahmy and Martin Lukasiewycz}, editor = {Enrico Macii}, title = {An approach for redundancy in FlexRay networks using {FPGA} partial reconfiguration}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {721--724}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.155}, doi = {10.7873/DATE.2013.155}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShreejithVFL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SparsoKS13, author = {Jens Spars{\o} and Evangelia Kasapaki and Martin Schoeberl}, editor = {Enrico Macii}, title = {An area-efficient network interface for a TDM-based network-on-chip}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1044--1047}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.217}, doi = {10.7873/DATE.2013.217}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SparsoKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StanisicVCDMLM13, author = {Luka Stanisic and Brice Videau and Johan Cronsioe and Augustin Degomme and Vania Marangozova{-}Martin and Arnaud Legrand and Jean{-}Fran{\c{c}}ois M{\'{e}}haut}, editor = {Enrico Macii}, title = {Performance analysis of {HPC} applications on low-power embedded platforms}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {475--480}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.106}, doi = {10.7873/DATE.2013.106}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StanisicVCDMLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StergiouJ13, author = {Stergios Stergiou and Jawahar Jain}, editor = {Enrico Macii}, title = {Optimizing BDDs for time-series dataset manipulation}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1018--1021}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.212}, doi = {10.7873/DATE.2013.212}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StergiouJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Struzyna13, author = {Markus Struzyna}, editor = {Enrico Macii}, title = {Sub-quadratic objectives in quadratic placement}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1867--1872}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.372}, doi = {10.7873/DATE.2013.372}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Struzyna13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SuR13, author = {Yehua Su and Wenjing Rao}, editor = {Enrico Macii}, title = {Defect-tolerant logic hardening for crossbar-based nanosystems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1801--1806}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.361}, doi = {10.7873/DATE.2013.361}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SuR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SuZ13, author = {Hang Su and Dakai Zhu}, editor = {Enrico Macii}, title = {An elastic mixed-criticality task model and its scheduling algorithm}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {147--152}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.043}, doi = {10.7873/DATE.2013.043}, timestamp = {Thu, 05 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SuZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SubramanyanTPRSM13, author = {Pramod Subramanyan and Nestan Tsiskaridze and Kanika Pasricha and Dillon Reisman and Adriana Susnea and Sharad Malik}, editor = {Enrico Macii}, title = {Reverse engineering digital circuits using functional analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1277--1280}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.264}, doi = {10.7873/DATE.2013.264}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SubramanyanTPRSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SureshYOS13, author = {Chandra K. H. Suresh and Ender Yilmaz and Sule Ozev and Ozgur Sinanoglu}, editor = {Enrico Macii}, title = {Adaptive reduction of the frequency search space for multi-vdd digital circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {292--295}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.072}, doi = {10.7873/DATE.2013.072}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SureshYOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TengT13, author = {Ying Teng and Baris Taskin}, editor = {Enrico Macii}, title = {Sparse-rotary oscillator array {(SROA)} design for power and skew reduction}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1229--1234}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.255}, doi = {10.7873/DATE.2013.255}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TengT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThabetLAPD13, author = {Farhat Thabet and Yves Lhuillier and Caaliph Andriamisaina and Jean{-}Marc Philippe and Rapha{\"{e}}l David}, editor = {Enrico Macii}, title = {An efficient and flexible hardware support for accelerating synchronization operations on the {STHORM} many-core architecture}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {531--534}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.119}, doi = {10.7873/DATE.2013.119}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ThabetLAPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TheissingMSSS13, author = {Nikolaus Thei{\ss}ing and Dominik Merli and Michael Smola and Frederic Stumpf and Georg Sigl}, editor = {Enrico Macii}, title = {Comprehensive analysis of software countermeasures against fault attacks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {404--409}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.092}, doi = {10.7873/DATE.2013.092}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TheissingMSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThomasMHMK13, author = {Pierre{-}Xavier Thomas and Grant Martin and David Heine and Dennis Moolenaar and James Kim}, editor = {Enrico Macii}, title = {Configurability in {IP} subystems: baseband examples}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {163--168}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.046}, doi = {10.7873/DATE.2013.046}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ThomasMHMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TodorovMRS13, author = {Vladimir Todorov and Daniel Mueller{-}Gritschneder and Helmut Reinig and Ulf Schlichtmann}, editor = {Enrico Macii}, title = {A spectral clustering approach to application-specific network-on-chip synthesis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1783--1788}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.358}, doi = {10.7873/DATE.2013.358}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TodorovMRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TuHC13, author = {Wen{-}Pin Tu and Shih{-}Hsu Huang and Chun{-}Hua Cheng}, editor = {Enrico Macii}, title = {Co-synthesis of data paths and clock control paths for minimum-period clock gating}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1831--1836}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.366}, doi = {10.7873/DATE.2013.366}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TuHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TzouBHC13, author = {Nicholas Tzou and Debesh Bhatta and Sen{-}Wen Hsiao and Abhijit Chatterjee}, editor = {Enrico Macii}, title = {Periodic jitter and bounded uncorrelated jitter decomposition using incoherent undersampling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1667--1672}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.337}, doi = {10.7873/DATE.2013.337}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TzouBHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UnutulmazDF13, author = {Ahmet Unutulmaz and G{\"{u}}nhan D{\"{u}}ndar and Francisco V. Fern{\'{a}}ndez}, editor = {Enrico Macii}, title = {Area optimization on fixed analog floorplans using convex area functions}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1843--1848}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.368}, doi = {10.7873/DATE.2013.368}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/UnutulmazDF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkataramaniRR13, author = {Swagath Venkataramani and Kaushik Roy and Anand Raghunathan}, editor = {Enrico Macii}, title = {Substitute-and-simplify: a unified design paradigm for approximate and quality configurable circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1367--1372}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.280}, doi = {10.7873/DATE.2013.280}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkataramaniRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkatesanSRR13, author = {Rangharajan Venkatesan and Mrigank Sharad and Kaushik Roy and Anand Raghunathan}, editor = {Enrico Macii}, title = {{DWM-TAPESTRI} - an energy efficient all-spin cache using domain wall shift based writes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1825--1830}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.365}, doi = {10.7873/DATE.2013.365}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkatesanSRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenutoS13, author = {Daniela De Venuto and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Enrico Macii}, title = {Dr. Frankenstein's dream made possible: implanted electronic devices}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1531--1536}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.311}, doi = {10.7873/DATE.2013.311}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenutoS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VermesanBJHBM13, author = {Ovidiu Vermesan and Lars{-}Cyril Julin Blystad and Reiner John and Peter Hank and Roy Bahr and Alessandro Moscatelli}, editor = {Enrico Macii}, title = {Smart, connected and mobile: architecting future electric mobility ecosystems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1740--1744}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.350}, doi = {10.7873/DATE.2013.350}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VermesanBJHBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Vigna13, author = {Benedetto Vigna}, editor = {Enrico Macii}, title = {Smart systems for internet of things}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.014}, doi = {10.7873/DATE.2013.014}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Vigna13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Vigouroux13, author = {Xavier Vigouroux}, editor = {Enrico Macii}, title = {What designs for coming supercomputers?}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {469}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.104}, doi = {10.7873/DATE.2013.104}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Vigouroux13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WachterEAM13, author = {Eduardo W{\"{a}}chter and Augusto Erichsen and Alexandre M. Amory and Fernando Moraes}, editor = {Enrico Macii}, title = {Topology-agnostic fault-tolerant NoC routing method}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1595--1600}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.324}, doi = {10.7873/DATE.2013.324}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WachterEAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WagnerW13, author = {Marcus Wagner and Hans{-}Joachim Wunderlich}, editor = {Enrico Macii}, title = {Efficient variation-aware statistical dynamic timing analysis for delay test applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {276--281}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.069}, doi = {10.7873/DATE.2013.069}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WagnerW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangDX13, author = {Jue Wang and Xiangyu Dong and Yuan Xie}, editor = {Enrico Macii}, title = {{OAP:} an obstruction-aware cache management policy for {STT-RAM} last-level caches}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {847--852}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.179}, doi = {10.7873/DATE.2013.179}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangDX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangH13, author = {Zhonglei Wang and J{\"{o}}rg Henkel}, editor = {Enrico Macii}, title = {Fast and accurate cache modeling in source-level simulation of embedded software}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {587--592}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.129}, doi = {10.7873/DATE.2013.129}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangJSZ13, author = {Yizhuo Wang and Weixing Ji and Feng Shi and Qi Zuo}, editor = {Enrico Macii}, title = {A work-stealing scheduling framework supporting fault tolerance}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {695--700}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.150}, doi = {10.7873/DATE.2013.150}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangJSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLPKC13, author = {Yanzhi Wang and Xue Lin and Massoud Pedram and Jaemin Kim and Naehyuck Chang}, editor = {Enrico Macii}, title = {Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {909--912}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.191}, doi = {10.7873/DATE.2013.191}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangLPKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLPPC13, author = {Yanzhi Wang and Xue Lin and Massoud Pedram and Sangyoung Park and Naehyuck Chang}, editor = {Enrico Macii}, title = {Optimal control of a grid-connected hybrid electrical energy storage system for homes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {881--886}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.186}, doi = {10.7873/DATE.2013.186}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangLPPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangSCC13, author = {Zheng Wang and Kapil Singh and Chao Chen and Anupam Chattopadhyay}, editor = {Enrico Macii}, title = {Accurate and efficient reliability estimation techniques during ADL-driven embedded processor design}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {547--552}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.122}, doi = {10.7873/DATE.2013.122}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangTSL13, author = {Hai Wang and Sheldon X.{-}D. Tan and Sahana Swarup and Xuexin Liu}, editor = {Enrico Macii}, title = {A power-driven thermal sensor placement algorithm for dynamic thermal management}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1215--1220}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.252}, doi = {10.7873/DATE.2013.252}, timestamp = {Tue, 20 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangTSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangW13, author = {Chundong Wang and Weng{-}Fai Wong}, editor = {Enrico Macii}, title = {TreeFTL: efficient {RAM} management for high performance of {NAND} flash-based storage systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {374--379}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.086}, doi = {10.7873/DATE.2013.086}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangXZWYWNW13, author = {Xuan Wang and Jiang Xu and Wei Zhang and Xiaowen Wu and Yaoyao Ye and Zhehui Wang and Mahdi Nikdast and Zhe Wang}, editor = {Enrico Macii}, title = {Active power-gating-induced power/ground noise alleviation using parasitic capacitance of on-chip memories}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1221--1224}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.253}, doi = {10.7873/DATE.2013.253}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangXZWYWNW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangYWZ13, author = {Kanwen Wang and Hao Yu and Benfei Wang and Chun Zhang}, editor = {Enrico Macii}, title = {3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1643--1648}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.333}, doi = {10.7873/DATE.2013.333}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangYWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeddellMMBAB13, author = {Alex S. Weddell and Michele Magno and Geoff V. Merrett and Davide Brunelli and Bashir M. Al{-}Hashimi and Luca Benini}, editor = {Enrico Macii}, title = {A survey of multi-source energy harvesting systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {905--908}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.190}, doi = {10.7873/DATE.2013.190}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeddellMMBAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiLSHAS13, author = {Yaoguang Wei and Zhuo Li and Cliff C. N. Sze and Shiyan Hu and Charles J. Alpert and Sachin S. Sapatnekar}, editor = {Enrico Macii}, title = {{CATALYST:} planning layer directives for effective design closure}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1873--1878}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.373}, doi = {10.7873/DATE.2013.373}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeiLSHAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiSHCLLZWM13, author = {Hai Wei and Max M. Shulaker and Gage Hills and Hong{-}Yu Chen and Chi{-}Shuen Lee and Luckshitha Liyanage and Jie Zhang and H.{-}S. Philip Wong and Subhasish Mitra}, editor = {Enrico Macii}, title = {Carbon nanotube circuits: opportunities and challenges}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {619--624}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.136}, doi = {10.7873/DATE.2013.136}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeiSHCLLZWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WelpK13, author = {Tobias Welp and Andreas Kuehlmann}, editor = {Enrico Macii}, title = {{QF} {BV} model checking with property directed reachability}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {791--796}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.168}, doi = {10.7873/DATE.2013.168}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WelpK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WettinMPSG13, author = {Paul Wettin and Jacob Murray and Partha Pratim Pande and Behrooz A. Shirazi and Amlan Ganguly}, editor = {Enrico Macii}, title = {Energy-efficient multicore chip design through cross-layer approach}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {725--730}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.156}, doi = {10.7873/DATE.2013.156}, timestamp = {Fri, 29 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WettinMPSG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WildermannZT13, author = {Stefan Wildermann and Tobias Ziermann and J{\"{u}}rgen Teich}, editor = {Enrico Macii}, title = {Game-theoretic analysis of decentralized core allocation schemes on many-core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1498--1503}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.305}, doi = {10.7873/DATE.2013.305}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WildermannZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WilleGSKD13, author = {Robert Wille and Martin Gogolla and Mathias Soeken and Mirco Kuhlmann and Rolf Drechsler}, editor = {Enrico Macii}, title = {Towards a generic verification methodology for system models}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1193--1196}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.248}, doi = {10.7873/DATE.2013.248}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WilleGSKD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WolfD13, author = {Pieter van der Wolf and Ruud Derwig}, editor = {Enrico Macii}, title = {Modular SoC integration with subsystems: the audio subsystem case}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {157--162}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.045}, doi = {10.7873/DATE.2013.045}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WolfD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XiaoINSC13, author = {Yang Xiao and Kevin M. Irick and Vijaykrishnan Narayanan and Donghwa Shin and Naehyuck Chang}, editor = {Enrico Macii}, title = {Saliency aware display power management}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1203--1208}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.250}, doi = {10.7873/DATE.2013.250}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XiaoINSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Xie13, author = {Yuan Xie}, editor = {Enrico Macii}, title = {Future memory and interconnect technologies}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {964--969}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.202}, doi = {10.7873/DATE.2013.202}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Xie13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XieYPSC13, author = {Qing Xie and Siyu Yue and Massoud Pedram and Donghwa Shin and Naehyuck Chang}, editor = {Enrico Macii}, title = {Adaptive thermal management for portable system batteries by forced convection cooling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1225--1228}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.254}, doi = {10.7873/DATE.2013.254}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XieYPSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XydisPS13, author = {Sotirios Xydis and Gianluca Palermo and Cristina Silvano}, editor = {Enrico Macii}, title = {Thermal-aware datapath merging for coarse-grained reconfigurable processors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1649--1654}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.334}, doi = {10.7873/DATE.2013.334}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XydisPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XydisPZS13, author = {Sotirios Xydis and Gianluca Palermo and Vittorio Zaccaria and Cristina Silvano}, editor = {Enrico Macii}, title = {A meta-model assisted coprocessor synthesis framework for compiler/architecture parameters customization}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {659--664}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.143}, doi = {10.7873/DATE.2013.143}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XydisPZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YakovlevVR13, author = {Alex Yakovlev and Pascal Vivet and Marc Renaudin}, editor = {Enrico Macii}, title = {Advances in asynchronous logic: from principles to {GALS} {\&} NoC, recent industry applications, and commercial {CAD} tools}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1715--1724}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.346}, doi = {10.7873/DATE.2013.346}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YakovlevVR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YalcinUC13, author = {Gulay Yalcin and Osman S. Unsal and Adri{\'{a}}n Cristal}, editor = {Enrico Macii}, title = {FaulTM: error detection and recovery using hardware transactional memory}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {220--225}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.058}, doi = {10.7873/DATE.2013.058}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YalcinUC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YanLH13, author = {Luming Yan and Huaguo Liang and Zhengfeng Huang}, editor = {Enrico Macii}, title = {A dynamic self-adaptive correction method for error resilient application}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {943--946}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.198}, doi = {10.7873/DATE.2013.198}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YanLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YaoKLMK13, author = {Yida Yao and MyungBo Kim and Jianmin Li and Igor L. Markov and Farinaz Koushanfar}, editor = {Enrico Macii}, title = {ClockPUF: physical unclonable functions based on clock networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {422--427}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.095}, doi = {10.7873/DATE.2013.095}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YaoKLMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YaoYW13, author = {Jian Yao and Zuochang Ye and Yan Wang}, editor = {Enrico Macii}, title = {Efficient importance sampling for high-sigma yield analysis with adaptive online surrogate modeling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1291--1296}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.267}, doi = {10.7873/DATE.2013.267}, timestamp = {Tue, 06 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YaoYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YetimMM13, author = {Yavuz Yetim and Margaret Martonosi and Sharad Malik}, editor = {Enrico Macii}, title = {Extracting useful computation from error-prone processors for streaming applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {202--207}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.055}, doi = {10.7873/DATE.2013.055}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YetimMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YilmazSWO13, author = {Ender Yilmaz and Geoff Shofner and LeRoy Winemberg and Sule Ozev}, editor = {Enrico Macii}, title = {Fault analysis and simulation of large scale industrial mixed-signal circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {565--570}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.125}, doi = {10.7873/DATE.2013.125}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YilmazSWO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YinQZ13, author = {Chi{-}En Daniel Yin and Gang Qu and Qiang Zhou}, editor = {Enrico Macii}, title = {Design and implementation of a group-based {RO} {PUF}}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {416--421}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.094}, doi = {10.7873/DATE.2013.094}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YinQZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YingHH13, author = {Haoyuan Ying and Thomas Hollstein and Klaus Hofmann}, editor = {Enrico Macii}, title = {Fast and optimized task allocation method for low vertical link density 3-dimensional networks-on-chip based many core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1777--1782}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.357}, doi = {10.7873/DATE.2013.357}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YingHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YoonKBS13, author = {Man{-}Ki Yoon and Jung{-}Eun Kim and Richard M. Bradford and Lui Sha}, editor = {Enrico Macii}, title = {Holistic design parameter optimization of multiple periodic resources in hierarchical scheduling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1313--1318}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.271}, doi = {10.7873/DATE.2013.271}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YoonKBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuWAEB13, author = {Li Yu and Lan Wei and Dimitri A. Antoniadis and Ibrahim M. Elfadel and Duane S. Boning}, editor = {Enrico Macii}, title = {Statistical modeling with the virtual source {MOSFET} model}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1454--1457}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.296}, doi = {10.7873/DATE.2013.296}, timestamp = {Wed, 31 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YuWAEB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuZHWLT13, author = {Fan{-}Wei Yu and Bo{-}Han Zeng and Yu{-}Hung Huang and Hsin{-}I Wu and Che{-}Rung Lee and Ren{-}Song Tsay}, editor = {Enrico Macii}, title = {A critical-section-level timing synchronization approach for deterministic multi-core instruction set simulations}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {643--648}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.140}, doi = {10.7873/DATE.2013.140}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YuZHWLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YueZ13, author = {Jianhui Yue and Yifeng Zhu}, editor = {Enrico Macii}, title = {Exploiting subarrays inside a bank to improve phase change memory performance}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {386--391}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.088}, doi = {10.7873/DATE.2013.088}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YueZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuehCM13, author = {Wen Yueh and Minki Cho and Saibal Mukhopadhyay}, editor = {Enrico Macii}, title = {Perceptual quality preserving {SRAM} architecture for color motion pictures}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {103--108}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.035}, doi = {10.7873/DATE.2013.035}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YuehCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZafalonCV13, author = {Roberto Zafalon and Giovanni Coppola and Ovidiu Vermesan}, editor = {Enrico Macii}, title = {e-Mobility the next frontier for automotive industry}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1745--1748}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.351}, doi = {10.7873/DATE.2013.351}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZafalonCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZakharenkoAM13, author = {Vitaly Zakharenko and Tor M. Aamodt and Andreas Moshovos}, editor = {Enrico Macii}, title = {Characterizing the performance benefits of fused {CPU/GPU} systems using FusionSim}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {685--688}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.148}, doi = {10.7873/DATE.2013.148}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZakharenkoAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZapaterAMVGC13, author = {Marina Zapater and Jos{\'{e}} Luis Ayala and Jos{\'{e}} Manuel Moya and Kalyan Vaidyanathan and Kenny C. Gross and Ayse K. Coskun}, editor = {Enrico Macii}, title = {Leakage and temperature aware server control for improving energy efficiency in data centers}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {266--269}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.067}, doi = {10.7873/DATE.2013.067}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZapaterAMVGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaiYZ13, author = {Kuangya Zhai and Wenjian Yu and Hao Zhuang}, editor = {Enrico Macii}, title = {GPU-friendly floating random walk algorithm for capacitance extraction of {VLSI} interconnects}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1661--1666}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.336}, doi = {10.7873/DATE.2013.336}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaiYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangCBACL13, author = {Tiansheng Zhang and Alessandro Cevrero and Giulia Beanato and Panagiotis Athanasopoulos and Ayse K. Coskun and Yusuf Leblebici}, editor = {Enrico Macii}, title = {3D-MMC: a modular 3D multi-core architecture with efficient resource pooling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1241--1246}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.257}, doi = {10.7873/DATE.2013.257}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangCBACL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangYH013, author = {Xiaolin Zhang and Jing Ye and Yu Hu and Xiaowei Li}, editor = {Enrico Macii}, title = {Capturing post-silicon variation by layout-aware path-delay testing}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {288--291}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.071}, doi = {10.7873/DATE.2013.071}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangYH013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoGZ13, author = {Qingling Zhao and Zonghua Gu and Haibo Zeng}, editor = {Enrico Macii}, title = {{PT-AMC:} integrating preemption thresholds into mixed-criticality scheduling}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {141--146}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.042}, doi = {10.7873/DATE.2013.042}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaoGZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoLBT13, author = {Jia Zhao and Shiting (Justin) Lu and Wayne P. Burleson and Russell Tessier}, editor = {Enrico Macii}, title = {Run-time probabilistic detection of miscalibrated thermal sensors in many-core systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1395--1398}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.285}, doi = {10.7873/DATE.2013.285}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaoLBT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoOX13, author = {Mengying Zhao and Alex Orailoglu and Chun Jason Xue}, editor = {Enrico Macii}, title = {Profit maximization through process variation aware high level synthesis with speed binning}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {176--181}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.050}, doi = {10.7873/DATE.2013.050}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaoOX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouMS13, author = {Pingqiang Zhou and Vivek Mishra and Sachin S. Sapatnekar}, editor = {Enrico Macii}, title = {Placement optimization of power supply pads based on locality}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1655--1660}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.335}, doi = {10.7873/DATE.2013.335}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhouMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouZY13, author = {Ping Zhou and Youtao Zhang and Jun Yang}, editor = {Enrico Macii}, title = {The design of sustainable wireless sensor network node using solar energy and phase change memory}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {869--872}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.183}, doi = {10.7873/DATE.2013.183}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhouZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuDNZ13, author = {Qi Zhu and Peng Deng and Marco Di Natale and Haibo Zeng}, editor = {Enrico Macii}, title = {Robust and extensible task implementations of synchronous finite state machines}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1319--1324}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.272}, doi = {10.7873/DATE.2013.272}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuDNZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuTSHSS13, author = {Ziyuan Zhu and Shan Tang and Yongtao Su and Juan Han and Gang Sun and Jinglin Shi}, editor = {Enrico Macii}, title = {A 100 {GOPS} {ASP} based baseband processor for wireless communication}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {121--124}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.038}, doi = {10.7873/DATE.2013.038}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuTSHSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZoniF13, author = {Davide Zoni and William Fornaciari}, editor = {Enrico Macii}, title = {Sensor-wise methodology to face {NBTI} stress of NoC buffers}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1038--1043}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.216}, doi = {10.7873/DATE.2013.216}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZoniF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZordanBDGTVB13, author = {Leonardo Bonet Zordan and Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri and Arnaud Virazel and Nabil Badereddine}, editor = {Enrico Macii}, title = {Test solution for data retention faults in low-power SRAMs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {442--447}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.099}, doi = {10.7873/DATE.2013.099}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZordanBDGTVB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZouZKX13, author = {Qiaosha Zou and Tao Zhang and Eren Kursun and Yuan Xie}, editor = {Enrico Macii}, title = {Thermomechanical stress-aware management for 3D {IC} designs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1255--1258}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.260}, doi = {10.7873/DATE.2013.260}, timestamp = {Tue, 25 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZouZKX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2013, editor = {Enrico Macii}, title = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6507370/proceeding}, isbn = {978-1-4503-2153-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.