Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/dac/dac2015.bht:"
@inproceedings{DBLP:conf/dac/AgostaBPS15, author = {Giovanni Agosta and Alessandro Barenghi and Gerardo Pelosi and Michele Scandale}, title = {Information leakage chaff: feeding red herrings to side channel attackers}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {33:1--33:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744859}, doi = {10.1145/2744769.2744859}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AgostaBPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AsadJ15, author = {Hafiz ul Asad and Kevin D. Jones}, title = {Verifying inevitability of phase-locking in a charge pump phase lock loop using sum of squares programming}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {172:1--172:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744926}, doi = {10.1145/2744769.2744926}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AsadJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BadrTG15, author = {Yasmine Badr and Andres Torres and Puneet Gupta}, title = {Mask assignment and synthesis of {DSA-MP} hybrid lithography for sub-7nm contacts/vias}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {70:1--70:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744868}, doi = {10.1145/2744769.2744868}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BadrTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BalajiFDGA15, author = {Bharathan Balaji and Mohammad Abdullah Al Faruque and Nikil D. Dutt and Rajesh K. Gupta and Yuvraj Agarwal}, title = {Models, abstractions, and architectures: the missing links in cyber-physical systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {82:1--82:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747936}, doi = {10.1145/2744769.2747936}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BalajiFDGA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeaulieuSSTWW15, author = {Ray Beaulieu and Douglas Shors and Jason Smith and Stefan Treatman{-}Clark and Bryan Weeks and Louis Wingers}, title = {The {SIMON} and {SPECK} lightweight block ciphers}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {175:1--175:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747946}, doi = {10.1145/2744769.2747946}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeaulieuSSTWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeckertE15, author = {Matthias Beckert and Rolf Ernst}, title = {Designing time partitions for real-time hypervisor with sufficient temporal independence}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {182:1--182:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744820}, doi = {10.1145/2744769.2744820}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeckertE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BockHKS15, author = {Adrian Bock and Stephan Held and Nicolas K{\"{a}}mmerling and Ulrike Schorr}, title = {Local search algorithms for timing-driven placement under arbitrary delay models}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {29:1--29:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744867}, doi = {10.1145/2744769.2744867}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BockHKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BokhariJSHP15, author = {Haseeb Bokhari and Haris Javaid and Muhammad Shafique and J{\"{o}}rg Henkel and Sri Parameswaran}, title = {SuperNet: multimode interconnect architecture for manycore chips}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {85:1--85:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744912}, doi = {10.1145/2744769.2744912}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BokhariJSHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Bowen15, author = {Carl Bowen}, title = {Walking a thin line: performance and quality grading vs. yield overcut}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {5:1--5:2}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747949}, doi = {10.1145/2744769.2747949}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Bowen15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BrasserMSWK15, author = {Ferdinand Brasser and Brahim El Mahjoub and Ahmad{-}Reza Sadeghi and Christian Wachsmann and Patrick Koeberl}, title = {TyTAN: tiny trust anchor for tiny devices}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {34:1--34:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744922}, doi = {10.1145/2744769.2744922}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BrasserMSWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BuiniPG15, author = {Hamid Mirzaei Buini and Steffen Peter and Tony Givargis}, title = {Including variability of physical models into the design automation of cyber-physical systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {153:1--153:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744857}, doi = {10.1145/2744769.2744857}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BuiniPG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CampbellLMC15, author = {Keith A. Campbell and David Lin and Subhasish Mitra and Deming Chen}, title = {Hybrid quick error detection {(H-QED):} accelerator validation and debug using high-level synthesis principles}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {53:1--53:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2753768}, doi = {10.1145/2744769.2753768}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CampbellLMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CampbellVPC15, author = {Keith A. Campbell and Pranay Vissa and David Z. Pan and Deming Chen}, title = {High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapaths}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {161:1--161:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744851}, doi = {10.1145/2744769.2744851}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CampbellVPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CavigelliMB15, author = {Lukas Cavigelli and Michele Magno and Luca Benini}, title = {Accelerating real-time embedded scene labeling with convolutional networks}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {108:1--108:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744788}, doi = {10.1145/2744769.2744788}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CavigelliMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChaariENTK15, author = {Moomen Chaari and Wolfgang Ecker and Cristiano Novello and Bogdan{-}Andrei Tabacaru and Thomas Kruse}, title = {A model-based and simulation-assisted {FMEDA} approach for safety-relevant {E/E} systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {1:1--1:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747908}, doi = {10.1145/2744769.2747908}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChaariENTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChanNKDS15, author = {Wei{-}Ting Jonas Chan and Siddhartha Nath and Andrew B. Kahng and Yang Du and Kambiz Samadi}, title = {3DIC benefit estimation and implementation guidance from 2DIC implementation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {30:1--30:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747954}, doi = {10.1145/2744769.2747954}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChanNKDS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangCKLL15, author = {Yu{-}Ming Chang and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Yung{-}Chun Li and Hsiang{-}Pang Li}, title = {Achieving {SLC} performance with {MLC} flash memory}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {192:1--192:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744790}, doi = {10.1145/2744769.2744790}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChangCKLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangHLW15, author = {Jasper C. C. Chang and Ryan H.{-}M. Huang and Louis Y.{-}Z. Lin and Charles H.{-}P. Wen}, title = {{TA-FTA:} transition-aware functional timing analysis with a four-valued encoding}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {165:1--165:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744914}, doi = {10.1145/2744769.2744914}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChangHLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangLF15, author = {Yao{-}Wen Chang and Ru{-}Gun Liu and Shao{-}Yun Fang}, title = {{EUV} and e-beam manufacturability: challenges and solutions}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {198:1--198:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747925}, doi = {10.1145/2744769.2747925}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChangLF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenC15, author = {Sheng{-}Yen Chen and Yao{-}Wen Chang}, title = {Routing-architecture-aware analytical placement for heterogeneous FPGAs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {27:1--27:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744903}, doi = {10.1145/2744769.2744903}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCX15, author = {Xiang Chen and Yiran Chen and Chun Jason Xue}, title = {DaTuM: dynamic tone mapping technique for {OLED} display power saving based on video classification}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {65:1--65:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744814}, doi = {10.1145/2744769.2744814}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenCX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenH15, author = {Te{-}Hsuan Chen and John P. Hayes}, title = {Equivalence among stochastic logic circuits and its application}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {131:1--131:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744837}, doi = {10.1145/2744769.2744837}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenMRC15, author = {Hu Chen and Dieudonne Manzi and Sanghamitra Roy and Koushik Chakraborty}, title = {Opportunistic turbo execution in {NTC:} exploiting the paradigm shift in performance bottlenecks}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {63:1--63:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744881}, doi = {10.1145/2744769.2744881}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenMRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenSC15, author = {Ying{-}Yu Chen and Zelei Sun and Deming Chen}, title = {A {SPICE} model of flexible transition metal dichalcogenide field-effect transistors}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {140:1--140:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744782}, doi = {10.1145/2744769.2744782}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenSZDJ15, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Penglin Dai and Weiwen Jiang}, title = {Optimizing data placement for reducing shift operations on domain wall memories}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {139:1--139:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744883}, doi = {10.1145/2744769.2744883}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenSZDJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenTSHK15, author = {Hai{-}Bao Chen and Sheldon X.{-}D. Tan and Valeriy Sukharev and Xin Huang and Taeyoung Kim}, title = {Interconnect reliability modeling and analysis for multi-branch interconnect trees}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {90:1--90:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747953}, doi = {10.1145/2744769.2747953}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenTSHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChengZZ0SI15, author = {Hsiang{-}Yun Cheng and Jia Zhan and Jishen Zhao and Yuan Xie and Jack Sampson and Mary Jane Irwin}, title = {Core vs. uncore: the heart of darkness}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {121:1--121:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747916}, doi = {10.1145/2744769.2747916}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChengZZ0SI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChiangCLJ15, author = {Ting{-}Wei Chiang and Kai{-}Hui Chang and Yen{-}Ting Liu and Jie{-}Hong R. Jiang}, title = {Scalable sequence-constrained retention register minimization in power gating design}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {130:1--130:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744905}, doi = {10.1145/2744769.2744905}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChiangCLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoCSM15, author = {Hyungmin Cho and Chen{-}Yong Cher and Thomas Shepherd and Subhasish Mitra}, title = {Understanding soft errors in uncore components}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {89:1--89:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744923}, doi = {10.1145/2744769.2744923}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChoCSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChungRPG15, author = {Jinil Chung and Kenneth Ramclam and Jongsun Park and Swaroop Ghosh}, title = {Domain wall memory based digital signal processors for area and energy-efficiency}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {64:1--64:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744825}, doi = {10.1145/2744769.2744825}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChungRPG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CiesielskiYBLR15, author = {Maciej J. Ciesielski and Cunxi Yu and Walter Brown and Duo Liu and Andr{\'{e}} Rossi}, title = {Verification of gate-level arithmetic circuits by function extraction}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {52:1--52:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744925}, doi = {10.1145/2744769.2744925}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CiesielskiYBLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongGHRY15, author = {Jason Cong and Michael Gill and Yuchen Hao and Glenn Reinman and Bo Yuan}, title = {On-chip interconnection network for accelerator-rich architectures}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {8:1--8:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744879}, doi = {10.1145/2744769.2744879}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongGHRY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CotaMGC15, author = {Emilio G. Cota and Paolo Mantovani and Giuseppe Di Guglielmo and Luca P. Carloni}, title = {An Analysis of Accelerator Coupling in Heterogeneous Architectures}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {202:1--202:6}, publisher = {{ACM}}, year = {2015}, url = {https://dl.acm.org/citation.cfm?id=2744794}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CotaMGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CuiWCZNP15, author = {Tiansong Cui and Yanzhi Wang and Shuang Chen and Qi Zhu and Shahin Nazarian and Massoud Pedram}, title = {Optimal control of PEVs for energy cost minimization and frequency regulation in the smart grid accounting for battery state-of-health degradation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {134:1--134:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744882}, doi = {10.1145/2744769.2744882}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CuiWCZNP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DaiKB15, author = {Yu{-}Yun Dai and Kei{-}Yong Khoo and Robert K. Brayton}, title = {Sequential equivalence checking of clock-gated circuits}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {51:1--51:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744910}, doi = {10.1145/2744769.2744910}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DaiKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DaviHPSKSAJ15, author = {Lucas Davi and Matthias Hanreich and Debayan Paul and Ahmad{-}Reza Sadeghi and Patrick Koeberl and Dean Sullivan and Orlando Arias and Yier Jin}, title = {{HAFIX:} hardware-assisted flow integrity extension}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {74:1--74:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744847}, doi = {10.1145/2744769.2744847}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DaviHPSKSAJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DingCM15, author = {Yixiao Ding and Chris C. N. Chu and Wai{-}Kei Mak}, title = {Detailed routing for spacer-is-metal type self-aligned double/quadruple patterning lithography}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {69:1--69:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744821}, doi = {10.1145/2744769.2744821}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DingCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DingCZ15, author = {Yixiao Ding and Chris C. N. Chu and Xin Zhou}, title = {An efficient shift invariant rasterization algorithm for all-angle mask patterns in {ILT}}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {72:1--72:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744797}, doi = {10.1145/2744769.2744797}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DingCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DunbarQ15, author = {Carson Dunbar and Gang Qu}, title = {A practical circuit fingerprinting method utilizing observability don't care conditions}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {113:1--113:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744780}, doi = {10.1145/2744769.2744780}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DunbarQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DuraisamyKCLPMM15, author = {Karthi Duraisamy and Ryan Gary Kim and Wonje Choi and Guangshuo Liu and Partha Pratim Pande and Radu Marculescu and Diana Marculescu}, title = {Energy efficient MapReduce with VFI-enabled multicore platforms}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {6:1--6:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744835}, doi = {10.1145/2744769.2744835}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DuraisamyKCLPMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Engblom15, author = {Jakob Engblom}, title = {Virtual to the (near) end: using virtual platforms for continuous integration}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {200:1--200:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747948}, doi = {10.1145/2744769.2747948}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Engblom15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/EspinosaHAAR15, author = {Jaime Espinosa and Carles Hern{\'{a}}ndez and Jaume Abella and David de Andr{\'{e}}s and Juan{-}Carlos Ruiz{-}Garcia}, title = {Analysis and {RTL} correlation of instruction set simulators for automotive microcontroller robustness verification}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {40:1--40:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744798}, doi = {10.1145/2744769.2744798}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/EspinosaHAAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/EwetzJK15, author = {Rickard Ewetz and Shankarshana Janarthanan and Cheng{-}Kok Koh}, title = {Construction of reconfigurable clock trees for {MCMM} designs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {25:1--25:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744811}, doi = {10.1145/2744769.2744811}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/EwetzJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FernandezJAQVC15, author = {Gabriel Fernandez and Javier Jalle and Jaume Abella and Eduardo Qui{\~{n}}ones and Tullio Vardanega and Francisco J. Cazorla}, title = {Increasing confidence on measurement-based contention bounds for real-time round-robin buses}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {125:1--125:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744858}, doi = {10.1145/2744769.2744858}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/FernandezJAQVC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FernandezJAQVC15a, author = {Gabriel Fernandez and Javier Jalle and Jaume Abella and Eduardo Qui{\~{n}}ones and Tullio Vardanega and Francisco J. Cazorla}, title = {Resource usage templates and signatures for {COTS} multicore processors}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {155:1--155:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744901}, doi = {10.1145/2744769.2744901}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/FernandezJAQVC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Foster15, author = {Harry D. Foster}, title = {Trends in functional verification: a 2014 industry study}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {48:1--48:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744921}, doi = {10.1145/2744769.2744921}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Foster15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GanapathyKTB15, author = {Shrikanth Ganapathy and Georgios Karakonstantis and Adam Teman and Andreas Burg}, title = {Mitigating the impact of faults in unreliable memories for error-resilient applications}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {102:1--102:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744871}, doi = {10.1145/2744769.2744871}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GanapathyKTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GangopadhyayNR15, author = {Samantak Gangopadhyay and Saad Bin Nasir and Arijit Raychowdhury}, title = {Integrated power management in IoT devices under wide dynamic ranges of operation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {149:1--149:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747931}, doi = {10.1145/2744769.2747931}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GangopadhyayNR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GnadSKRSH15, author = {Dennis Gnad and Muhammad Shafique and Florian Kriebel and Semeen Rehman and Duo Sun and J{\"{o}}rg Henkel}, title = {Hayat: harnessing dark silicon and variability for aging deceleration and balancing}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {180:1--180:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744849}, doi = {10.1145/2744769.2744849}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GnadSKRSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GrafRGTP15, author = {Sebastian Graf and Sebastian Reinhart and Michael Gla{\ss} and J{\"{u}}rgen Teich and Daniel Platte}, title = {Robust design of {E/E} architecture component platforms}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {18:1--18:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747941}, doi = {10.1145/2744769.2747941}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GrafRGTP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuSZCH15, author = {Shouzhen Gu and Edwin Hsing{-}Mean Sha and Qingfeng Zhuge and Yiran Chen and Jingtong Hu}, title = {Area and performance co-optimization for domain wall memory in application-specific embedded systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {20:1--20:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744800}, doi = {10.1145/2744769.2744800}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuSZCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoDJFM15, author = {Xiaolong Guo and Raj Gautam Dutta and Yier Jin and Farimah Farahmandi and Prabhat Mishra}, title = {Pre-silicon security verification and validation: a formal perspective}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {145:1--145:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747939}, doi = {10.1145/2744769.2747939}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuoDJFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoTFD15, author = {Zimu Guo and Mark M. Tehranipoor and Domenic Forte and Jia Di}, title = {Investigation of obfuscation-based anti-reverse engineering for printed circuit boards}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {114:1--114:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744862}, doi = {10.1145/2744769.2744862}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuoTFD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoWHWLC15, author = {Jie Guo and Wujie Wen and Jingtong Hu and Danghui Wang and Hai Li and Yiran Chen}, title = {FlexLevel: a novel {NAND} flash storage system design for {LDPC} latency reduction}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {194:1--194:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744843}, doi = {10.1145/2744769.2744843}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuoWHWLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HahnKL15, author = {Sangwook Shane Hahn and Jihong Kim and Sungjin Lee}, title = {To collect or not to collect: just-in-time garbage collection for high-performance SSDs with long lifetimes}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {191:1--191:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744918}, doi = {10.1145/2744769.2744918}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HahnKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HanF15, author = {Lengfei Han and Zhuo Feng}, title = {Transient-simulation guided graph sparsification approach to scalable harmonic balance {(HB)} analysis of post-layout {RF} circuits leveraging heterogeneous {CPU-GPU} computing systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {185:1--185:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744920}, doi = {10.1145/2744769.2744920}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HanF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HanKL15, author = {Kwangsoo Han and Andrew B. Kahng and Hyein Lee}, title = {Evaluation of {BEOL} design rule impacts using an optimal ILP-based detailed router}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {68:1--68:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744839}, doi = {10.1145/2744769.2744839}, timestamp = {Fri, 10 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HanKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HanLKNL15, author = {Kwangsoo Han and Jiajia Li and Andrew B. Kahng and Siddhartha Nath and Jongpil Lee}, title = {A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {26:1--26:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744776}, doi = {10.1145/2744769.2744776}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HanLKNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Hayes15, author = {John P. Hayes}, title = {Introduction to stochastic computing and its challenges}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {59:1--59:3}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747932}, doi = {10.1145/2744769.2747932}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Hayes15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HelalBH15, author = {Ahmed E. Helal and Amr M. Bayoumi and Yasser Y. Hanafy}, title = {Parallel circuit simulation using the direct method on a heterogeneous cloud}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {186:1--186:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744888}, doi = {10.1145/2744769.2744888}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HelalBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HenkelKPS15, author = {J{\"{o}}rg Henkel and Heba Khdr and Santiago Pagani and Muhammad Shafique}, title = {New trends in dark silicon}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {119:1--119:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747938}, doi = {10.1145/2744769.2747938}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HenkelKPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HerdtLD15, author = {Vladimir Herdt and Hoang Minh Le and Rolf Drechsler}, title = {Verifying SystemC using stateful symbolic simulation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {49:1--49:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744927}, doi = {10.1145/2744769.2744927}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HerdtLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HeyseS15, author = {Karel Heyse and Dirk Stroobandt}, title = {Avoiding transitional effects in dynamic circuit specialisation on FPGAs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {159:1--159:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744802}, doi = {10.1145/2744769.2744802}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HeyseS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangCZL15, author = {Wen{-}Hung Huang and Jian{-}Jia Chen and Husheng Zhou and Cong Liu}, title = {{PASS:} priority assignment of real-time tasks with dynamic suspending behavior under fixed-priority scheduling}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {154:1--154:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744891}, doi = {10.1145/2744769.2744891}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangCZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangFYZL15, author = {Qicheng Huang and Chenlei Fang and Fan Yang and Xuan Zeng and Xin Li}, title = {Efficient multivariate moment estimation via Bayesian model fusion for analog and mixed-signal circuits}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {169:1--169:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744832}, doi = {10.1145/2744769.2744832}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangFYZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IsmailLS15, author = {Mohamed Ismail and Daniel Lo and G. Edward Suh}, title = {Improving worst-case cache performance through selective bypassing and register-indexed cache}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {123:1--123:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744855}, doi = {10.1145/2744769.2744855}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/IsmailLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JangKGY015, author = {Hyunjun Jang and Jinchun Kim and Paul Gratz and Ki Hwan Yum and Eun Jung Kim}, title = {Bandwidth-efficient on-chip interconnect designs for GPGPUs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {9:1--9:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744803}, doi = {10.1145/2744769.2744803}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JangKGY015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JangPGB15, author = {Jae{-}Won Jang and Jongsun Park and Swaroop Ghosh and Swarup Bhunia}, title = {Self-correcting {STTRAM} under magnetic field attacks}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {77:1--77:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744909}, doi = {10.1145/2744769.2744909}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JangPGB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JassiMS15, author = {Munish Jassi and Daniel M{\"{u}}ller{-}Gritschneder and Ulf Schlichtmann}, title = {{GRIP:} grammar-based {IP} integration and packaging for acceleration-rich SoC designs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {46:1--46:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744845}, doi = {10.1145/2744769.2744845}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JassiMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangBLN15, author = {Weiwei Jiang and Kshitij Bhardwaj and Geoffray Lacourba and Steven M. Nowick}, title = {A Lightweight Early Arbitration Method for Low-Latency Asynchronous 2D-Mesh NoC's}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {203:1--203:6}, publisher = {{ACM}}, year = {2015}, url = {https://dl.acm.org/citation.cfm?id=2744777}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JiangBLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangLZYW15, author = {Guangli Jiang and Leibo Liu and Wenping Zhu and Shouyi Yin and Shaojun Wei}, title = {A 127 fps in full hd accelerator based on optimized {AKAZE} with efficiency and effectiveness for image feature extraction}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {87:1--87:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744772}, doi = {10.1145/2744769.2744772}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangLZYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangWS15, author = {Zhewei Jiang and Qi Wang and Mingoo Seok}, title = {A low power unsupervised spike sorting accelerator insensitive to clustering initialization in sub-optimal feature space}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {174:1--174:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744779}, doi = {10.1145/2744769.2744779}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungC15, author = {YoungHoon Jung and Luca P. Carloni}, title = {{\(\Sigma\)}VP: host-GPU multiplexing for efficient simulation of multiple embedded GPUs on virtual platforms}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {106:1--106:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744913}, doi = {10.1145/2744769.2744913}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JungC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KadjoAKG15, author = {David Kadjo and Raid Ayoub and Michael Kishinevsky and Paul V. Gratz}, title = {A control-theoretic approach for energy efficient {CPU-GPU} subsystem in mobile platforms}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {62:1--62:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744773}, doi = {10.1145/2744769.2744773}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KadjoAKG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KagalwallaG15, author = {Abde Ali Kagalwalla and Puneet Gupta}, title = {Effective model-based mask fracturing for mask cost reduction}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {73:1--73:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744828}, doi = {10.1145/2744769.2744828}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KagalwallaG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Kahng15, author = {Andrew B. Kahng}, title = {New game, new goal posts: a recent history of timing closure}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {4:1--4:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747937}, doi = {10.1145/2744769.2747937}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Kahng15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KashyapGS15, author = {Ajay Kashyap and Soenke Grimpen and Shyam Sundaramoorthy}, title = {Achieving power and reliability sign-off for automotive semiconductor designs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {178:1--178:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747909}, doi = {10.1145/2744769.2747909}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KashyapGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KehrQBS15, author = {Sebastian Kehr and Eduardo Qui{\~{n}}ones and Bert B{\"{o}}ddeker and G{\"{u}}nter Sch{\"{a}}fer}, title = {Parallel execution of {AUTOSAR} legacy applications on multicore ECUs with timed implicit communication}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {42:1--42:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744889}, doi = {10.1145/2744769.2744889}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KehrQBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KhdrPSH15, author = {Heba Khdr and Santiago Pagani and Muhammad Shafique and J{\"{o}}rg Henkel}, title = {Thermal constrained resource management for mixed {ILP-TLP} workloads in dark silicon chips}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {179:1--179:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744916}, doi = {10.1145/2744769.2744916}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KhdrPSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimLRJR15, author = {Younghyun Kim and Woo Suk Lee and Vijay Raghunathan and Niraj K. Jha and Anand Raghunathan}, title = {Vibration-based secure side channel for medical devices}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {32:1--32:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744928}, doi = {10.1145/2744769.2744928}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KimLRJR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KleebergerRC15, author = {Veit B. Kleeberger and Stefan Rutkowski and Ruth Coppens}, title = {Design {\&} verification of automotive SoC firmware}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {117:1--117:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747918}, doi = {10.1145/2744769.2747918}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KleebergerRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KlineXMJ15, author = {Donald Kline Jr. and Haifeng Xu and Rami G. Melhem and Alex K. Jones}, title = {Domain-wall memory buffer for low-energy NoCs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {11:1--11:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744826}, doi = {10.1145/2744769.2744826}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KlineXMJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KoJKLS15, author = {Yohan Ko and Reiley Jeyapaul and Youngbin Kim and Kyoungwoo Lee and Aviral Shrivastava}, title = {Guidelines to design parity protected write-back {L1} data cache}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {24:1--24:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744846}, doi = {10.1145/2744769.2744846}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KoJKLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KonukMMRSTZ15, author = {Haluk Konuk and Elham K. Moghaddam and Nilanjan Mukherjee and Janusz Rajski and Deepak Solanki and Jerzy Tyszer and Justyna Zawada}, title = {Design for low test pattern counts}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {136:1--136:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744817}, doi = {10.1145/2744769.2744817}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KonukMMRSTZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KrishnaNRT15, author = {Shankara Narayanan Krishna and Ganesh Khandu Narwane and S. Ramesh and Ashutosh Trivedi}, title = {Compositional modeling and analysis of automotive feature product lines}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {57:1--57:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747928}, doi = {10.1145/2744769.2747928}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KrishnaNRT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LahiouelZT15, author = {Ons Lahiouel and Mohamed H. Zaki and Sofi{\`{e}}ne Tahar}, title = {Towards enhancing analog circuits sizing using SMT-based techniques}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {171:1--171:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744919}, doi = {10.1145/2744769.2744919}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LahiouelZT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeCC15, author = {Jaeseong Lee and Yohan Chon and Hojung Cha}, title = {Evaluating battery aging on mobile devices}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {135:1--135:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744838}, doi = {10.1145/2744769.2744838}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeHLP15, author = {Jinyong Lee and Ingoo Heo and Yongje Lee and Yunheung Paek}, title = {Efficient dynamic information flow tracking on a processor with core debug interface}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {79:1--79:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744830}, doi = {10.1145/2744769.2744830}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeHLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeNL15, author = {Hongsik Lee and Dong Nguyen and Jongeun Lee}, title = {Optimizing stream program performance on CGRA-based systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {110:1--110:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744884}, doi = {10.1145/2744769.2744884}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiBTO15, author = {Hui Li and S{\'{e}}bastien Le Beux and Yvain Thonnart and Ian O'Connor}, title = {Complementary communication path for energy efficient on-chip optical interconnects}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {7:1--7:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744810}, doi = {10.1145/2744769.2744810}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiBTO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiCSHLWY15, author = {Gushu Li and Xiaoming Chen and Guangyu Sun and Henry Hoffmann and Yongpan Liu and Yu Wang and Huazhong Yang}, title = {A STT-RAM-based low-power hybrid register file for GPGPUs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {103:1--103:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744785}, doi = {10.1145/2744769.2744785}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiCSHLWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiLSH15, author = {Chaofan Li and Wei Luo and Sachin S. Sapatnekar and Jiang Hu}, title = {Joint precision optimization and high level synthesis for approximate computing}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {104:1--104:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744863}, doi = {10.1145/2744769.2744863}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiLSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiXGWY15, author = {Boxun Li and Lixue Xia and Peng Gu and Yu Wang and Huazhong Yang}, title = {Merging the interface: power, area and accuracy co-optimization for {RRAM} crossbar-based mixed-signal computing system}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {13:1--13:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744870}, doi = {10.1145/2744769.2744870}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiXGWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiZHLHX15, author = {Qing'an Li and Mengying Zhao and Jingtong Hu and Yongpan Liu and Yanxiang He and Chun Jason Xue}, title = {Compiler directed automatic stack trimming for efficient non-volatile processors}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {183:1--183:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744809}, doi = {10.1145/2744769.2744809}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiZHLHX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinYP15, author = {Yibo Lin and Bei Yu and David Z. Pan}, title = {High performance dummy fill insertion with coupling and uniformity constraints}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {71:1--71:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744850}, doi = {10.1145/2744769.2744850}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinYP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuHWSJHL15, author = {Yang Liu and Shiyan Hu and Jie Wu and Yiyu Shi and Yier Jin and Yu Hu and Xiaowei Li}, title = {Impact assessment of net metering on smart home cyberattack detection}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {97:1--97:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747930}, doi = {10.1145/2744769.2747930}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuHWSJHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuKDK15, author = {Jun Liu and Jagadish Kotra and Wei Ding and Mahmut T. Kandemir}, title = {Network footprint reduction through data access and computation placement in NoC-based manycores}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {181:1--181:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744876}, doi = {10.1145/2744769.2744876}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuKDK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuLCLWH15, author = {Beiye Liu and Hai Li and Yiran Chen and Xin Li and Qing Wu and Tingwen Huang}, title = {Vortex: variation-aware training for memristor X-bar}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {15:1--15:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744930}, doi = {10.1145/2744769.2744930}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuLCLWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuLLWLMLCJ0SY15, author = {Yongpan Liu and Zewei Li and Hehe Li and Yiqun Wang and Xueqing Li and Kaisheng Ma and Shuangchen Li and Meng{-}Fan Chang and John Sampson and Yuan Xie and Jiwu Shu and Huazhong Yang}, title = {Ambient energy harvesting nonvolatile processors: from circuit to system}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {150:1--150:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747910}, doi = {10.1145/2744769.2747910}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuLLWLMLCJ0SY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuMLLCLWJBWY15, author = {Xiaoxiao Liu and Mengjie Mao and Beiye Liu and Hai Li and Yiran Chen and Boxun Li and Yu Wang and Hao Jiang and Mark Barnell and Qing Wu and Jianhua Joshua Yang}, title = {{RENO:} a high-efficient reconfigurable neuromorphic computing accelerator design}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {66:1--66:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744900}, doi = {10.1145/2744769.2744900}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuMLLCLWJBWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuSZLQ15, author = {Xiaochen Liu and Shupeng Sun and Pingqiang Zhou and Xin Li and Haifeng Qian}, title = {A statistical methodology for noise sensor placement and full-chip voltage map generation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {94:1--94:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744784}, doi = {10.1145/2744769.2744784}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuSZLQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuWLCWBQ15, author = {Beiye Liu and Chunpeng Wu and Hai Li and Yiran Chen and Qing Wu and Mark Barnell and Qinru Qiu}, title = {Cloning your mind: security challenges in cognitive system designs and their solutions}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {95:1--95:5}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747915}, doi = {10.1145/2744769.2747915}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuWLCWBQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuYYSLLCLWJ15, author = {Chenchen Liu and Bonan Yan and Chaofei Yang and Linghao Song and Zheng Li and Beiye Liu and Yiran Chen and Hai Li and Qing Wu and Hao Jiang}, title = {A spiking neuromorphic design with resistive crossbar}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {14:1--14:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744783}, doi = {10.1145/2744769.2744783}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuYYSLLCLWJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuZ15, author = {Gai Liu and Zhiru Zhang}, title = {A reconfigurable analog substrate for highly efficient maximum flow computation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {17:1--17:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744781}, doi = {10.1145/2744769.2744781}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuZWYX15, author = {Yannan Liu and Jie Zhang and Lingxiao Wei and Feng Yuan and Qiang Xu}, title = {{DERA:} yet another differential fault attack on cryptographic devices based on error rate analysis}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {31:1--31:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744816}, doi = {10.1145/2744769.2744816}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuZWYX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LukasiewyczSS15, author = {Martin Lukasiewycz and Florian Sagstetter and Sebastian Steinhorst}, title = {Efficient design space exploration of embedded platforms}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {127:1--127:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744829}, doi = {10.1145/2744769.2744829}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LukasiewyczSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaoHCL15, author = {Mengjie Mao and Jingtong Hu and Yiran Chen and Hai Li}, title = {{VWS:} a versatile warp scheduler for exploring diverse cache localities of {GPGPU} applications}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {83:1--83:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744931}, doi = {10.1145/2744769.2744931}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MaoHCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/McLaughlin15, author = {Stephen McLaughlin}, title = {Blocking unsafe behaviors in control systems through static and dynamic policy enforcement}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {55:1--55:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747913}, doi = {10.1145/2744769.2747913}, timestamp = {Fri, 25 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/McLaughlin15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MengYOLW15, author = {Chenyue Meng and Shouyi Yin and Peng Ouyang and Leibo Liu and Shaojun Wei}, title = {Efficient memory partitioning for parallel data access in multidimensional arrays}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {160:1--160:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744831}, doi = {10.1145/2744769.2744831}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MengYOLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MilutinovicQAC15, author = {Suzana Milutinovic and Eduardo Qui{\~{n}}ones and Jaume Abella and Francisco J. Cazorla}, title = {{PACO:} fast average-performance estimation for time-randomized caches}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {124:1--124:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744886}, doi = {10.1145/2744769.2744886}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MilutinovicQAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MiuraFNHHA15, author = {Noriyuki Miura and Daisuke Fujimoto and Makoto Nagata and Naofumi Homma and Yu{-}ichi Hayashi and Takafumi Aoki}, title = {{EM} attack sensor: concept, circuit, and design-automation methodology}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {176:1--176:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747923}, doi = {10.1145/2744769.2747923}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MiuraFNHHA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MundhenkSLFC15, author = {Philipp Mundhenk and Sebastian Steinhorst and Martin Lukasiewycz and Suhaib A. Fahmy and Samarjit Chakraborty}, title = {Security analysis of automotive architectures using probabilistic model checking}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {38:1--38:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744906}, doi = {10.1145/2744769.2744906}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MundhenkSLFC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NishimiyaSS15, author = {Kenji Nishimiya and Toru Saito and Satoshi Shimada}, title = {Evaluation of functional mock-up interface for vehicle power network modeling}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {2:1--2:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747926}, doi = {10.1145/2744769.2747926}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NishimiyaSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OuTC15, author = {Hung{-}Chih Ou and Kai{-}Han Tseng and Yao{-}Wen Chang}, title = {Cutting structure-aware analog placement based on self-aligned double patterning with e-beam lithography}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {190:1--190:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744813}, doi = {10.1145/2744769.2744813}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OuTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OuTLWC15, author = {Hung{-}Chih Ou and Kai{-}Han Tseng and Jhao{-}Yan Liu and I{-}Peng Wu and Yao{-}Wen Chang}, title = {Layout-dependent-effects-aware analytical analog placement}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {189:1--189:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744865}, doi = {10.1145/2744769.2744865}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OuTLWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PalerD15, author = {Alexandru Paler and Simon J. Devitt}, title = {An introduction into fault-tolerant quantum computing}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {60:1--60:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747911}, doi = {10.1145/2744769.2747911}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PalerD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PanLYXL15, author = {David Z. Pan and Lars Liebmann and Bei Yu and Xiaoqing Xu and Yibo Lin}, title = {Pushing multiple patterning in sub-10nm: are we ready?}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {197:1--197:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747940}, doi = {10.1145/2744769.2747940}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PanLYXL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PanthSDL15, author = {Shreepad Panth and Kambiz Samadi and Yang Du and Sung Kyu Lim}, title = {Tier-partitioning for power delivery vs cooling tradeoff in 3D {VLSI} for mobile applications}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {92:1--92:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744917}, doi = {10.1145/2744769.2744917}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PanthSDL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PapamichaelMH15, author = {Michael K. Papamichael and Peter A. Milder and James C. Hoe}, title = {Nautilus: fast automated {IP} design space search using guided genetic algorithms}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {43:1--43:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744875}, doi = {10.1145/2744769.2744875}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PapamichaelMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PathaniaIPM15, author = {Anuj Pathania and Alexandru Eugen Irimiea and Alok Prakash and Tulika Mitra}, title = {Power-Performance Modelling of Mobile Gaming Workloads on Heterogeneous MPSoCs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {201:1--201:6}, publisher = {{ACM}}, year = {2015}, url = {https://dl.acm.org/citation.cfm?id=2744894}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PathaniaIPM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Peeters15, author = {Eric Peeters}, title = {SoC security architecture: current practices and emerging needs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {144:1--144:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747943}, doi = {10.1145/2744769.2747943}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Peeters15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PengKPPJCL15, author = {Yarui Peng and Bon Woong Ku and Youn{-}Sik Park and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi and Sung Kyu Lim}, title = {Design, packaging, and architectural policy co-optimization for {DC} power integrity in 3D {DRAM}}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {91:1--91:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744819}, doi = {10.1145/2744769.2744819}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PengKPPJCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PetersWPKD15, author = {Judith Peters and Robert Wille and Nils Przigoda and Ulrich K{\"{u}}hne and Rolf Drechsler}, title = {A generic representation of {CCSL} time constraints for {UML/MARTE} models}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {122:1--122:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744775}, doi = {10.1145/2744769.2744775}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PetersWPKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PetrenkoTR15, author = {Alexandre Petrenko and Omer Nguena{-}Timo and S. Ramesh}, title = {Model-based testing of automotive software: some challenges and solutions}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {118:1--118:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747935}, doi = {10.1145/2744769.2747935}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PetrenkoTR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PolianF15, author = {Ilia Polian and Austin G. Fowler}, title = {Design automation challenges for scalable quantum architectures}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {61:1--61:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747921}, doi = {10.1145/2744769.2747921}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PolianF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Pomeranz15, author = {Irith Pomeranz}, title = {Generation of close-to-functional broadside tests with equal primary input vectors}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {137:1--137:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744844}, doi = {10.1145/2744769.2744844}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Pomeranz15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RahimiCMGB15, author = {Abbas Rahimi and Daniele Cesarini and Andrea Marongiu and Rajesh K. Gupta and Luca Benini}, title = {Task scheduling strategies to mitigate hardware variability in embedded shared memory clusters}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {152:1--152:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744915}, doi = {10.1145/2744769.2744915}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RahimiCMGB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RajendranVK15, author = {Jeyavijayan Rajendran and Vivekananda Vedula and Ramesh Karri}, title = {Detecting malicious modifications of data in third-party intellectual property cores}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {112:1--112:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744823}, doi = {10.1145/2744769.2744823}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RajendranVK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RakshitWLGM15, author = {Joydeep Rakshit and Runlai Wan and Kai Tak Lam and Jing Guo and Kartik Mohanram}, title = {Monolayer transition metal dichalcogenide and black phosphorus transistors for low power robust {SRAM} design}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {143:1--143:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744872}, doi = {10.1145/2744769.2744872}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RakshitWLGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RamprasathV15, author = {S. Ramprasath and Vinita Vasudevan}, title = {An efficient algorithm for statistical timing yield optimization}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {166:1--166:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744796}, doi = {10.1145/2744769.2744796}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RamprasathV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RanjanVFRR15, author = {Ashish Ranjan and Swagath Venkataramani and Xuanyao Fong and Kaushik Roy and Anand Raghunathan}, title = {Approximate storage for energy efficient spintronic memories}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {195:1--195:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744799}, doi = {10.1145/2744769.2744799}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RanjanVFRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RayYBB15, author = {Sandip Ray and Jin Yang and Abhishek Basak and Swarup Bhunia}, title = {Correctness and security at odds: post-silicon validation of modern SoC designs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {146:1--146:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2754896}, doi = {10.1145/2744769.2754896}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RayYBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoloffSHT15, author = {Sascha Roloff and David Schafhauser and Frank Hannig and J{\"{u}}rgen Teich}, title = {Execution-driven parallel simulation of {PGAS} applications on heterogeneous tiled architectures}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {44:1--44:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744840}, doi = {10.1145/2744769.2744840}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RoloffSHT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoseM15, author = {Garrett S. Rose and Chauncey A. Meade}, title = {Performance analysis of a memristive crossbar {PUF} design}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {75:1--75:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744892}, doi = {10.1145/2744769.2744892}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RoseM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoyLUP15, author = {Subhendu Roy and Derong Liu and Junhyung Um and David Z. Pan}, title = {{OSFA:} a new paradigm of gate-sizing for power/performance optimizations under multiple operating conditions}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {129:1--129:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744885}, doi = {10.1145/2744769.2744885}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RoyLUP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RozicYDV15, author = {Vladimir Rozic and Bohan Yang and Wim Dehaene and Ingrid Verbauwhede}, title = {Highly efficient entropy extraction for true random number generators on FPGAs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {116:1--116:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744852}, doi = {10.1145/2744769.2744852}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RozicYDV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SadeghiWW15, author = {Ahmad{-}Reza Sadeghi and Christian Wachsmann and Michael Waidner}, title = {Security and privacy challenges in industrial internet of things}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {54:1--54:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747942}, doi = {10.1145/2744769.2747942}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SadeghiWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SantosVK15, author = {Rui Santos and Shyamsundar Venkataraman and Akash Kumar}, title = {Dynamically adaptive scrubbing mechanism for improved reliability in reconfigurable embedded systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {156:1--156:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744827}, doi = {10.1145/2744769.2744827}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SantosVK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SarmaMBDN15, author = {Santanu Sarma and Tiago M{\"{u}}ck and Luis Angel D. Bathen and Nikil D. Dutt and Alexandru Nicolau}, title = {SmartBalance: a sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {109:1--109:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744911}, doi = {10.1145/2744769.2744911}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SarmaMBDN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SchatzVZ15, author = {Bernhard Sch{\"{a}}tz and Sebastian Voss and Sergey Zverlov}, title = {Automating design-space exploration: optimal deployment of automotive SW-components in an {ISO26262} context}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {99:1--99:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747912}, doi = {10.1145/2744769.2747912}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SchatzVZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SeshiaSS15, author = {Sanjit A. Seshia and Dorsa Sadigh and S. Shankar Sastry}, title = {Formal methods for semi-autonomous driving}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {148:1--148:5}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747927}, doi = {10.1145/2744769.2747927}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SeshiaSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SeyedzadehMJM15, author = {Seyed Mohammad Seyedzadeh and Rakan Maddah and Alex K. Jones and Rami G. Melhem}, title = {{PRES:} pseudo-random encoding scheme to increase the bit flip reduction in the memory}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {23:1--23:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2755440}, doi = {10.1145/2744769.2755440}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SeyedzadehMJM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShafiqueAHH15, author = {Muhammad Shafique and Waqas Ahmad and Rehan Hafiz and J{\"{o}}rg Henkel}, title = {A low latency generic accuracy configurable adder}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {86:1--86:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744778}, doi = {10.1145/2744769.2744778}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ShafiqueAHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShafiqueKTH15, author = {Muhammad Shafique and Muhammad Usman Karim Khan and Adnan Orcun T{\"{u}}fek and J{\"{o}}rg Henkel}, title = {EnAAM: energy-efficient anti-aging for on-chip video memories}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {101:1--101:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744834}, doi = {10.1145/2744769.2744834}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShafiqueKTH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShererRO15, author = {Adam D. Sherer and John Rose and Riccardo Oddone}, title = {Ensuring functional safety compliance for {ISO} 26262}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {98:1--98:3}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747924}, doi = {10.1145/2744769.2747924}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShererRO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShreejithF15, author = {Shanker Shreejith and Suhaib A. Fahmy}, title = {Security aware network controllers for next generation automotive embedded systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {39:1--39:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744907}, doi = {10.1145/2744769.2744907}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShreejithF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SonghoriHSK15, author = {Ebrahim M. Songhori and Siam U. Hussain and Ahmad{-}Reza Sadeghi and Farinaz Koushanfar}, title = {Compacting privacy-preserving k-nearest neighbor search using logic synthesis}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {36:1--36:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744808}, doi = {10.1145/2744769.2744808}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SonghoriHSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SripadaP15, author = {Subramanyam Sripada and Murthy Palla}, title = {A timing graph based approach to mode merging}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {168:1--168:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744787}, doi = {10.1145/2744769.2744787}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SripadaP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuC15, author = {Yu{-}Hsuan Su and Yao{-}Wen Chang}, title = {Nanowire-aware routing considering high cut mask complexity}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {138:1--138:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744874}, doi = {10.1145/2744769.2744874}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SumbulVZFP15, author = {Huseyin Ekin Sumbul and Kaushik Vaidyanathan and Qiuling Zhu and Franz Franchetti and Larry T. Pileggi}, title = {A synthesis methodology for application-specific logic-in-memory designs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {196:1--196:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744786}, doi = {10.1145/2744769.2744786}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SumbulVZFP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SztipanovitsBNK15, author = {Janos Sztipanovits and Ted Bapty and Sandeep Neema and Xenofon D. Koutsoukos and Ethan K. Jackson}, title = {Design tool chain for cyber-physical systems: lessons learned}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {81:1--81:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747922}, doi = {10.1145/2744769.2747922}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SztipanovitsBNK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TashjianD15, author = {Edward Tashjian and Azadeh Davoodi}, title = {On using control signals for word-level identification in a gate-level netlist}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {78:1--78:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744878}, doi = {10.1145/2744769.2744878}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TashjianD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TatsuokaWOHZOLT15, author = {Masato Tatsuoka and Ryosuke Watanabe and Tatsushi Otsuka and Takashi Hasegawa and Qiang Zhu and Ryosuke Okamura and Xingri Li and Tsuyoshi Takabatake}, title = {Physically aware high level synthesis design flow}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {162:1--162:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744893}, doi = {10.1145/2744769.2744893}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TatsuokaWOHZOLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TavanaHPSH15, author = {Mohammad Khavari Tavana and Mohammad Hossein Hajkazemi and Divya Pathak and Ioannis Savidis and Houman Homayoun}, title = {ElasticCore: enabling dynamic heterogeneity with joint core and voltage/frequency scaling}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {151:1--151:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744833}, doi = {10.1145/2744769.2744833}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TavanaHPSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TeimouriTS15, author = {Nasibeh Teimouri and Hamed Tabkhi and Gunar Schirner}, title = {Revisiting accelerator-rich CMPs: challenges and solutions}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {84:1--84:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744902}, doi = {10.1145/2744769.2744902}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TeimouriTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TenaceCMP15, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {One-pass logic synthesis for graphene-based Pass-XNOR logic circuits}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {128:1--128:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744880}, doi = {10.1145/2744769.2744880}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TenaceCMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ThieleAE15, author = {Daniel Thiele and Philip Axer and Rolf Ernst}, title = {Improving formal timing analysis of switched ethernet by exploiting {FIFO} scheduling}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {41:1--41:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744854}, doi = {10.1145/2744769.2744854}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ThieleAE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TodmanSL15, author = {Tim Todman and Stephan Stilkerich and Wayne Luk}, title = {In-circuit temporal monitors for runtime verification of reconfigurable designs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {50:1--50:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744856}, doi = {10.1145/2744769.2744856}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TodmanSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TretterKT15, author = {Andreas Tretter and Pratyush Kumar and Lothar Thiele}, title = {Interleaved multi-bank scratchpad memories: a probabilistic description of access conflicts}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {22:1--22:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744861}, doi = {10.1145/2744769.2744861}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TretterKT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsaiYPLTCC15, author = {Hsiang{-}Jen Tsai and Keng{-}Hao Yang and Yin{-}Chi Peng and Chien{-}Chen Lin and Ya{-}Han Tsao and Meng{-}Fan Chang and Tien{-}Fu Chen}, title = {Energy-efficient non-volatile {TCAM} search engine design using priority-decision in memory technology for {DPI}}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {100:1--100:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744836}, doi = {10.1145/2744769.2744836}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TsaiYPLTCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsengLHS15, author = {Tsun{-}Ming Tseng and Bing Li and Tsung{-}Yi Ho and Ulf Schlichtmann}, title = {Reliability-aware synthesis for flow-based microfluidic biochips by dynamic-device mapping}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {141:1--141:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744899}, doi = {10.1145/2744769.2744899}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TsengLHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TziantzioulisGF15, author = {Georgios Tziantzioulis and Ali Murat Gok and S. M. Faisal and Nikolaos Hardavellas and Seda Ogrenci Memik and Srinivasan Parthasarathy}, title = {b-HiVE: a bit-level history-based error model with value correlation for voltage-scaled integer and floating point units}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {105:1--105:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744805}, doi = {10.1145/2744769.2744805}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TziantzioulisGF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VasudevanR15, author = {Vinita Vasudevan and M. Ramakrishna}, title = {An efficient algorithm for frequency-weighted balanced truncation of {VLSI} interconnects in descriptor form}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {187:1--187:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744770}, doi = {10.1145/2744769.2744770}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VasudevanR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VatanparvarF15, author = {Korosh Vatanparvar and Mohammad Abdullah Al Faruque}, title = {Battery lifetime-aware automotive climate control for electric vehicles}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {37:1--37:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744804}, doi = {10.1145/2744769.2744804}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VatanparvarF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VenkataramaniCR15, author = {Swagath Venkataramani and Srimat T. Chakradhar and Kaushik Roy and Anand Raghunathan}, title = {Approximate computing and the quest for computing efficiency}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {120:1--120:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2751163}, doi = {10.1145/2744769.2751163}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VenkataramaniCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VenkataramaniRL15, author = {Swagath Venkataramani and Anand Raghunathan and Jie Liu and Mohammed Shoaib}, title = {Scalable-effort classifiers for energy-efficient machine learning}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {67:1--67:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744904}, doi = {10.1145/2744769.2744904}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VenkataramaniRL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WachsI15, author = {Megan Wachs and Daniel Ip}, title = {Design and integration challenges of building security hardware {IP}}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {177:1--177:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747919}, doi = {10.1145/2744769.2747919}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WachsI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangH0LL15, author = {Ying Wang and Yinhe Han and Lei Zhang and Huawei Li and Xiaowei Li}, title = {ProPRAM: exploiting the transparent logic resources in non-volatile memory for near data computing}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {47:1--47:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744896}, doi = {10.1145/2744769.2744896}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangH0LL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangHWLL15, author = {Ying Wang and Yinhe Han and Cheng Wang and Huawei Li and Xiaowei Li}, title = {\emph{RADAR}: a case for retention-aware {DRAM} assembly and repair in future {FGR} {DRAM} memory}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {19:1--19:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744897}, doi = {10.1145/2744769.2744897}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangHWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangJSX15, author = {Aosen Wang and Zhanpeng Jin and Chen Song and Wenyao Xu}, title = {Adaptive compressed sensing architecture in wireless brain-computer interface}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {173:1--173:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744792}, doi = {10.1145/2744769.2744792}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangJSX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangJZWY15, author = {Rujia Wang and Lei Jiang and Youtao Zhang and Linzhang Wang and Jun Yang}, title = {Selective restore: an energy efficient read disturbance mitigation scheme for future {STT-MRAM}}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {21:1--21:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744908}, doi = {10.1145/2744769.2744908}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangJZWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangJZWY15a, author = {Rujia Wang and Lei Jiang and Youtao Zhang and Linzhang Wang and Jun Yang}, title = {Exploit imbalanced cell writes to mitigate write disturbance in dense phase change memory}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {88:1--88:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744841}, doi = {10.1145/2744769.2744841}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangJZWY15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangLPC15, author = {Yanzhi Wang and Xue Lin and Massoud Pedram and Naehyuck Chang}, title = {Joint automatic control of the powertrain and auxiliary systems to enhance the electromobility in hybrid electric vehicles}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {147:1--147:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747933}, doi = {10.1145/2744769.2747933}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangLPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangLYSOC15, author = {Ye Wang and Meng Li and Xinyang Yi and Zhao Song and Michael Orshansky and Constantine Caramanis}, title = {Novel power grid reduction method based on {L1} regularization}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {93:1--93:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744877}, doi = {10.1145/2744769.2744877}, timestamp = {Sat, 18 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangLYSOC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangLZYW15, author = {Junbin Wang and Leibo Liu and Jianfeng Zhu and Shouyi Yin and Shaojun Wei}, title = {Acceleration of control flows on reconfigurable architecture with a composite method}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {45:1--45:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744789}, doi = {10.1145/2744769.2744789}, timestamp = {Sun, 16 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangLZYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangR15, author = {Tianshi Wang and Jaijeet Roychowdhury}, title = {Design tools for oscillator-based computing systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {188:1--188:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744818}, doi = {10.1145/2744769.2744818}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangSBS15, author = {Zhiheng Wang and Naman Saraf and Kia Bazargan and Arnd Scheel}, title = {Randomness meets feedback: stochastic implementation of logistic map dynamical system}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {132:1--132:7}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744898}, doi = {10.1145/2744769.2744898}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangSBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WasicekLKGIA15, author = {Armin Wasicek and Edward A. Lee and Hokeun Kim and Lev Greenberg and Akihito Iwai and Ilge Akkaya}, title = {System simulation from operational data}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {3:1--3:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747944}, doi = {10.1145/2744769.2747944}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WasicekLKGIA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WenWHLHLC15, author = {Wei Wen and Chi{-}Ruo Wu and Xiaofang Hu and Beiye Liu and Tsung{-}Yi Ho and Xin Li and Yiran Chen}, title = {An {EDA} framework for large scale hybrid neuromorphic computing systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {12:1--12:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744795}, doi = {10.1145/2744769.2744795}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WenWHLHLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WolfF15, author = {Marilyn Wolf and Eric Feron}, title = {What don't we know about {CPS} architectures?}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {80:1--80:4}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747950}, doi = {10.1145/2744769.2747950}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WolfF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XiaoGWYTW15, author = {Zigang Xiao and Daifeng Guo and Martin D. F. Wong and He Yi and Maryann C. Tung and H.{-}S. Philip Wong}, title = {Layout optimization and template pattern verification for directed self-assembly {(DSA)}}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {199:1--199:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747934}, doi = {10.1145/2744769.2747934}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/XiaoGWYTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XieLXCJJ15, author = {Feng Xie and Xiaoyao Liang and Qiang Xu and Krishnendu Chakrabarty and Naifeng Jing and Li Jiang}, title = {Jump test for metallic CNTs in CNFET-based {SRAM}}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {16:1--16:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744864}, doi = {10.1145/2744769.2744864}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XieLXCJJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XieZPHLX15, author = {Mimi Xie and Mengying Zhao and Chen Pan and Jingtong Hu and Yongpan Liu and Chun Jason Xue}, title = {Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered non-volatile processor}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {184:1--184:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744842}, doi = {10.1145/2744769.2744842}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XieZPHLX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XuLP15, author = {Teng Xu and Dongfang Li and Miodrag Potkonjak}, title = {Adaptive characterization and emulation of delay-based physical unclonable functions using statistical models}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {76:1--76:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744791}, doi = {10.1145/2744769.2744791}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XuLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XuYGHP15, author = {Xiaoqing Xu and Bei Yu and Jhih{-}Rong Gao and Che{-}Lun Hsu and David Z. Pan}, title = {{PARR:} pin access planning and regular routing for self-aligned double patterning}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {28:1--28:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744890}, doi = {10.1145/2744769.2744890}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XuYGHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangCK15, author = {Ming{-}Chang Yang and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, title = {Virtual flash chips: rethinking the layer design of flash devices to improve data recoverability}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {193:1--193:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744929}, doi = {10.1145/2744769.2744929}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangTJ15, author = {Yu{-}Ming Yang and King Ho Tam and Iris Hui{-}Ru Jiang}, title = {Criticality-dependency-aware timing characterization and analysis}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {167:1--167:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744812}, doi = {10.1145/2744769.2744812}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangTJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YaoHC15, author = {Hailong Yao and Tsung{-}Yi Ho and Yici Cai}, title = {{PACOR:} practical control-layer routing flow with length-matching constraint for flow-based microfluidic biochips}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {142:1--142:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744887}, doi = {10.1145/2744769.2744887}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YaoHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YoonSMC15, author = {Man{-}Ki Yoon and Lui Sha and Sibin Mohan and Jaesik Choi}, title = {Memory heat map: anomaly detection in real-time embedded systems using memory behavior}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {35:1--35:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744869}, doi = {10.1145/2744769.2744869}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YoonSMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuJTSS15, author = {Huafeng Yu and Prachi Joshi and Jean{-}Pierre Talpin and Sandeep K. Shukla and Shin'ichi Shiraishi}, title = {The challenge of interoperability: model-based integration for automotive control software}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {58:1--58:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747945}, doi = {10.1145/2744769.2747945}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuJTSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuUK15, author = {Weize Yu and Orhun Aras Uzun and Sel{\c{c}}uk K{\"{o}}se}, title = {Leveraging on-chip voltage regulators as a countermeasure against side-channel attacks}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {115:1--115:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744866}, doi = {10.1145/2744769.2744866}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YuUK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YunPB15, author = {Jaeyoung Yun and Jinsu Park and Woongki Baek}, title = {{HARS:} a heterogeneity-aware runtime system for self-adaptive multithreaded applications}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {107:1--107:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744848}, doi = {10.1145/2744769.2744848}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YunPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZaheerWGL15, author = {Manzil Zaheer and Fa Wang and Chenjie Gu and Xin Li}, title = {mTunes: efficient post-silicon tuning of mixed-signal/RF integrated circuits based on Markov decision process}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {170:1--170:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744873}, doi = {10.1145/2744769.2744873}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZaheerWGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhanOGZ015, author = {Jia Zhan and Jin Ouyang and Fen Ge and Jishen Zhao and Yuan Xie}, title = {DimNoC: a dim silicon approach towards power-efficient on-chip network}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {10:1--10:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744824}, doi = {10.1145/2744769.2744824}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhanOGZ015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangHXHC15, author = {Peng Zhang and Muhuan Huang and Bingjun Xiao and Hui Huang and Jason Cong}, title = {{CMOST:} a system-level {FPGA} compilation framework}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {158:1--158:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744807}, doi = {10.1145/2744769.2744807}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangHXHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangLSLWXY15, author = {Daming Zhang and Yongpan Liu and Xiao Sheng and Jinyang Li and Tongda Wu and Chun Jason Xue and Huazhong Yang}, title = {Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {126:1--126:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744815}, doi = {10.1145/2744769.2744815}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangLSLWXY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangMMWSS15, author = {Runjie Zhang and Kaushik Mazumdar and Brett H. Meyer and Ke Wang and Kevin Skadron and Mircea R. Stan}, title = {A cross-layer design exploration of charge-recycled power-delivery in many-layer 3d-IC}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {133:1--133:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744774}, doi = {10.1145/2744769.2744774}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhangMMWSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoTDZ15, author = {Ritchie Zhao and Mingxing Tan and Steve Dai and Zhiru Zhang}, title = {Area-efficient pipelining for FPGA-targeted high-level synthesis}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {157:1--157:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744801}, doi = {10.1145/2744769.2744801}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoTDZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhengLDGZS15, author = {Bowen Zheng and Wenchao Li and Peng Deng and L{\'{e}}onard G{\'{e}}rard and Qi Zhu and Natarajan Shankar}, title = {Design and verification for transportation system security}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {96:1--96:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747920}, doi = {10.1145/2744769.2747920}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhengLDGZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouAZYUGUJ15, author = {Boyou Zhou and Ronen Adato and Mahmoud Zangeneh and Tianyu Yang and Aydan Uyar and Bennett B. Goldberg and M. Selim {\"{U}}nl{\"{u}} and Ajay Joshi}, title = {Detecting hardware trojans using backside optical imaging of embedded watermarks}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {111:1--111:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744822}, doi = {10.1145/2744769.2744822}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhouAZYUGUJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuangYKWC15, author = {Hao Zhuang and Wenjian Yu and Ilgweon Kang and Xinan Wang and Chung{-}Kuan Cheng}, title = {An algorithmic framework for efficient large-scale circuit simulation using exponential integrators}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {163:1--163:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744793}, doi = {10.1145/2744769.2744793}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhuangYKWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZiegenbeinH15, author = {Dirk Ziegenbein and Arne Hamann}, title = {Timing-aware control software design for automotive systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {56:1--56:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747947}, doi = {10.1145/2744769.2747947}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZiegenbeinH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZolotovF15, author = {Vladimir Zolotov and Peter Feldmann}, title = {Variation aware cross-talk aggressor alignment by mixed integer linear programming}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {164:1--164:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744924}, doi = {10.1145/2744769.2744924}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZolotovF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2015, title = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2744769}, isbn = {978-1-4503-3520-1}, timestamp = {Mon, 08 Jun 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.