Search dblp for Publications

export results for "toc:db/conf/dac/dac2014.bht:"

 download as .bib file

@inproceedings{DBLP:conf/dac/0001SMAKV14,
  author       = {Anup Das and
                  Rishad A. Shafik and
                  Geoff V. Merrett and
                  Bashir M. Al{-}Hashimi and
                  Akash Kumar and
                  Bharadwaj Veeravalli},
  title        = {Reinforcement Learning-Based Inter- and Intra-Application Thermal
                  Optimization for Lifetime Improvement of Multicore Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {170:1--170:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593199},
  doi          = {10.1145/2593069.2593199},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/0001SMAKV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AbarbanelSV14,
  author       = {Yael Abarbanel and
                  Eli Singerman and
                  Moshe Y. Vardi},
  title        = {Validation of SoC Firmware-Hardware Flows: Challenges and Solution
                  Directions},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {2:1--2:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596692},
  doi          = {10.1145/2593069.2596692},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AbarbanelSV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AbeyratneJKBDDM14,
  author       = {Nilmini Abeyratne and
                  Supreet Jeloka and
                  Yiping Kang and
                  David T. Blaauw and
                  Ronald G. Dreslinski and
                  Reetuparna Das and
                  Trevor N. Mudge},
  title        = {Quality-of-Service for a High-Radix Switch},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {163:1--163:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593194},
  doi          = {10.1145/2593069.2593194},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AbeyratneJKBDDM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AdirGGS14,
  author       = {Allon Adir and
                  Alex Goryachev and
                  Lev Greenberg and
                  Tamer Salman},
  title        = {Using a High-Level Test Generation Expert System for Testing In-Car
                  Networks},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {134:1--134:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602978},
  doi          = {10.1145/2593069.2602978},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AdirGGS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AdirGHHHHKKLMNPSOTTZ14,
  author       = {Allon Adir and
                  Dave Goodman and
                  Daniel Hershcovich and
                  Oz Hershkovitz and
                  Bryan G. Hickerson and
                  Karen Holtz and
                  Wisam Kadry and
                  Anatoly Koyfman and
                  John M. Ludden and
                  Charles Meissner and
                  Amir Nahir and
                  Randall R. Pratt and
                  Mike Schiffli and
                  Brett St. Onge and
                  Brian W. Thompto and
                  Elena Tsanko and
                  Avi Ziv},
  title        = {Verification of Transactional Memory in {POWER8}},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {58:1--58:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593241},
  doi          = {10.1145/2593069.2593241},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AdirGHHHHKKLMNPSOTTZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AgostaBPS14,
  author       = {Giovanni Agosta and
                  Alessandro Barenghi and
                  Gerardo Pelosi and
                  Michele Scandale},
  title        = {A Multiple Equivalent Execution Trace Approach to Secure Cryptographic
                  Embedded Software},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {210:1--210:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593073},
  doi          = {10.1145/2593069.2593073},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AgostaBPS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AhnYC14,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Dynamic Power Management of Off-Chip Links for Hybrid Memory Cubes},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {139:1--139:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593128},
  doi          = {10.1145/2593069.2593128},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AhnYC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AkgulPLBPBT14,
  author       = {Yeter Akgul and
                  Diego Puschini and
                  Suzanne Lesecq and
                  Edith Beign{\'{e}} and
                  Ivan Miro Panades and
                  Pascal Benoit and
                  Lionel Torres},
  title        = {Power management through {DVFS} and dynamic body biasing in {FD-SOI}
                  circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {183:1--183:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593185},
  doi          = {10.1145/2593069.2593185},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AkgulPLBPBT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AlbalawiLL14,
  author       = {Hassan Albalawi and
                  Yuanning Li and
                  Xin Li},
  title        = {Computer-Aided Design of Machine Learning Algorithm: Training Fixed-Point
                  Classifier for On-Chip Low-Power Implementation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {67:1--67:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593110},
  doi          = {10.1145/2593069.2593110},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AlbalawiLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AmaruGM14,
  author       = {Luca Gaetano Amar{\`{u}} and
                  Pierre{-}Emmanuel Gaillardon and
                  Giovanni De Micheli},
  title        = {Majority-Inverter Graph: {A} Novel Data-Structure and Algorithms for
                  Efficient Logic Optimization},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {194:1--194:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593158},
  doi          = {10.1145/2593069.2593158},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AmaruGM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AncajasCR14,
  author       = {Dean Michael Ancajas and
                  Koushik Chakraborty and
                  Sanghamitra Roy},
  title        = {Fort-NoCs: Mitigating the Threat of a Compromised NoC},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {158:1--158:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593144},
  doi          = {10.1145/2593069.2593144},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AncajasCR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AndraudSS14,
  author       = {Martin Andraud and
                  Haralampos{-}G. D. Stratigopoulos and
                  Emmanuel Simeu},
  title        = {One-Shot Calibration of {RF} Circuits Based on Non-Intrusive Sensors},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {10:1--10:2},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593174},
  doi          = {10.1145/2593069.2593174},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AndraudSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ApostolopoulouDES14,
  author       = {Ifigeneia Apostolopoulou and
                  Konstantis Daloukas and
                  Nestor E. Evmorfopoulos and
                  George I. Stamoulis},
  title        = {Selective Inversion of Inductance Matrix for Large-Scale Sparse {RLC}
                  Simulation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {119:1--119:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593213},
  doi          = {10.1145/2593069.2593213},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ApostolopoulouDES14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AsadiniaAS14,
  author       = {Marjan Asadinia and
                  Mohammad Arjomand and
                  Hamid Sarbazi{-}Azad},
  title        = {{OD3P:} On-Demand Page Paired {PCM}},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {64:1--64:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593166},
  doi          = {10.1145/2593069.2593166},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AsadiniaAS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AtacCLWSZWH14,
  author       = {Aytac Atac and
                  Zhimiao Chen and
                  Lei Liao and
                  Yifan Wang and
                  Martin Schleyer and
                  Ye Zhang and
                  Ralf Wunderlich and
                  Stefan Heinen},
  title        = {An HDL-Based System Design Methodology for Multistandard {RF} SoC's},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {167:1--167:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593089},
  doi          = {10.1145/2593069.2593089},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AtacCLWSZWH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AthavaleMHV14,
  author       = {Viraj Athavale and
                  Sai Ma and
                  Samuel Hertz and
                  Shobha Vasudevan},
  title        = {Code Coverage of Assertions Using {RTL} Source Code Analysis},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {61:1--61:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593108},
  doi          = {10.1145/2593069.2593108},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AthavaleMHV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AxerTED14,
  author       = {Philip Axer and
                  Daniel Thiele and
                  Rolf Ernst and
                  Jonas Diemer},
  title        = {Exploiting Shaper Context to Improve Performance Bounds of Ethernet
                  {AVB} Networks},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {18:1--18:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593136},
  doi          = {10.1145/2593069.2593136},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AxerTED14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BeckertNEP14,
  author       = {Matthias Beckert and
                  Moritz Neukirchner and
                  Rolf Ernst and
                  Stefan M. Petters},
  title        = {Sufficient Temporal Independence and Improved Interrupt Latencies
                  in a Real-Time Hypervisor},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {86:1--86:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593222},
  doi          = {10.1145/2593069.2593222},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BeckertNEP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Bhushan14,
  author       = {Palak Bhushan},
  title        = {A Rigorous Graphical Technique for Predicting Sub-harmonic Injection
                  Locking in {LC} Oscillators},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {116:1--116:8},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593076},
  doi          = {10.1145/2593069.2593076},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Bhushan14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BokhariJSHP14,
  author       = {Haseeb Bokhari and
                  Haris Javaid and
                  Muhammad Shafique and
                  J{\"{o}}rg Henkel and
                  Sri Parameswaran},
  title        = {darkNoC: Designing Energy-Efficient Network-on-Chip with Multi-Vt
                  Cells for Dark Silicon},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {161:1--161:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593117},
  doi          = {10.1145/2593069.2593117},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BokhariJSHP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BraojosMJAARM14,
  author       = {Rub{\'{e}}n Braojos and
                  Hossein Mamaghanian and
                  Alair Dias Junior and
                  Giovanni Ansaloni and
                  David Atienza and
                  Francisco J. Rinc{\'{o}}n and
                  Srinivasan Murali},
  title        = {Ultra-Low Power Design of Wearable Cardiac Monitoring Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {17:1--17:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596691},
  doi          = {10.1145/2593069.2596691},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BraojosMJAARM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChakrabortyMV14,
  author       = {Supratik Chakraborty and
                  Kuldeep S. Meel and
                  Moshe Y. Vardi},
  title        = {Balancing Scalability and Uniformity in {SAT} Witness Generator},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {60:1--60:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593097},
  doi          = {10.1145/2593069.2593097},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChakrabortyMV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Chandra14,
  author       = {Vikas Chandra},
  title        = {Monitoring Reliability in Embedded Processors - {A} Multi-layer View},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {46:1--46:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596682},
  doi          = {10.1145/2593069.2596682},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Chandra14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChangCCKLL14,
  author       = {Yu{-}Ming Chang and
                  Yuan{-}Hao Chang and
                  Jian{-}Jia Chen and
                  Tei{-}Wei Kuo and
                  Hsiang{-}Pang Li and
                  Hang{-}Ting Lue},
  title        = {On Trading Wear-leveling with Heal-leveling},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {83:1--83:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593172},
  doi          = {10.1145/2593069.2593172},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChangCCKLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChangJC14,
  author       = {Hua{-}Yu Chang and
                  Iris Hui{-}Ru Jiang and
                  Yao{-}Wen Chang},
  title        = {Functional {ECO} Using Metal-Configurable Gate-Array Spare Cells},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {191:1--191:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593145},
  doi          = {10.1145/2593069.2593145},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChangJC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenCDZ14,
  author       = {Xiang Chen and
                  Yiran Chen and
                  Mian Dong and
                  Jianzhong (Charlie) Zhang},
  title        = {Demystifying Energy Usage in Smartphones},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {70:1--70:5},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596676},
  doi          = {10.1145/2593069.2596676},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChenCDZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenHCCW14,
  author       = {Yi{-}Fang Chen and
                  Chau{-}Chin Huang and
                  Chien{-}Hsiung Chiou and
                  Yao{-}Wen Chang and
                  Chang{-}Jen Wang},
  title        = {Routability-Driven Blockage-Aware Macro Placement},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {124:1--124:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593206},
  doi          = {10.1145/2593069.2593206},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenHCCW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenPCWMYZC14,
  author       = {Chien{-}Chih Chen and
                  Yin{-}Chi Peng and
                  Cheng{-}Fen Chen and
                  Wei{-}Shan Wu and
                  Qinghao Min and
                  Pen{-}Chung Yew and
                  Weihua Zhang and
                  Tien{-}Fu Chen},
  title        = {DAPs: Dynamic Adjustment and Partial Sampling for Multithreaded/Multicore
                  Simulation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {129:1--129:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593116},
  doi          = {10.1145/2593069.2593116},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChenPCWMYZC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenT14,
  author       = {Liang Chen and
                  Mehdi Baradaran Tahoori},
  title        = {Reliability-aware Register Binding for Control-Flow Intensive Designs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {75:1--75:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593200},
  doi          = {10.1145/2593069.2593200},
  timestamp    = {Mon, 29 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChenT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenWLWSC14,
  author       = {Yu{-}Guang Chen and
                  Tao Wang and
                  Kuan{-}Yu Lai and
                  Wan{-}Yu Wen and
                  Yiyu Shi and
                  Shih{-}Chieh Chang},
  title        = {Critical Path Monitor Enabled Dynamic Voltage Scaling for Graceful
                  Degradation in Sub-Threshold Designs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {98:1--98:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593115},
  doi          = {10.1145/2593069.2593115},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenWLWSC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenWLXY14,
  author       = {Xiaoming Chen and
                  Yu Wang and
                  Yun Liang and
                  Yuan Xie and
                  Huazhong Yang},
  title        = {Run-Time Technique for Simultaneous Aging and Power Optimization in
                  GPGPUs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {168:1--168:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593208},
  doi          = {10.1145/2593069.2593208},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenWLXY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenWLZAMWH14,
  author       = {Zhimiao Chen and
                  Yifan Wang and
                  Lei Liao and
                  Ye Zhang and
                  Aytac Atac and
                  Jan Henning M{\"{u}}ller and
                  Ralf Wunderlich and
                  Stefan Heinen},
  title        = {A SystemC Virtual Prototyping based Methodology for Multi-Standard
                  SoC Functional Verification},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {59:1--59:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593079},
  doi          = {10.1145/2593069.2593079},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenWLZAMWH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChienHLYC14,
  author       = {Jui{-}Hung Chien and
                  Ruei{-}Siang Hsu and
                  Hsueh{-}Ju Lin and
                  Ka{-}Yi Yeh and
                  Shih{-}Chieh Chang},
  title        = {Contactless Stacked-die Testing for Pre-bond Interposers},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {8:1--8:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593111},
  doi          = {10.1145/2593069.2593111},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChienHLYC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChuangLJ14,
  author       = {Chi{-}Chuan Chuang and
                  Yi{-}Hsiang Lai and
                  Jie{-}Hong R. Jiang},
  title        = {Synthesis of {PCHB-WCHB} Hybrid Quasi-Delay Insensitive Circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {192:1--192:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593224},
  doi          = {10.1145/2593069.2593224},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChuangLJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ClercqUHV14,
  author       = {Ruan de Clercq and
                  Leif Uhsadel and
                  Anthony Van Herrewege and
                  Ingrid Verbauwhede},
  title        = {Ultra Low-Power implementation of {ECC} on the {ARM} Cortex-M0+},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {112:1--112:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593238},
  doi          = {10.1145/2593069.2593238},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ClercqUHV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CocchiBCW14,
  author       = {Ronald P. Cocchi and
                  James P. Baukus and
                  Lap Wai Chow and
                  Bryan J. Wang},
  title        = {Circuit Camouflage Integration for Hardware {IP} Protection},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {153:1--153:5},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602554},
  doi          = {10.1145/2593069.2602554},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CocchiBCW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CongGGGGR14,
  author       = {Jason Cong and
                  Mohammad Ali Ghodrat and
                  Michael Gill and
                  Beayna Grigorian and
                  Karthik Gururaj and
                  Glenn Reinman},
  title        = {Accelerator-Rich Architectures: Opportunities and Progresses},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {180:1--180:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596667},
  doi          = {10.1145/2593069.2596667},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CongGGGGR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CongLXZ14,
  author       = {Jason Cong and
                  Peng Li and
                  Bingjun Xiao and
                  Peng Zhang},
  title        = {An Optimal Microarchitecture for Stencil Computation Acceleration
                  Based on Non-Uniform Partitioning of Data Reuse Buffers},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {77:1--77:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593090},
  doi          = {10.1145/2593069.2593090},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/CongLXZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CuiCBC14,
  author       = {Zehan Cui and
                  Licheng Chen and
                  Yungang Bao and
                  Mingyu Chen},
  title        = {A Swap-based Cache Set Index Scheme to Leverage both Superpage and
                  Page Coloring Optimizations},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {140:1--140:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593078},
  doi          = {10.1145/2593069.2593078},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CuiCBC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CuiMSW14,
  author       = {Xiaotong Cui and
                  Kun Ma and
                  Liang Shi and
                  Kaijie Wu},
  title        = {High-Level Synthesis for Run-Time Hardware Trojan Detection and Recovery},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {157:1--157:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593150},
  doi          = {10.1145/2593069.2593150},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/CuiMSW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DaiTHZ14,
  author       = {Steve Dai and
                  Mingxing Tan and
                  Kecheng Hao and
                  Zhiru Zhang},
  title        = {Flushing-Enabled Loop Pipelining for High-Level Synthesis},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {76:1--76:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593143},
  doi          = {10.1145/2593069.2593143},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DaiTHZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DattaSCPR14,
  author       = {Suman Datta and
                  Nikhil Shukla and
                  Matthew Cotter and
                  Abhinav Parihar and
                  Arijit Raychowdhury},
  title        = {Neuro Inspired Computing with Coupled Relaxation Oscillators},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {74:1--74:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596685},
  doi          = {10.1145/2593069.2596685},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DattaSCPR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DaviKS14,
  author       = {Lucas Davi and
                  Patrick Koeberl and
                  Ahmad{-}Reza Sadeghi},
  title        = {Hardware-Assisted Fine-Grained Control-Flow Integrity: Towards Efficient
                  Protection of Embedded Systems Against Software Exploitation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {133:1--133:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596656},
  doi          = {10.1145/2593069.2596656},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DaviKS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DengBZW14,
  author       = {Jian Deng and
                  Kim Batselier and
                  Yang Zhang and
                  Ngai Wong},
  title        = {An Efficient Two-level {DC} Operating Points Finder for Transistor
                  Circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {117:1--117:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593087},
  doi          = {10.1145/2593069.2593087},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DengBZW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DingCM14,
  author       = {Yixiao Ding and
                  Chris Chu and
                  Wai{-}Kei Mak},
  title        = {Throughput Optimization for {SADP} and E-beam based Manufacturing
                  of 1D Layout},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {51:1--51:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593233},
  doi          = {10.1145/2593069.2593233},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DingCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DongZC14,
  author       = {Chuansheng Dong and
                  Haibo Zeng and
                  Minghua Chen},
  title        = {A Cost Efficient Online Algorithm for Automotive Idling Reduction},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {92:1--92:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593070},
  doi          = {10.1145/2593069.2593070},
  timestamp    = {Thu, 13 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DongZC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DoustiP14,
  author       = {Mohammad Javad Dousti and
                  Massoud Pedram},
  title        = {Power-Aware Deployment and Control of Forced-Convection and Thermoelectric
                  Coolers},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {186:1--186:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593186},
  doi          = {10.1145/2593069.2593186},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DoustiP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuttGNBGS14,
  author       = {Nikil D. Dutt and
                  Puneet Gupta and
                  Alex Nicolau and
                  Abbas BanaiyanMofrad and
                  Mark Gottscho and
                  Majid Shoushtari},
  title        = {Multi-Layer Memory Resiliency},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {48:1--48:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596684},
  doi          = {10.1145/2593069.2596684},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuttGNBGS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/EckerVZG14,
  author       = {Wolfgang Ecker and
                  Michael Velten and
                  Leily Zafari and
                  Ajay Goyal},
  title        = {Metasynthesis for Designing Automotive SoCs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {71:1--71:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602974},
  doi          = {10.1145/2593069.2602974},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/EckerVZG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/EkenZWJLC14,
  author       = {Enes Eken and
                  Yaojun Zhang and
                  Wujie Wen and
                  Rajiv V. Joshi and
                  Hai Li and
                  Yiran Chen},
  title        = {A New Field-assisted Access Scheme of {STT-RAM} with Self-reference
                  Capability},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {63:1--63:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593075},
  doi          = {10.1145/2593069.2593075},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/EkenZWJLC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ElbayoumiCKSHE14,
  author       = {Mahmoud Elbayoumi and
                  Mihir Choudhury and
                  Victor N. Kravets and
                  Andrew Sullivan and
                  Michael S. Hsiao and
                  Mustafa Y. ElNainay},
  title        = {{TACUE:} {A} Timing-Aware Cuts Enumeration Algorithm for Parallel
                  Synthesis},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {189:1--189:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593227},
  doi          = {10.1145/2593069.2593227},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ElbayoumiCKSHE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/EldibWTS14,
  author       = {Hassan Eldib and
                  Chao Wang and
                  Mostafa M. I. Taha and
                  Patrick Schaumont},
  title        = {{QMS:} Evaluating the Side-Channel Resistance of Masked Software from
                  Source Code},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {209:1--209:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593193},
  doi          = {10.1145/2593069.2593193},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/EldibWTS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/FangYZL14,
  author       = {Chenlei Fang and
                  Fan Yang and
                  Xuan Zeng and
                  Xin Li},
  title        = {{BMF-BD:} Bayesian Model Fusion on Bernoulli Distribution for Efficient
                  Yield Estimation of Integrated Circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {29:1--29:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593099},
  doi          = {10.1145/2593069.2593099},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/FangYZL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/FarkashHB14,
  author       = {Monica Farkash and
                  Bryan G. Hickerson and
                  Michael L. Behm},
  title        = {Coverage Learned Targeted Validation for Incremental {HW} Changes},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {57:1--57:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593114},
  doi          = {10.1145/2593069.2593114},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/FarkashHB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/FattahPLPT14,
  author       = {Mohammad Fattah and
                  Maurizio Palesi and
                  Pasi Liljeberg and
                  Juha Plosila and
                  Hannu Tenhunen},
  title        = {SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of
                  Many-Core Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {101:1--101:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593214},
  doi          = {10.1145/2593069.2593214},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/FattahPLPT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GaoLQ14,
  author       = {Mingze Gao and
                  Khai Lai and
                  Gang Qu},
  title        = {A Highly Flexible Ring Oscillator {PUF}},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {89:1--89:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593072},
  doi          = {10.1145/2593069.2593072},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GaoLQ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GaoXYP14,
  author       = {Jhih{-}Rong Gao and
                  Xiaoqing Xu and
                  Bei Yu and
                  David Z. Pan},
  title        = {{MOSAIC:} Mask Optimizing Solution With Process Window Aware Inverse
                  Correction},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {52:1--52:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593163},
  doi          = {10.1145/2593069.2593163},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GaoXYP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GebalaMMRT14,
  author       = {Marcin Gebala and
                  Grzegorz Mrugalski and
                  Nilanjan Mukherjee and
                  Janusz Rajski and
                  Jerzy Tyszer},
  title        = {On Using Implied Values in EDT-based Test Compression},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {11:1--11:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593173},
  doi          = {10.1145/2593069.2593173},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GebalaMMRT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GottschoBDNG14,
  author       = {Mark Gottscho and
                  Abbas BanaiyanMofrad and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Puneet Gupta},
  title        = {Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant
                  Caches},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {100:1--100:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593184},
  doi          = {10.1145/2593069.2593184},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GottschoBDNG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuglielmoPC14,
  author       = {Giuseppe Di Guglielmo and
                  Christian Pilato and
                  Luca P. Carloni},
  title        = {A Design Methodology for Compositional High-Level Synthesis of Communication-Centric
                  SoCs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {128:1--128:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593071},
  doi          = {10.1145/2593069.2593071},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GuglielmoPC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuinZFT14,
  author       = {Ujjwal Guin and
                  Xuehui Zhang and
                  Domenic Forte and
                  Mohammad Tehranipoor},
  title        = {Low-cost On-Chip Structures for Combating Die and {IC} Recycling},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {87:1--87:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593157},
  doi          = {10.1145/2593069.2593157},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GuinZFT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuoBS14,
  author       = {Xinfei Guo and
                  Wayne P. Burleson and
                  Mircea R. Stan},
  title        = {Modeling and Experimental Demonstration of Accelerated Self-Healing
                  Techniques},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {171:1--171:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593162},
  doi          = {10.1145/2593069.2593162},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GuoBS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HameedBH14,
  author       = {Fazal Hameed and
                  Lars Bauer and
                  J{\"{o}}rg Henkel},
  title        = {Reducing Latency in an {SRAM/DRAM} Cache Hierarchy via a Novel Tag-Cache
                  Architecture},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {37:1--37:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593197},
  doi          = {10.1145/2593069.2593197},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HameedBH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HamzehSV14,
  author       = {Mahdi Hamzeh and
                  Aviral Shrivastava and
                  Sarma B. K. Vrudhula},
  title        = {Branch-Aware Loop Mapping on CGRAs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {107:1--107:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593100},
  doi          = {10.1145/2593069.2593100},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HamzehSV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HeisswolfZZKWTHSHB14,
  author       = {Jan Heisswolf and
                  Aurang Zaib and
                  Andreas Zwinkau and
                  Sebastian Kobbe and
                  Andreas Weichslgartner and
                  J{\"{u}}rgen Teich and
                  J{\"{o}}rg Henkel and
                  Gregor Snelting and
                  Andreas Herkersdorf and
                  J{\"{u}}rgen Becker},
  title        = {{CAP:} Communication Aware Programming},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {105:1--105:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593103},
  doi          = {10.1145/2593069.2593103},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HeisswolfZZKWTHSHB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HeldS14,
  author       = {Stephan Held and
                  Ulrike Schorr},
  title        = {Post-Routing Latch Optimization for Timing Closure},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {7:1--7:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593182},
  doi          = {10.1145/2593069.2593182},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HeldS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HenkelBZRS14,
  author       = {J{\"{o}}rg Henkel and
                  Lars Bauer and
                  Hongyan Zhang and
                  Semeen Rehman and
                  Muhammad Shafique},
  title        = {Multi-Layer Dependability: From Microarchitecture to Application Level},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {47:1--47:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596683},
  doi          = {10.1145/2593069.2596683},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HenkelBZRS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HernandezA14,
  author       = {Carles Hern{\'{a}}ndez and
                  Jaume Abella},
  title        = {LiVe: Timely Error Detection in Light-Lockstep Safety Critical Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {25:1--25:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593155},
  doi          = {10.1145/2593069.2593155},
  timestamp    = {Fri, 14 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HernandezA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HerrewegeV14,
  author       = {Anthony Van Herrewege and
                  Ingrid Verbauwhede},
  title        = {Software Only, Extremely Compact, Keccak-based Secure {PRNG} on {ARM}
                  Cortex-M},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {111:1--111:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593218},
  doi          = {10.1145/2593069.2593218},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HerrewegeV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HoC14,
  author       = {Kuan{-}Hsien Ho and
                  Yao{-}Wen Chang},
  title        = {A New Asynchronous Pipeline Template for Power and Performance Optimization},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {204:1--204:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593074},
  doi          = {10.1145/2593069.2593074},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HoC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HollerDKSF14,
  author       = {Andrea H{\"{o}}ller and
                  Norbert Druml and
                  Christian Kreiner and
                  Christian Steger and
                  Tomaz Felicijan},
  title        = {Hardware/Software Co-Design of Elliptic-Curve Cryptography for Resource-Constrained
                  Applications},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {207:1--207:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593148},
  doi          = {10.1145/2593069.2593148},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HollerDKSF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuWTT14,
  author       = {Kekai Hu and
                  Tilman Wolf and
                  Thiago Teixeira and
                  Russell Tessier},
  title        = {System-Level Security for Network Processors with Hardware Monitors},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {211:1--211:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593226},
  doi          = {10.1145/2593069.2593226},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HuWTT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuXMCHX14,
  author       = {Xing Hu and
                  Yi Xu and
                  Jun Ma and
                  Guoqing Chen and
                  Yu Hu and
                  Yuan Xie},
  title        = {Thermal-Sustainable Power Budgeting for Dynamic Threading},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {187:1--187:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593232},
  doi          = {10.1145/2593069.2593232},
  timestamp    = {Sun, 23 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HuXMCHX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuangW14,
  author       = {Ryan H.{-}M. Huang and
                  Charles H.{-}P. Wen},
  title        = {Advanced Soft-Error-Rate {(SER)} Estimation with Striking-Time and
                  Multi-Cycle Effects},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {24:1--24:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593081},
  doi          = {10.1145/2593069.2593081},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HuangW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuangYST14,
  author       = {Xin Huang and
                  Tan Yu and
                  Valeriy Sukharev and
                  Sheldon X.{-}D. Tan},
  title        = {Physics-based Electromigration Assessment for Power Grid Networks},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {80:1--80:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593180},
  doi          = {10.1145/2593069.2593180},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HuangYST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuangYT14,
  author       = {Pengcheng Huang and
                  Hoeseok Yang and
                  Lothar Thiele},
  title        = {On the Scheduling of Fault-Tolerant Mixed-Criticality Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {131:1--131:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593169},
  doi          = {10.1145/2593069.2593169},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HuangYT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/IyengarG14,
  author       = {Anirudh Iyengar and
                  Swaroop Ghosh},
  title        = {Modeling and Analysis of Domain Wall Dynamics for Robust and Low-Power
                  Embedded Memory},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {65:1--65:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593161},
  doi          = {10.1145/2593069.2593161},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/IyengarG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JavaidYSBP14,
  author       = {Haris Javaid and
                  Yusuke Yachide and
                  Su Myat Min Shwe and
                  Haseeb Bokhari and
                  Sri Parameswaran},
  title        = {{FALCON:} {A} Framework for HierarchicAL Computation of Metrics for
                  CompONent-Based Parameterized SoCs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {33:1--33:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593138},
  doi          = {10.1145/2593069.2593138},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JavaidYSBP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JungSWPL14,
  author       = {Moongon Jung and
                  Taigon Song and
                  Yang Wan and
                  Yarui Peng and
                  Sung Kyu Lim},
  title        = {On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles
                  Perspective},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {4:1--4:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593167},
  doi          = {10.1145/2593069.2593167},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/JungSWPL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KabirS14,
  author       = {Mehdi Kabir and
                  Mircea R. Stan},
  title        = {Computing with Hybrid {CMOS/STO} Circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {73:1--73:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596673},
  doi          = {10.1145/2593069.2596673},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KabirS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KangYKBHT14,
  author       = {Shin{-}Haeng Kang and
                  Hoeseok Yang and
                  Sungchan Kim and
                  Iuliana Bacivarov and
                  Soonhoi Ha and
                  Lothar Thiele},
  title        = {Static Mapping of Mixed-Critical Applications for Fault-Tolerant MPSoCs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {31:1--31:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593221},
  doi          = {10.1145/2593069.2593221},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KangYKBHT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KannanKS14,
  author       = {Sachhidh Kannan and
                  Naghmeh Karimi and
                  Ozgur Sinanoglu},
  title        = {Secure Memristor-based Main Memory},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {178:1--178:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593212},
  doi          = {10.1145/2593069.2593212},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KannanKS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KeszoczeWHD14,
  author       = {Oliver Kesz{\"{o}}cze and
                  Robert Wille and
                  Tsung{-}Yi Ho and
                  Rolf Drechsler},
  title        = {Exact One-pass Synthesis of Digital Microfluidic Biochips},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {142:1--142:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593135},
  doi          = {10.1145/2593069.2593135},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KeszoczeWHD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KhosraviRGT14,
  author       = {Faramarz Khosravi and
                  Felix Reimann and
                  Michael Gla{\ss} and
                  J{\"{u}}rgen Teich},
  title        = {Multi-Objective Local-Search Optimization using Reliability Importance
                  Measuring},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {15:1--15:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593164},
  doi          = {10.1145/2593069.2593164},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KhosraviRGT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KiamehrOTN14,
  author       = {Saman Kiamehr and
                  Thomas H. Osiecki and
                  Mehdi Baradaran Tahoori and
                  Sani R. Nassif},
  title        = {Radiation-Induced Soft Error Analysis of SRAMs in {SOI} FinFET Technology:
                  {A} Device to Circuit Approach},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {201:1--201:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593196},
  doi          = {10.1145/2593069.2593196},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KiamehrOTN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KimJC14,
  author       = {Dongwon Kim and
                  Nohyun Jung and
                  Hojung Cha},
  title        = {Content-centric Display Energy Management for Mobile Devices},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {41:1--41:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593113},
  doi          = {10.1145/2593069.2593113},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KimJC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KimM14,
  author       = {Duckhwan Kim and
                  Saibal Mukhopadhyay},
  title        = {On the Design of Reliable 3D-ICs Considering Charged Device Model
                  {ESD} Events During Die Stacking},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {27:1--27:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593168},
  doi          = {10.1145/2593069.2593168},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KimM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KleebergerMS14,
  author       = {Veit Kleeberger and
                  Petra R. Maier and
                  Ulf Schlichtmann},
  title        = {Workload- and Instruction-Aware Timing Analysis: The missing Link
                  between Technology and System-level Resilience},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {49:1--49:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596694},
  doi          = {10.1145/2593069.2596694},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KleebergerMS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KoKYKH14,
  author       = {Youngsub Ko and
                  Taeyoung Kim and
                  Youngmin Yi and
                  Myungsun Kim and
                  Soonhoi Ha},
  title        = {Hardware-in-the-loop Simulation for {CPU/GPU} Heterogeneous Platforms},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {138:1--138:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593149},
  doi          = {10.1145/2593069.2593149},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KoKYKH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KoestersG14,
  author       = {Johannes Koesters and
                  Alex Goryachev},
  title        = {Verification of Non-Mainline Functions in Todays Processor Chips},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {1:1--1:3},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596693},
  doi          = {10.1145/2593069.2596693},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KoestersG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KongKPSW14,
  author       = {Joonho Kong and
                  Farinaz Koushanfar and
                  Praveen Kumar Pendyala and
                  Ahmad{-}Reza Sadeghi and
                  Christian Wachsmann},
  title        = {PUFatt: Embedded Platform Attestation Based on Novel Processor-Based
                  PUFs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {109:1--109:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593192},
  doi          = {10.1145/2593069.2593192},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KongKPSW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KontorinisTHTH14,
  author       = {Vasileios Kontorinis and
                  Mohammad Khavari Tavana and
                  Mohammad Hossein Hajkazemi and
                  Dean M. Tullsen and
                  Houman Homayoun},
  title        = {Enabling Dynamic Heterogeneity Through Core-on-Core Stacking},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {182:1--182:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596674},
  doi          = {10.1145/2593069.2596674},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KontorinisTHTH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Kose14,
  author       = {Sel{\c{c}}uk K{\"{o}}se},
  title        = {Thermal Implications of On-Chip Voltage Regulation: Upcoming Challenges
                  and Possible Solutions},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {184:1--184:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593231},
  doi          = {10.1145/2593069.2593231},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/Kose14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KosmidisQAFWC14,
  author       = {Leonidas Kosmidis and
                  Eduardo Qui{\~{n}}ones and
                  Jaume Abella and
                  Glenn Farrall and
                  Franck Wartel and
                  Francisco J. Cazorla},
  title        = {Containing Timing-Related Certification Cost in Automotive Systems
                  Deploying Complex Hardware},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {22:1--22:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593112},
  doi          = {10.1145/2593069.2593112},
  timestamp    = {Tue, 18 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KosmidisQAFWC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KozhikkottuPPDR14,
  author       = {Vivek Joy Kozhikkottu and
                  Abhisek Pan and
                  Vijay S. Pai and
                  Sujit Dey and
                  Anand Raghunathan},
  title        = {Variation Aware Cache Partitioning for Multithreaded Programs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {199:1--199:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593240},
  doi          = {10.1145/2593069.2593240},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KozhikkottuPPDR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KrautzPAKPB14,
  author       = {Udo Krautz and
                  Viresh Paruthi and
                  Anand Arunagiri and
                  Sujeet Kumar and
                  Shweta Pujar and
                  Tina Babinsky},
  title        = {Automatic Verification of Floating Point Units},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {151:1--151:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593096},
  doi          = {10.1145/2593069.2593096},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KrautzPAKPB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KriebelRSSH14,
  author       = {Florian Kriebel and
                  Semeen Rehman and
                  Duo Sun and
                  Muhammad Shafique and
                  J{\"{o}}rg Henkel},
  title        = {{ASER:} Adaptive Soft Error Resilience for Reliability-Heterogeneous
                  Processors in the Dark Silicon Era},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {12:1--12:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593094},
  doi          = {10.1145/2593069.2593094},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KriebelRSSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KuanCHL14,
  author       = {Yuan{-}Hung Kuan and
                  Yuan{-}Hao Chang and
                  Po{-}Chun Huang and
                  Kam{-}yiu Lam},
  title        = {Space-Efficient Multiversion Index Scheme for PCM-based Embedded Database
                  Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {147:1--147:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593219},
  doi          = {10.1145/2593069.2593219},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KuanCHL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LeeL14,
  author       = {Jong Chul Lee and
                  Roman Lysecky},
  title        = {Area-Efficient Event Stream Ordering for Runtime Observability of
                  Embedded Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {130:1--130:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593093},
  doi          = {10.1145/2593069.2593093},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LeeL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiS14,
  author       = {Jiangyi Li and
                  Mingoo Seok},
  title        = {Robust and In-Situ Self-Testing Technique for Monitoring Device Aging
                  Effects in Pipeline Circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {202:1--202:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593205},
  doi          = {10.1145/2593069.2593205},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiangC14,
  author       = {Yi Liang and
                  Deming Chen},
  title        = {ClusRed: Clustering and Network Reduction Based Probabilistic Optimal
                  Power Flow Analysis for Large-Scale Smart Grids},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {188:1--188:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593106},
  doi          = {10.1145/2593069.2593106},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiangC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinC14,
  author       = {Tao Lin and
                  Chris Chu},
  title        = {{POLAR} 2.0: An Effective Routability-Driven Placer},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {123:1--123:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593181},
  doi          = {10.1145/2593069.2593181},
  timestamp    = {Wed, 09 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LinC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinHL14,
  author       = {Mark Po{-}Hung Lin and
                  Vincent Wei{-}Hao Hsiao and
                  Chun{-}Yu Lin},
  title        = {Parasitic-aware Sizing and Detailed Routing for Binary-weighted Capacitors
                  in Charge-scaling {DAC}},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {165:1--165:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593179},
  doi          = {10.1145/2593069.2593179},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LinHL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinKH14,
  author       = {Chun{-}Han Lin and
                  Chih{-}Kai Kang and
                  Pi{-}Cheng Hsiu},
  title        = {Catch Your Attention: Quality-retaining Power Saving on Mobile {OLED}
                  Displays},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {42:1--42:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593104},
  doi          = {10.1145/2593069.2593104},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LinKH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinL14,
  author       = {Honghuang Lin and
                  Peng Li},
  title        = {Parallel Hierarchical Reachability Analysis for Analog Verification},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {150:1--150:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593178},
  doi          = {10.1145/2593069.2593178},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LinL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinLCLH14,
  author       = {Chun{-}Xun Lin and
                  Chih{-}Hung Liu and
                  I{-}Che Chen and
                  D. T. Lee and
                  Tsung{-}Yi Ho},
  title        = {An Efficient Bi-criteria Flow Channel Routing Algorithm For Flow-based
                  Microfluidic Biochips},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {141:1--141:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593084},
  doi          = {10.1145/2593069.2593084},
  timestamp    = {Tue, 14 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LinLCLH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinRGDS14,
  author       = {Chung{-}Wei Lin and
                  Lei Rao and
                  Paolo Giusto and
                  Joseph D'Ambrosio and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {An Efficient Wire Routing and Wire Sizing Algorithm for Weight Minimization
                  of Automotive Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {19:1--19:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593088},
  doi          = {10.1145/2593069.2593088},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LinRGDS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinWC14,
  author       = {Chen{-}Hsuan Lin and
                  Lu Wan and
                  Deming Chen},
  title        = {C-Mine: Data Mining of Logic Common Cases for Low Power Synthesis
                  of Better-Than-Worst-Case Designs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {205:1--205:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593107},
  doi          = {10.1145/2593069.2593107},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LinWC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuCCJ14,
  author       = {Chi{-}Yuan Liu and
                  Hui{-}Ju Katherine Chiang and
                  Yao{-}Wen Chang and
                  Jie{-}Hong R. Jiang},
  title        = {Simultaneous {EUV} Flare Variation Minimization and {CMP} Control
                  with Coupling-Aware Dummification},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {54:1--54:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593215},
  doi          = {10.1145/2593069.2593215},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuCCJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuCHWXY14,
  author       = {Wulong Liu and
                  Guoqing Chen and
                  Xue Han and
                  Yu Wang and
                  Yuan Xie and
                  Huazhong Yang},
  title        = {Design Methodologies for 3D Mixed Signal Integrated Circuits: a Practical
                  12-bit {SAR} {ADC} Design Case},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {166:1--166:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593122},
  doi          = {10.1145/2593069.2593122},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuCHWXY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuCTKD14,
  author       = {Wei Liu and
                  Jian{-}Jia Chen and
                  Anas Toma and
                  Tei{-}Wei Kuo and
                  Qingxu Deng},
  title        = {Computation Offloading by Using Timing Unreliable Components in Real-Time
                  Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {39:1--39:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593109},
  doi          = {10.1145/2593069.2593109},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiuCTKD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuCW14,
  author       = {Wen{-}Hao Liu and
                  Min{-}Sheng Chang and
                  Ting{-}Chi Wang},
  title        = {Floorplanning and Signal Assignment for Silicon Interposer-based 3D
                  ICs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {5:1--5:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593142},
  doi          = {10.1145/2593069.2593142},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuCW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuCYLHL14,
  author       = {Ren{-}Shuo Liu and
                  Meng{-}Yen Chuang and
                  Chia{-}Lin Yang and
                  Cheng{-}Hsuan Li and
                  Kin{-}Chu Ho and
                  Hsiang{-}Pang Li},
  title        = {EC-Cache: Exploiting Error Locality to Optimize {LDPC} in {NAND} Flash-Based
                  SSDs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {145:1--145:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593130},
  doi          = {10.1145/2593069.2593130},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuCYLHL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuF14,
  author       = {Frank Liu and
                  Peter Feldmann},
  title        = {A Time-Unrolling Method to Compute Sensitivity of Dynamic Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {118:1--118:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593080},
  doi          = {10.1145/2593069.2593080},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiuF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuFC14,
  author       = {Iou{-}Jen Liu and
                  Shao{-}Yun Fang and
                  Yao{-}Wen Chang},
  title        = {Overlay-Aware Detailed Routing for Self-Aligned Double Patterning
                  Lithography Using the Cut Process},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {50:1--50:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593176},
  doi          = {10.1145/2593069.2593176},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuFC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuGJA14,
  author       = {Feng Liu and
                  Soumyadeep Ghosh and
                  Nick P. Johnson and
                  David I. August},
  title        = {{CGPA:} Coarse-Grained Pipelined Accelerators},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {78:1--78:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593105},
  doi          = {10.1145/2593069.2593105},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuGJA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuHM14,
  author       = {Yu Liu and
                  Ke Huang and
                  Yiorgos Makris},
  title        = {Hardware Trojan Detection through Golden Chip-Free Statistical Side-Channel
                  Fingerprinting},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {155:1--155:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593147},
  doi          = {10.1145/2593069.2593147},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiuHM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LuCCSHTC14,
  author       = {Jingwei Lu and
                  Pengwen Chen and
                  Chin{-}Chih Chang and
                  Lu Sha and
                  Dennis J.{-}H. Huang and
                  Chin{-}Chi Teng and
                  Chung{-}Kuan Cheng},
  title        = {ePlace: Electrostatics Based Placement Using Nesterov's Method},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {121:1--121:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593133},
  doi          = {10.1145/2593069.2593133},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LuCCSHTC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MaoWZCL14,
  author       = {Mengjie Mao and
                  Wujie Wen and
                  Yaojun Zhang and
                  Yiran Chen and
                  Hai (Helen) Li},
  title        = {Exploration of {GPGPU} Register File Architecture Using Domain-wall-shift-write
                  based Racetrack Memory},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {196:1--196:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593137},
  doi          = {10.1145/2593069.2593137},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/MaoWZCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MoctarB14,
  author       = {Yehdhih Ould Mohammed Moctar and
                  Philip Brisk},
  title        = {Parallel {FPGA} Routing based on the Operator Formulation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {193:1--193:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593177},
  doi          = {10.1145/2593069.2593177},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MoctarB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MotamanG14,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh},
  title        = {Simultaneous Sizing, Reference Voltage and Clamp Voltage Biasing for
                  Robustness, Self-Calibration and Testability of {STTRAM} Arrays},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {66:1--66:2},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593216},
  doi          = {10.1145/2593069.2593216},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MotamanG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MukherjeeAL14,
  author       = {Parijat Mukherjee and
                  Chirayu S. Amin and
                  Peng Li},
  title        = {Approximate property checking of mixed-signal circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {115:1--115:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593091},
  doi          = {10.1145/2593069.2593091},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MukherjeeAL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MukherjeeL14,
  author       = {Parijat Mukherjee and
                  Peng Li},
  title        = {Leveraging pre-silicon data to diagnose out-of-specification failures
                  in mixed-signal circuits},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {9:1--9:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593154},
  doi          = {10.1145/2593069.2593154},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MukherjeeL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MunawarS14,
  author       = {Asim Munawar and
                  Shuichi Shimizu},
  title        = {Scalable Co-Simulation of Functional Models With Accurate Event Exchange},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {93:1--93:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593210},
  doi          = {10.1145/2593069.2593210},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MunawarS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NaeemiCKPIR14,
  author       = {Azad Naeemi and
                  Ahmet Ceyhan and
                  Vachan Kumar and
                  Chenyun Pan and
                  Rouhollah M. Iraei and
                  Shaloo Rakheja},
  title        = {{BEOL} Scaling Limits and Next Generation Technology Prospects},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {26:1--26:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596672},
  doi          = {10.1145/2593069.2596672},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/NaeemiCKPIR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NahirDKRRSSW14,
  author       = {Amir Nahir and
                  Manoj Dusanapudi and
                  Shakti Kapoor and
                  Kevin Reick and
                  Wolfgang Roesner and
                  Klaus{-}Dieter Schubert and
                  Keith Sharp and
                  Greg Wetli},
  title        = {Post-Silicon Validation of the {IBM} {POWER8} Processor},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {56:1--56:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593183},
  doi          = {10.1145/2593069.2593183},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/NahirDKRRSSW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NandakumarM14,
  author       = {Vivek S. Nandakumar and
                  Malgorzata Marek{-}Sadowska},
  title        = {System-Level Floorplan-Aware Analysis of Integrated CPU-GPUs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {137:1--137:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593225},
  doi          = {10.1145/2593069.2593225},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/NandakumarM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NiKA14,
  author       = {Ze Ni and
                  Avenir Kobetski and
                  Jakob Axelsson},
  title        = {Design and Implementation of a Dynamic Component Model for Federated
                  {AUTOSAR} Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {94:1--94:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593121},
  doi          = {10.1145/2593069.2593121},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/NiKA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/OderPG14,
  author       = {Tobias Oder and
                  Thomas P{\"{o}}ppelmann and
                  Tim G{\"{u}}neysu},
  title        = {Beyond {ECDSA} and {RSA:} Lattice-based Digital Signatures on Constrained
                  Devices},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {110:1--110:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593098},
  doi          = {10.1145/2593069.2593098},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/OderPG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/OetjensBBBBCCDEGKKLM0MPPRRRSSTV14,
  author       = {Jan{-}Hendrik Oetjens and
                  Nico Bannow and
                  Markus Becker and
                  Oliver Bringmann and
                  Andreas Burger and
                  Moomen Chaari and
                  Samarjit Chakraborty and
                  Rolf Drechsler and
                  Wolfgang Ecker and
                  Kim Gr{\"{u}}ttner and
                  Thomas Kruse and
                  Christoph Kuznik and
                  Hoang Minh Le and
                  Andreas Mauderer and
                  Wolfgang M{\"{u}}ller and
                  Daniel M{\"{u}}ller{-}Gritschneder and
                  Frank Poppen and
                  Hendrik Post and
                  Sebastian Reiter and
                  Wolfgang Rosenstiel and
                  S. Roth and
                  Ulf Schlichtmann and
                  Andreas von Schwerin and
                  Bogdan{-}Andrei Tabacaru and
                  Alexander Viehl},
  title        = {Safety Evaluation of Automotive Electronics Using Virtual Prototypes:
                  State of the Art and Research Challenges},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {113:1--113:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602976},
  doi          = {10.1145/2593069.2602976},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/OetjensBBBBCCDEGKKLM0MPPRRRSSTV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PanthSDL14,
  author       = {Shreepad Panth and
                  Kambiz Samadi and
                  Yang Du and
                  Sung Kyu Lim},
  title        = {Power-Performance Study of Block-Level Monolithic 3D-ICs Considering
                  Inter-Tier Performance Variations},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {62:1--62:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593188},
  doi          = {10.1145/2593069.2593188},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/PanthSDL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ParikhDB14,
  author       = {Ritesh Parikh and
                  Reetuparna Das and
                  Valeria Bertacco},
  title        = {Power-Aware NoCs through Routing and Topology Reconfiguration},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {162:1--162:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593187},
  doi          = {10.1145/2593069.2593187},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ParikhDB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PathaniaJPM14,
  author       = {Anuj Pathania and
                  Qing Jiao and
                  Alok Prakash and
                  Tulika Mitra},
  title        = {Integrated {CPU-GPU} Power Management for 3D Mobile Games},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {40:1--40:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593151},
  doi          = {10.1145/2593069.2593151},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PathaniaJPM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PengPL14,
  author       = {Yarui Peng and
                  Dusan Petranovic and
                  Sung Kyu Lim},
  title        = {Fast and Accurate Full-chip Extraction and Optimization of TSV-to-Wire
                  Coupling},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {28:1--28:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593139},
  doi          = {10.1145/2593069.2593139},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PengPL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PopovychLWLLW14,
  author       = {Sergiy Popovych and
                  Hung{-}Hao Lai and
                  Chieh{-}Min Wang and
                  Yih{-}Lang Li and
                  Wen{-}Hao Liu and
                  Ting{-}Chi Wang},
  title        = {Density-aware Detailed Placement with Instant Legalization},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {122:1--122:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593120},
  doi          = {10.1145/2593069.2593120},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PopovychLWLLW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PrussKE14,
  author       = {Tim Pruss and
                  Priyank Kalla and
                  Florian Enescu},
  title        = {Equivalence Verification of Large Galois Field Arithmetic Circuits
                  using Word-Level Abstraction via Gr{\"{o}}bner Bases},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {152:1--152:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593134},
  doi          = {10.1145/2593069.2593134},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PrussKE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/QiuLX14,
  author       = {Keni Qiu and
                  Qing'an Li and
                  Chun Jason Xue},
  title        = {Write Mode Aware Loop Tiling for High Performance Low Power Volatile
                  {PCM}},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {106:1--106:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593244},
  doi          = {10.1145/2593069.2593244},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/QiuLX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/QuintonBHNNE14,
  author       = {Sophie Quinton and
                  Torsten T. Bone and
                  Julien Hennig and
                  Moritz Neukirchner and
                  Mircea Negrean and
                  Rolf Ernst},
  title        = {Typical Worst Case Response-Time Analysis and its Use in Automotive
                  Network Design},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {44:1--44:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602977},
  doi          = {10.1145/2593069.2602977},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/QuintonBHNNE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RahimiGLCBG14,
  author       = {Abbas Rahimi and
                  Amirali Ghofrani and
                  Miguel Angel Lastras{-}Monta{\~{n}}o and
                  Kwang{-}Ting Cheng and
                  Luca Benini and
                  Rajesh K. Gupta},
  title        = {Energy-Efficient {GPGPU} Architectures via Collaborative Compilation
                  and Memristive Memory-Based Computing},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {195:1--195:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593132},
  doi          = {10.1145/2593069.2593132},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RahimiGLCBG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RahmanXFZST14,
  author       = {Md. Tauhidur Rahman and
                  Kan Xiao and
                  Domenic Forte and
                  Xuhei Zhang and
                  Zhijie Jerry Shi and
                  Mohammad Tehranipoor},
  title        = {{TI-TRNG:} Technology Independent True Random Number Generator},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {179:1--179:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593236},
  doi          = {10.1145/2593069.2593236},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RahmanXFZST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RaiHST14,
  author       = {Devendra Rai and
                  Pengcheng Huang and
                  Nikolay Stoimenov and
                  Lothar Thiele},
  title        = {An Efficient Real Time Fault Detection and Tolerance Framework Validated
                  on the Intel {SCC} Processor},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {14:1--14:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593085},
  doi          = {10.1145/2593069.2593085},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RaiHST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RaoEST14,
  author       = {Parthasarathy M. B. Rao and
                  Mojtaba Ebrahimi and
                  Razi Seyyedi and
                  Mehdi Baradaran Tahoori},
  title        = {Protecting SRAM-based FPGAs Against Multiple Bit Upsets Using Erasure
                  Codes},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {212:1--212:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593191},
  doi          = {10.1145/2593069.2593191},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RaoEST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RaoGHC14,
  author       = {Qing Rao and
                  Christian Gr{\"{u}}nler and
                  Markus Hammori and
                  Samarjit Chakraborty},
  title        = {Design Methods for Augmented Reality In-Vehicle Infotainment Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {72:1--72:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602973},
  doi          = {10.1145/2593069.2602973},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RaoGHC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RaoJDBDM14,
  author       = {Supriya Rao and
                  Supreet Jeloka and
                  Reetuparna Das and
                  David T. Blaauw and
                  Ronald G. Dreslinski and
                  Trevor N. Mudge},
  title        = {{VIX:} Virtual Input Crossbar for Efficient Switch Allocation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {103:1--103:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593242},
  doi          = {10.1145/2593069.2593242},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RaoJDBDM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RehmanKSSH14,
  author       = {Semeen Rehman and
                  Florian Kriebel and
                  Duo Sun and
                  Muhammad Shafique and
                  J{\"{o}}rg Henkel},
  title        = {dTune: Leveraging Reliable Code Generation for Adaptive Dependability
                  Tuning under Process Variation and Aging-Induced Effects},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {84:1--84:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593127},
  doi          = {10.1145/2593069.2593127},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RehmanKSSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ReimannGTCGUWEA14,
  author       = {Felix Reimann and
                  Michael Gla{\ss} and
                  J{\"{u}}rgen Teich and
                  Alejandro Cook and
                  Laura Rodr{\'{\i}}guez G{\'{o}}mez and
                  Dominik Ull and
                  Hans{-}Joachim Wunderlich and
                  Piet Engelke and
                  Ulrich Abelein},
  title        = {Advanced Diagnosis: {SBST} and {BIST} Integration in Automotive {E/E}
                  Architectures},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {96:1--96:9},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602971},
  doi          = {10.1145/2593069.2602971},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ReimannGTCGUWEA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RenMRZ14,
  author       = {Pengju Ren and
                  Qingxin Meng and
                  Xiaowei Ren and
                  Nanning Zheng},
  title        = {Fault-tolerant Routing for On-chip Network Without Using Virtual Channels},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {102:1--102:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593141},
  doi          = {10.1145/2593069.2593141},
  timestamp    = {Wed, 26 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/RenMRZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Rodriguez-NavasSHNLL14,
  author       = {Guillermo Rodr{\'{\i}}guez{-}Navas and
                  Cristina Cerschi Seceleanu and
                  Hans Hansson and
                  Mattias Nyberg and
                  Oscar Ljungkrantz and
                  Henrik L{\"{o}}nn},
  title        = {Automated Specification and Verification of Functional Safety in Heavy-Vehicles:
                  the VeriSpec Approach},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {95:1--95:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602972},
  doi          = {10.1145/2593069.2602972},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Rodriguez-NavasSHNLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RoyKCBC14,
  author       = {Sudip Roy and
                  Srijan Kumar and
                  Partha Pratim Chakrabarti and
                  Bhargab B. Bhattacharya and
                  Krishnendu Chakrabarty},
  title        = {Demand-Driven Mixture Preparation and Droplet Streaming using Digital
                  Microfluidic Biochips},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {144:1--144:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593119},
  doi          = {10.1145/2593069.2593119},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RoyKCBC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RoyMIT14,
  author       = {Debapriya Basu Roy and
                  Debdeep Mukhopadhyay and
                  Masami Izumi and
                  Junko Takahashi},
  title        = {Tile Before Multiplication: An Efficient Strategy to Optimize {DSP}
                  Multiplier for Accelerating Prime Field {ECC} for {NIST} Curves},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {177:1--177:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593234},
  doi          = {10.1145/2593069.2593234},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RoyMIT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RudraDNH14,
  author       = {Mukesh Reddy Rudra and
                  Nimmy Anna Daniel and
                  Varun Nagoorkar and
                  David H. K. Hoe},
  title        = {Designing Stealthy Trojans with Sequential Logic: {A} Stream Cipher
                  Case Study},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {172:1--172:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596677},
  doi          = {10.1145/2593069.2596677},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RudraDNH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Rutenbar14,
  author       = {Rob A. Rutenbar},
  title        = {The First {EDA} {MOOC:} Teaching Design Automation to Planet Earth},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {213:1--213:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593230},
  doi          = {10.1145/2593069.2593230},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Rutenbar14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SagstetterAWLSCK14,
  author       = {Florian Sagstetter and
                  Sidharta Andalam and
                  Peter Waszecki and
                  Martin Lukasiewycz and
                  Hauke St{\"{a}}hle and
                  Samarjit Chakraborty and
                  Alois C. Knoll},
  title        = {Schedule Integration Framework for Time-Triggered Automotive Architectures},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {20:1--20:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593211},
  doi          = {10.1145/2593069.2593211},
  timestamp    = {Wed, 19 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SagstetterAWLSCK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SamalPSSDL14,
  author       = {Sandeep Kumar Samal and
                  Shreepad Panth and
                  Kambiz Samadi and
                  Mehdi Saedi and
                  Yang Du and
                  Sung Kyu Lim},
  title        = {Fast and Accurate Thermal Modeling and Optimization for Monolithic
                  3D ICs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {206:1--206:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593140},
  doi          = {10.1145/2593069.2593140},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SamalPSSDL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SamavatianAAS14,
  author       = {Mohammad Hossein Samavatian and
                  Hamed Abbasitabar and
                  Mohammad Arjomand and
                  Hamid Sarbazi{-}Azad},
  title        = {An Efficient {STT-RAM} Last Level Cache Architecture for GPUs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {197:1--197:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593086},
  doi          = {10.1145/2593069.2593086},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SamavatianAAS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SampathRR14,
  author       = {Prahladavaradan Sampath and
                  A. C. Rajeev and
                  S. Ramesh},
  title        = {Translation Validation for Stateflow to {C}},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {23:1--23:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593237},
  doi          = {10.1145/2593069.2593237},
  timestamp    = {Mon, 03 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SampathRR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SarmaVD14,
  author       = {Santanu Sarma and
                  Nalini Venkatasubramanian and
                  Nikil D. Dutt},
  title        = {Sense-making from Distributed and Mobile Sensing Data: {A} Middleware
                  Perspective},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {68:1--68:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596688},
  doi          = {10.1145/2593069.2596688},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SarmaVD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SchaffnerGSKB14,
  author       = {Michael Schaffner and
                  Frank K. G{\"{u}}rkaynak and
                  Aljoscha Smolic and
                  Hubert Kaeslin and
                  Luca Benini},
  title        = {An Approximate Computing Technique for Reducing the Complexity of
                  a Direct-Solver for Sparse Linear Systems in Real-Time Video Processing},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {132:1--132:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593082},
  doi          = {10.1145/2593069.2593082},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SchaffnerGSKB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SchneiderPP14,
  author       = {Josef Schneider and
                  Jorgen Peddersen and
                  Sri Parameswaran},
  title        = {MASH\{fifo\}: {A} Hardware-Based Multiple Cache Simulator for Rapid
                  {FIFO} Cache Analysis},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {200:1--200:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593159},
  doi          = {10.1145/2593069.2593159},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SchneiderPP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShafiqueGHM14,
  author       = {Muhammad Shafique and
                  Siddharth Garg and
                  J{\"{o}}rg Henkel and
                  Diana Marculescu},
  title        = {The {EDA} Challenges in the Dark Silicon Era: Temperature, Reliability,
                  and Variability Perspectives},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {185:1--185:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593229},
  doi          = {10.1145/2593069.2593229},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShafiqueGHM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShanSFZTXYL14,
  author       = {Weiwei Shan and
                  Longxing Shi and
                  Xingyuan Fu and
                  Xiao Zhang and
                  Chaoxuan Tian and
                  Zhipeng Xu and
                  Jun Yang and
                  Jie Li},
  title        = {A Side-channel Analysis Resistant Reconfigurable Cryptographic Coprocessor
                  Supporting Multiple Block Cipher Algorithms},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {176:1--176:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593077},
  doi          = {10.1145/2593069.2593077},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShanSFZTXYL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShankarSWP14,
  author       = {Arunprasath Shankar and
                  Bhanu Pratap Singh and
                  Francis G. Wolff and
                  Christos A. Papachristou},
  title        = {Ontology-guided Conceptual Analysis of Design Specifications},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {34:1--34:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593175},
  doi          = {10.1145/2593069.2593175},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShankarSWP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShiBSBC14,
  author       = {Kan Shi and
                  David Boland and
                  Edward A. Stott and
                  Samuel Bayliss and
                  George A. Constantinides},
  title        = {Datapath Synthesis for Overclocking: Online Arithmetic for Latency-Accuracy
                  Trade-offs},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {190:1--190:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593118},
  doi          = {10.1145/2593069.2593118},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShiBSBC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShiWZXS14,
  author       = {Liang Shi and
                  Kaijie Wu and
                  Mengying Zhao and
                  Chun Jason Xue and
                  Edwin Hsing{-}Mean Sha},
  title        = {Retention Trimming for Wear Reduction of Flash Memory Storage Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {146:1--146:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593203},
  doi          = {10.1145/2593069.2593203},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShiWZXS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShinMP14,
  author       = {Donghwa Shin and
                  Enrico Macii and
                  Massimo Poncino},
  title        = {Statistical Battery Models and Variation-Aware Battery Management},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {135:1--135:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596689},
  doi          = {10.1145/2593069.2596689},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShinMP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ShrivastavaRJW14,
  author       = {Aviral Shrivastava and
                  Abhishek Rhisheekesan and
                  Reiley Jeyapaul and
                  Carole{-}Jean Wu},
  title        = {Quantitative Analysis of Control Flow Checking Mechanisms for Soft
                  Errors},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {13:1--13:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593195},
  doi          = {10.1145/2593069.2593195},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ShrivastavaRJW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SiyoumGC14,
  author       = {Firew Siyoum and
                  Marc Geilen and
                  Henk Corporaal},
  title        = {Symbolic Analysis of Dataflow Applications Mapped onto Shared Heterogeneous
                  Resources},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {127:1--127:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593223},
  doi          = {10.1145/2593069.2593223},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SiyoumGC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SlijepcevicKAQC14,
  author       = {Mladen Slijepcevic and
                  Leonidas Kosmidis and
                  Jaume Abella and
                  Eduardo Qui{\~{n}}ones and
                  Francisco J. Cazorla},
  title        = {Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore
                  Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {198:1--198:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593235},
  doi          = {10.1145/2593069.2593235},
  timestamp    = {Tue, 18 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SlijepcevicKAQC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SorinMZ14,
  author       = {Daniel J. Sorin and
                  Opeoluwa Matthews and
                  Meng Zhang},
  title        = {Architecting Dynamic Power Management to be Formally Verifiable},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {3:1--3:3},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596669},
  doi          = {10.1145/2593069.2596669},
  timestamp    = {Thu, 14 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SorinMZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/StoermerT14,
  author       = {Christoph Stoermer and
                  Ghizlane Tibba},
  title        = {Powertrain Co-Simulation using {AUTOSAR} and the Functional Mockup
                  Interface standard},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {45:1},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602975},
  doi          = {10.1145/2593069.2602975},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/StoermerT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SullivanBZZJ14,
  author       = {Dean Sullivan and
                  Jeff Biggers and
                  Guidong Zhu and
                  Shaojie Zhang and
                  Yier Jin},
  title        = {FIGHT-Metric: Functional Identification of Gate-Level Hardware Trustworthiness},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {173:1--173:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596681},
  doi          = {10.1145/2593069.2596681},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SullivanBZZJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SunBMCCBLLJ14,
  author       = {Mingui Sun and
                  Lora E. Burke and
                  Zhi{-}Hong Mao and
                  Yiran Chen and
                  Hsin{-}Chen Chen and
                  Yicheng Bai and
                  Yuecheng Li and
                  Chengliu Li and
                  Wenyan Jia},
  title        = {eButton: {A} Wearable Computer for Health Monitoring and Personal
                  Assistance},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {16:1--16:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596678},
  doi          = {10.1145/2593069.2596678},
  timestamp    = {Tue, 02 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SunBMCCBLLJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SutariaRZRMC14,
  author       = {Ketul Sutaria and
                  Athul Ramkumar and
                  Rongjun Zhu and
                  Renju Rajveev and
                  Yao Ma and
                  Yu Cao},
  title        = {BTI-Induced Aging under Random Stress Waveforms: Modeling, Simulation
                  and Silicon Validation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {203:1--203:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593101},
  doi          = {10.1145/2593069.2593101},
  timestamp    = {Thu, 15 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SutariaRZRMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SwaminathanLLKSN14,
  author       = {Karthik Swaminathan and
                  Huichu Liu and
                  Xueqing Li and
                  Moon Seok Kim and
                  Jack Sampson and
                  Vijaykrishnan Narayanan},
  title        = {Steep Slope Devices: Enabling New Architectural Paradigms},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {114:1--114:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596690},
  doi          = {10.1145/2593069.2596690},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SwaminathanLLKSN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TrimbergerM14,
  author       = {Steve Trimberger and
                  Jason Moore},
  title        = {{FPGA} Security: From Features to Capabilities to Trusted Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {154:1--154:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2602555},
  doi          = {10.1145/2593069.2602555},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TrimbergerM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TsaiCYYHCCC14,
  author       = {Hsiang{-}Jen Tsai and
                  Chien{-}Chih Chen and
                  Keng{-}Hao Yang and
                  Ting{-}Chin Yang and
                  Li{-}Yue Huang and
                  Ching{-}Hao Chuang and
                  Meng{-}Fan Chang and
                  Tien{-}Fu Chen},
  title        = {Leveraging Data Lifetime for Energy-Aware Last Level Non-Volatile
                  {SRAM} Caches using Redundant Store Elimination},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {38:1--38:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593153},
  doi          = {10.1145/2593069.2593153},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TsaiCYYHCCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TsengHPK14,
  author       = {Po{-}Hsien Tseng and
                  Pi{-}Cheng Hsiu and
                  Chin{-}Chiang Pan and
                  Tei{-}Wei Kuo},
  title        = {User-Centric Energy-Efficient Scheduling on Multi-Core Mobile Devices},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {85:1--85:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593239},
  doi          = {10.1145/2593069.2593239},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TsengHPK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TsoutsosKM14,
  author       = {Nektarios Georgios Tsoutsos and
                  Charalambos Konstantinou and
                  Michail Maniatakos},
  title        = {Advanced Techniques for Designing Stealthy Hardware Trojans},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {174:1--174:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596668},
  doi          = {10.1145/2593069.2596668},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TsoutsosKM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/UbalSMGUCSK14,
  author       = {Rafael Ubal and
                  Dana Schaa and
                  Perhaad Mistry and
                  Xiang Gong and
                  Yash Ukidave and
                  Zhongliang Chen and
                  Gunar Schirner and
                  David R. Kaeli},
  title        = {Exploring the Heterogeneous Design Space for both Performance and
                  Reliability},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {181:1--181:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596680},
  doi          = {10.1145/2593069.2596680},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/UbalSMGUCSK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/VaidyanathanDP14,
  author       = {Kaushik Vaidyanathan and
                  Bishnu Prasad Das and
                  Larry T. Pileggi},
  title        = {Detecting Reliability Attacks during Split Fabrication using Test-only
                  {BEOL} Stack},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {156:1--156:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593123},
  doi          = {10.1145/2593069.2593123},
  timestamp    = {Wed, 05 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/VaidyanathanDP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WaeijenSCH14,
  author       = {Luc Waeijen and
                  Dongrui She and
                  Henk Corporaal and
                  Yifan He},
  title        = {Reduction Operator for Wide-SIMDs Reconsidered},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {108:1--108:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593198},
  doi          = {10.1145/2593069.2593198},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WaeijenSCH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WaksmanRSS14,
  author       = {Adam Waksman and
                  Jeyavijayan Rajendran and
                  Matthew Suozzo and
                  Simha Sethumadhavan},
  title        = {A Red Team/Blue Team Assessment of Functional Analysis Methods for
                  Malicious Circuit Identification},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {175:1--175:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596666},
  doi          = {10.1145/2593069.2596666},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WaksmanRSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangA14,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Data Mining In {EDA} - Basic Principles, Promises, and Constraints},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {159:1--159:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596675},
  doi          = {10.1145/2593069.2596675},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangMZSS14,
  author       = {Ke Wang and
                  Brett H. Meyer and
                  Runjie Zhang and
                  Mircea R. Stan and
                  Kevin Skadron},
  title        = {Walking Pads: Managing {C4} Placement for Transient Voltage Noise
                  Minimization},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {126:1--126:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593243},
  doi          = {10.1145/2593069.2593243},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WangMZSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangOC14,
  author       = {Ye Wang and
                  Michael Orshansky and
                  Constantine Caramanis},
  title        = {Enabling Efficient Analog Synthesis by Coupling Sparse Regression
                  and Polynomial Optimization},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {164:1--164:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593131},
  doi          = {10.1145/2593069.2593131},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WangOC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangSYHC14,
  author       = {Qin Wang and
                  Yiren Shen and
                  Hailong Yao and
                  Tsung{-}Yi Ho and
                  Yici Cai},
  title        = {Practical Functional and Washing Droplet Routing for Cross-Contamination
                  Avoidance in Digital Microfluidic Biochips},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {143:1--143:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593189},
  doi          = {10.1145/2593069.2593189},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WangSYHC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangX14,
  author       = {Ting Wang and
                  Qiang Xu},
  title        = {On the Simulation of NBTI-Induced Performance Degradation Considering
                  Arbitrary Temperature and Voltage Variations},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {169:1--169:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593170},
  doi          = {10.1145/2593069.2593170},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WasicekDL14,
  author       = {Armin Wasicek and
                  Patricia Derler and
                  Edward A. Lee},
  title        = {Aspect-oriented Modeling of Attacks in Automotive Cyber-Physical Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {21:1--21:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593095},
  doi          = {10.1145/2593069.2593095},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WasicekDL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WeiKPZTCUM14,
  author       = {Tianshu Wei and
                  Taeyoung Kim and
                  Sangyoung Park and
                  Qi Zhu and
                  Sheldon X.{-}D. Tan and
                  Naehyuck Chang and
                  Sadrul Ula and
                  Mehdi Maasoumy},
  title        = {Battery Management and Application for Energy-Efficient Buildings},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {136:1--136:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596670},
  doi          = {10.1145/2593069.2596670},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WeiKPZTCUM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WeiWNP14,
  author       = {Sheng Wei and
                  James B. Wendt and
                  Ani Nahapetian and
                  Miodrag Potkonjak},
  title        = {Reverse Engineering and Prevention Techniques for Physical Unclonable
                  Functions Using Side Channels},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {90:1--90:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593204},
  doi          = {10.1145/2593069.2593204},
  timestamp    = {Mon, 03 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WeiWNP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WenZMC14,
  author       = {Wujie Wen and
                  Yaojun Zhang and
                  Mengjie Mao and
                  Yiran Chen},
  title        = {State-Restrict {MLC} {STT-RAM} Designs for High-Reliable High-Performance
                  Memory System},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {35:1--35:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593220},
  doi          = {10.1145/2593069.2593220},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WenZMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WendtKP14,
  author       = {James B. Wendt and
                  Farinaz Koushanfar and
                  Miodrag Potkonjak},
  title        = {Techniques for Foundry Identification},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {208:1--208:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593228},
  doi          = {10.1145/2593069.2593228},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WendtKP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WietfeldID14,
  author       = {Christian Wietfeld and
                  Christoph Ide and
                  Bjoern Dusza},
  title        = {Resource Efficient Mobile Communications for Crowd-Sensing},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {69:1--69:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596686},
  doi          = {10.1145/2593069.2596686},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WietfeldID14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WuWNBP14,
  author       = {Pei{-}Ci Wu and
                  Martin D. F. Wong and
                  Ivailo Nedelchev and
                  Sarvesh Bhardwaj and
                  Vidyamani Parkhe},
  title        = {On Timing Closure: Buffer Insertion for Hold-Violation Removal},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {6:1--6:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593171},
  doi          = {10.1145/2593069.2593171},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WuWNBP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WuXKCH14,
  author       = {Wei Wu and
                  Wenyao Xu and
                  Rahul Krishnan and
                  Yen{-}Lung Chen and
                  Lei He},
  title        = {REscope: High-dimensional Statistical Circuit Simulation towards Full
                  Failure Region Coverage},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {82:1--82:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593202},
  doi          = {10.1145/2593069.2593202},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WuXKCH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XiaCK14,
  author       = {Likun Xia and
                  Tran Duc Chung and
                  Khairil Anwar Abu Kassim},
  title        = {An Automobile Detection Algorithm Development for Automated Emergency
                  Braking System},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {91:1--91:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593083},
  doi          = {10.1145/2593069.2593083},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/XiaCK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XiangQZLYSL14,
  author       = {Hua Xiang and
                  Haifeng Qian and
                  Ching Zhou and
                  Yu{-}Shiang Lin and
                  Fanchieh Yee and
                  Andrew Sullivan and
                  Pong{-}Fei Lu},
  title        = {Row Based Dual-VDD Island Generation and Placement},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {125:1--125:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593207},
  doi          = {10.1145/2593069.2593207},
  timestamp    = {Thu, 02 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/XiangQZLYSL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XiaoDTWYWZ14,
  author       = {Zigang Xiao and
                  Yuelin Du and
                  Haitong Tian and
                  Martin D. F. Wong and
                  He Yi and
                  H.{-}S. Philip Wong and
                  Hongbo Zhang},
  title        = {Directed Self-Assembly {(DSA)} Template Pattern Verification},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {55:1--55:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593125},
  doi          = {10.1145/2593069.2593125},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/XiaoDTWYWZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XueQBYT14,
  author       = {Yuankun Xue and
                  Zhiliang Qian and
                  Paul Bogdan and
                  Fan Ye and
                  Chi{-}Ying Tsui},
  title        = {Disease Diagnosis-on-a-Chip: Large Scale Networks-on-Chip based Multicore
                  Platform for Protein Folding Analysis},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {104:1--104:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593126},
  doi          = {10.1145/2593069.2593126},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/XueQBYT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YangHCLRX14,
  author       = {Zhenkun Yang and
                  Kecheng Hao and
                  Kai Cong and
                  Li Lei and
                  Sandip Ray and
                  Fei Xie},
  title        = {Scalable Certification Framework for Behavioral Synthesis Front-End},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {149:1--149:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593209},
  doi          = {10.1145/2593069.2593209},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YangHCLRX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YounGK14,
  author       = {Sangho Youn and
                  Chenjie Gu and
                  Jaeha Kim},
  title        = {Probabilistic Bug Localization via Statistical Inference based on
                  Partially Observed Data},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {120:1--120:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593190},
  doi          = {10.1145/2593069.2593190},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YounGK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuP14,
  author       = {Bei Yu and
                  David Z. Pan},
  title        = {Layout Decomposition for Quadruple Patterning Lithography and Beyond},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {53:1--53:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593152},
  doi          = {10.1145/2593069.2593152},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuSHEAB14,
  author       = {Li Yu and
                  Sharad Saxena and
                  Christopher Hess and
                  Ibrahim Abe M. Elfadel and
                  Dimitri A. Antoniadis and
                  Duane S. Boning},
  title        = {Remembrance of Transistors Past: Compact Model Parameter Extraction
                  Using Bayesian Inference and Incomplete New Measurements},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {30:1--30:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593201},
  doi          = {10.1145/2593069.2593201},
  timestamp    = {Wed, 31 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/YuSHEAB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZalmanM14,
  author       = {Rafael Zalman and
                  Albrecht Mayer},
  title        = {A Secure but still Safe and Low Cost Automotive Communication Technique},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {43:1--43:5},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2603850},
  doi          = {10.1145/2593069.2603850},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZalmanM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhanXS14,
  author       = {Jia Zhan and
                  Yuan Xie and
                  Guangyu Sun},
  title        = {NoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark
                  Silicon Era},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {160:1--160:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593165},
  doi          = {10.1145/2593069.2593165},
  timestamp    = {Sun, 19 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ZhanXS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangKIBWH14,
  author       = {Hongyan Zhang and
                  Michael A. Kochte and
                  Michael E. Imhof and
                  Lars Bauer and
                  Hans{-}Joachim Wunderlich and
                  J{\"{o}}rg Henkel},
  title        = {{GUARD:} GUAranteed Reliability in Dynamically Reconfigurable Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {32:1--32:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593146},
  doi          = {10.1145/2593069.2593146},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangKIBWH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangPL14,
  author       = {Hang Zhang and
                  Mateja Putic and
                  John C. Lach},
  title        = {Low Power {GPGPU} Computation with Imprecise Hardware},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {99:1--99:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593156},
  doi          = {10.1145/2593069.2593156},
  timestamp    = {Tue, 17 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangPL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangWWCLS14,
  author       = {Chi Zhang and
                  Yi Wang and
                  Tianzheng Wang and
                  Renhai Chen and
                  Duo Liu and
                  Zili Shao},
  title        = {Deterministic Crash Recovery for {NAND} Flash Based Storage Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {148:1--148:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593124},
  doi          = {10.1145/2593069.2593124},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangWWCLS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangYYX14,
  author       = {Qian Zhang and
                  Feng Yuan and
                  Rong Ye and
                  Qiang Xu},
  title        = {ApproxIt: An Approximate Computing Framework for Iterative Methods},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {97:1--97:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593092},
  doi          = {10.1145/2593069.2593092},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangYYX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhaoJZX14,
  author       = {Mengying Zhao and
                  Lei Jiang and
                  Youtao Zhang and
                  Chun Jason Xue},
  title        = {SLC-enabled Wear Leveling for {MLC} {PCM} Considering Process Variation},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {36:1--36:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593217},
  doi          = {10.1145/2593069.2593217},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhaoJZX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhengBB14,
  author       = {Yu Zheng and
                  Abhishek Basak and
                  Swarup Bhunia},
  title        = {{CACI:} Dynamic Current Analysis Towards Robust Recycled Chip Identification},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {88:1--88:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593102},
  doi          = {10.1145/2593069.2593102},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ZhengBB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhuangWLC14,
  author       = {Hao Zhuang and
                  Shih{-}Hung Weng and
                  Jeng{-}Hau Lin and
                  Chung{-}Kuan Cheng},
  title        = {{MATEX:} {A} Distributed Framework for Transient Simulation of Power
                  Distribution Networks},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {81:1--81:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593160},
  doi          = {10.1145/2593069.2593160},
  timestamp    = {Thu, 11 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ZhuangWLC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhuoGS14,
  author       = {Cheng Zhuo and
                  Houle Gan and
                  Wei{-}Kai Shih},
  title        = {Early-Stage Power Grid Design: Extraction, Modeling and Optimization},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {79:1--79:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593129},
  doi          = {10.1145/2593069.2593129},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhuoGS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/dac/2014,
  title        = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {http://dl.acm.org/citation.cfm?id=2593069},
  isbn         = {978-1-4503-2730-5},
  timestamp    = {Wed, 28 May 2014 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics