Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/aspdac/aspdac2011.bht:"
@inproceedings{DBLP:conf/aspdac/AhnLC11, author = {Junwhan Ahn and Imyong Lee and Kiyoung Choi}, title = {A polynomial-time custom instruction identification algorithm based on dynamic programming}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {573--578}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722255}, doi = {10.1109/ASPDAC.2011.5722255}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AhnLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AmakiHO11, author = {Takehiko Amaki and Masanori Hashimoto and Takao Onoye}, title = {Jitter amplifier for oscillator-based true random number generator}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {81--82}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722301}, doi = {10.1109/ASPDAC.2011.5722301}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AmakiHO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AndersonW11, author = {Jason Helge Anderson and Qiang Wang}, title = {Area-efficient {FPGA} logic elements: Architecture and synthesis}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {369--375}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722215}, doi = {10.1109/ASPDAC.2011.5722215}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AndersonW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AraASTHWOOBMY11, author = {Koji Ara and Tomoaki Akitomi and Nobuo Sato and Satomi Tsuji and Miki Hayakawa and Yoshihiro Wakisaka and Norio Ohkubo and Rieko Otsuka and Fumiko Beniyama and Norihiko Moriwaki and Kazuo Yano}, title = {Healthcare of an organization: Using wearable sensors and feedback system for energizing workers}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {567--572}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722254}, doi = {10.1109/ASPDAC.2011.5722254}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AraASTHWOOBMY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BaekSPS11, author = {Donkyu Baek and Insup Shin and Seungwhun Paik and Youngsoo Shin}, title = {Selectively patterned masks: Structured {ASIC} with asymptotically {ASIC} performance}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {376--381}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722217}, doi = {10.1109/ASPDAC.2011.5722217}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BaekSPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BaoWYCZ11, author = {Dan Bao and Chuan Wu and Yan Ying and Yun Chen and Xiaoyang Zeng}, title = {A 4.32 mm\({}^{\mbox{2}}\) 170mW {LDPC} decoder in 0.13{\(\mu\)}m {CMOS} for WiMax/Wi-Fi applications}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {77--78}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722293}, doi = {10.1109/ASPDAC.2011.5722293}, timestamp = {Thu, 24 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BaoWYCZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BeidasMZ11, author = {Rami Beidas and Wai Sum Mong and Jianwen Zhu}, title = {Register pressure aware scheduling for high level synthesis}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {461--466}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722234}, doi = {10.1109/ASPDAC.2011.5722234}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BeidasMZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BiHM11, author = {Yu Bi and Pieter Harpe and N. P. van der Meijs}, title = {Efficient sensitivity-based capacitance modeling for systematic and random geometric variations}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {61--66}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722262}, doi = {10.1109/ASPDAC.2011.5722262}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BiHM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BobbaCTBEFPM11, author = {Shashikanth Bobba and Ashutosh Chakraborty and Olivier Thomas and Perrine Batude and Thomas Ernst and Olivier Faynot and David Z. Pan and Giovanni De Micheli}, title = {{CELONCEL:} Effective design technique for 3-D monolithic integration targeting high performance integrated circuits}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {336--343}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722210}, doi = {10.1109/ASPDAC.2011.5722210}, timestamp = {Sun, 24 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/BobbaCTBEFPM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Brooks11, author = {David M. Brooks}, title = {The alarms project: {A} hardware/software approach to addressing parameter variations}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {291}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722200}, doi = {10.1109/ASPDAC.2011.5722200}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Brooks11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChakrabortyP11, author = {Ashutosh Chakraborty and David Z. Pan}, title = {Controlling {NBTI} degradation during static burn-in testing}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {597--602}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722259}, doi = {10.1109/ASPDAC.2011.5722259}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChakrabortyP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChandraA11, author = {Vikas Chandra and Robert C. Aitken}, title = {On the impact of gate oxide degradation on {SRAM} dynamic and static write-ability}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {707--712}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722278}, doi = {10.1109/ASPDAC.2011.5722278}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChandraA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChangCLL11, author = {Yung{-}Chang Chang and Ching{-}Te Chiu and Shih{-}Yin Lin and Chung{-}Kai Liu}, title = {On the design and analysis of fault tolerant NoC architecture using spare routers}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {431--436}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722228}, doi = {10.1109/ASPDAC.2011.5722228}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChangCLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChangCS11, author = {Meng{-}Fan Chang and Pi{-}Feng Chiu and Shyh{-}Shyuan Sheu}, title = {Circuit design challenges in embedded memory and resistive {RAM} {(RRAM)} for mobile SoC and 3D-IC}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {197--203}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722184}, doi = {10.1109/ASPDAC.2011.5722184}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChangCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChangCTM11, author = {Fong{-}Yuan Chang and Sheng{-}Hsiung Chen and Ren{-}Song Tsay and Wai{-}Kei Mak}, title = {Cut-demand based routing resource allocation and consolidation for routability enhancement}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {533--538}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722247}, doi = {10.1109/ASPDAC.2011.5722247}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChangCTM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChangHCL11, author = {Chia{-}Jen Chang and Pao{-}Jen Huang and Tai{-}Chen Chen and Chien{-}Nan Jimmy Liu}, title = {ILP-based inter-die routing for 3D ICs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {330--335}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722209}, doi = {10.1109/ASPDAC.2011.5722209}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChangHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChaturvediQ11, author = {Vivek Chaturvedi and Gang Quan}, title = {Leakage conscious {DVS} scheduling for peak temperature minimization}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {135--140}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722173}, doi = {10.1109/ASPDAC.2011.5722173}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChaturvediQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenCC11, author = {Yi{-}Siou Chen and Lih{-}Yih Chiou and Hsun{-}Hsiang Chang}, title = {A fast and effective dynamic trace-based method for analyzing architectural performance}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {591--596}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722258}, doi = {10.1109/ASPDAC.2011.5722258}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenCLH11, author = {Fu{-}Wei Chen and Shih{-}Liang Chen and Yung{-}Sheng Lin and TingTing Hwang}, title = {A physical-location-aware fault redistribution for maximum IR-drop reduction}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {701--706}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722277}, doi = {10.1109/ASPDAC.2011.5722277}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenCLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenCXWLLC11, author = {Shuming Chen and Xiaowen Chen and Yi Xu and Jianghua Wan and Jianzhuang Lu and Xiangyuan Liu and Shenggang Chen}, title = {Design and chip implementation of a heterogeneous multi-core {DSP}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {91--92}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722312}, doi = {10.1109/ASPDAC.2011.5722312}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenCXWLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenKCCL11, author = {Jack J. H. Chen and Faruk Krecinic and Jen{-}Hom Chen and Raymond P. S. Chen and Burn J. Lin}, title = {Future electron-beam lithography and implications on design and {CAD} tools}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {403--404}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722222}, doi = {10.1109/ASPDAC.2011.5722222}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenKCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenL11, author = {Yiran Chen and Hai Li}, title = {Emerging sensing techniques for emerging memories}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {204--210}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722185}, doi = {10.1109/ASPDAC.2011.5722185}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenLHHLC11, author = {Shuo{-}Hung Chen and Hsiao{-}Mei Lin and Ching{-}Chou Hsieh and Chih{-}Tsun Huang and Jing{-}Jia Liou and Yeh{-}Ching Chung}, title = {TurboVG: {A} {HW/SW} co-designed multi-core OpenVG accelerator for vector graphics applications with embedded power profiler}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {97--98}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722315}, doi = {10.1109/ASPDAC.2011.5722315}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenLHHLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChienYCCCGWC11, author = {Cheng{-}An Chien and Yao{-}Chang Yang and Hsiu{-}Cheng Chang and Jia{-}Wei Chen and Cheng{-}Yen Chang and Jiun{-}In Guo and Jinn{-}Shyan Wang and Ching{-}Hwa Cheng}, title = {A {H.264/MPEG-2} dual mode video decoder chip supporting temporal/spatial scalable video}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {73--74}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722284}, doi = {10.1109/ASPDAC.2011.5722284}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChienYCCCGWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChouCK11, author = {Hong{-}Zu Chou and Kai{-}Hui Chang and Sy{-}Yen Kuo}, title = {Facilitating unreachable code diagnosis and debugging}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {485--490}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722238}, doi = {10.1109/ASPDAC.2011.5722238}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChouCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChuangL11, author = {Jia{-}Ru Chuang and Jai{-}Ming Lin}, title = {Efficient multi-layer obstacle-avoiding preferred direction rectilinear Steiner tree construction}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {527--532}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722246}, doi = {10.1109/ASPDAC.2011.5722246}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChuangL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChungXZA11, author = {Jaeyong Chung and Jinjun Xiong and Vladimir Zolotov and Jacob A. Abraham}, title = {Path criticality computation in parameterized statistical timing analysis}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {249--254}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722192}, doi = {10.1109/ASPDAC.2011.5722192}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChungXZA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DengOM11, author = {Wei Deng and Kenichi Okada and Akira Matsuzawa}, title = {An ultra-low-voltage {LC-VCO} with a frequency extension circuit for future 0.5-V clock generation}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {103--104}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722159}, doi = {10.1109/ASPDAC.2011.5722159}, timestamp = {Thu, 23 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/DengOM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DevlinIA11, author = {Benjamin Stefan Devlin and Makoto Ikeda and Kunihiro Asada}, title = {A gate-level pipelined 2.97GHz Self Synchronous {FPGA} in 65nm {CMOS}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {75--76}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722288}, doi = {10.1109/ASPDAC.2011.5722288}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DevlinIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DingTPP11, author = {Duo Ding and Andres J. Torres and Fedor G. Pikus and David Z. Pan}, title = {High performance lithographic hotspot detection using hierarchically refined machine learning}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {775--780}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722294}, doi = {10.1109/ASPDAC.2011.5722294}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DingTPP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DomerCHG11, author = {Rainer D{\"{o}}mer and Weiwei Chen and Xu Han and Andreas Gerstlauer}, title = {Multi-core parallel simulation of System-level Description Languages}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {311--316}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722205}, doi = {10.1109/ASPDAC.2011.5722205}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DomerCHG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DongX11, author = {Xiangyu Dong and Yuan Xie}, title = {AdaMS: Adaptive {MLC/SLC} phase-change memory design for file storage}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {31--36}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722206}, doi = {10.1109/ASPDAC.2011.5722206}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DongX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FanC11, author = {Wen Fan and Oliver Chiu{-}sing Choy}, title = {Robust and efficient baseband receiver design for {MB-OFDM} {UWB} system}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {111--112}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722163}, doi = {10.1109/ASPDAC.2011.5722163}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/FanC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FangS11, author = {Jianxin Fang and Sachin S. Sapatnekar}, title = {Accounting for inherent circuit resilience and process variations in analyzing gate oxide reliability}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {689--694}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722275}, doi = {10.1109/ASPDAC.2011.5722275}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/FangS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FangWGC11, author = {Hongxia Fang and Zhiyuan Wang and Xinli Gu and Krishnendu Chakrabarty}, title = {Deterministic test for the reproduction and detection of board-level functional failures}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {491--496}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722239}, doi = {10.1109/ASPDAC.2011.5722239}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/FangWGC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Fujita11, author = {Masahiro Fujita}, title = {Utilizing high level design information to speed up post-silicon debugging}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {301--305}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722203}, doi = {10.1109/ASPDAC.2011.5722203}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Fujita11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FujiwaraFT11, author = {Hideo Fujiwara and Katsuya Fujiwara and Hideo Tamamoto}, title = {Secure scan design using shift register equivalents against differential behavior attack}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {818--823}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722303}, doi = {10.1109/ASPDAC.2011.5722303}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/FujiwaraFT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FurutaHKO11, author = {Jun Furuta and Chikara Hamanaka and Kazutoshi Kobayashi and Hidetoshi Onodera}, title = {A 65nm flip-flop array to measure soft error resiliency against high-energy neutron and alpha particles}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {83--84}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722306}, doi = {10.1109/ASPDAC.2011.5722306}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/FurutaHKO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GanGPM11, author = {Junhe Gan and Flavius Gruian and Paul Pop and Jan Madsen}, title = {Energy/reliability trade-offs in fault-tolerant event-triggered distributed embedded systems}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {731--736}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722283}, doi = {10.1109/ASPDAC.2011.5722283}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GanGPM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GangadharanCZ11, author = {Deepak Gangadharan and Samarjit Chakraborty and Roger Zimmermann}, title = {Fast hybrid simulation for accurate decoded video quality assessment on MPSoC platforms with resource constraints}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {237--242}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722190}, doi = {10.1109/ASPDAC.2011.5722190}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GangadharanCZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GaoLC11, author = {Ming Gao and Peter Lisherness and Kwang{-}Ting (Tim) Cheng}, title = {Post-silicon bug detection for variation induced electrical bugs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {273--278}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722197}, doi = {10.1109/ASPDAC.2011.5722197}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GaoLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GaoLLR11, author = {Ting Gao and Wei Li and Ning Li and Junyan Ren}, title = {A 80-400 MHz 74 dB-DR Gm-C low-pass filter with a unique auto-tuning system}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {115--116}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722165}, doi = {10.1109/ASPDAC.2011.5722165}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GaoLLR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GaoM11, author = {X. Gao and L. Macchiarlo}, title = {Track routing optimizing timing and yield}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {627--632}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722265}, doi = {10.1109/ASPDAC.2011.5722265}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GaoM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GaoYZWY11, author = {Mingzhi Gao and Zuochang Ye and Dajie Zeng and Yan Wang and Zhiping Yu}, title = {Robust spatial correlation extraction with limited sample via L1-norm penalty}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {677--682}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722273}, doi = {10.1109/ASPDAC.2011.5722273}, timestamp = {Mon, 05 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GaoYZWY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GligorP11, author = {Marius Gligor and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, title = {Handling dynamic frequency changes in statically scheduled cycle-accurate simulation}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {407--412}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722224}, doi = {10.1109/ASPDAC.2011.5722224}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GligorP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GoswamiSC11, author = {Dip Goswami and Reinhard Schneider and Samarjit Chakraborty}, title = {Co-design of cyber-physical systems via controllers with flexible delay constraints}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {225--230}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722188}, doi = {10.1109/ASPDAC.2011.5722188}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GoswamiSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GuR11, author = {Chenjie Gu and Jaijeet S. Roychowdhury}, title = {{FSM} model abstraction for analog/mixed-signal circuits by learning from {I/O} trajectories}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {7--12}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722281}, doi = {10.1109/ASPDAC.2011.5722281}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GuR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HafizSKK11, author = {Mohiuddin Hafiz and Nobuo Sasaki and Kentaro Kimoto and Takamaro Kikkawa}, title = {A simple non-coherent solution to the {UWB-IR} communication}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {121--122}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722169}, doi = {10.1109/ASPDAC.2011.5722169}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HafizSKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Hashimoto11, author = {Masanori Hashimoto}, title = {Run-time adaptive performance compensation using on-chip sensors}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {285--290}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722199}, doi = {10.1109/ASPDAC.2011.5722199}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/Hashimoto11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HigamiTKS11, author = {Yoshinobu Higami and Hiroshi Takahashi and Shin{-}ya Kobayashi and Kewal K. Saluja}, title = {Fault simulation and test generation for clock delay faults}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {799--805}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722299}, doi = {10.1109/ASPDAC.2011.5722299}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HigamiTKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HoLMR11, author = {Chih{-}Hsiang Ho and Chao Lu and Debabrata Mohapatra and Kaushik Roy}, title = {Variation-tolerant and self-repair design methodology for low temperature polycrystalline silicon liquid crystal and organic light emitting diode displays}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {695--700}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722276}, doi = {10.1109/ASPDAC.2011.5722276}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HoLMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HowardRPB11, author = {J. M. Howard and Sudhakar M. Reddy and Irith Pomeranz and Bernd Becker}, title = {Fault diagnosis aware {ATE} assisted test response compaction}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {812--817}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722302}, doi = {10.1109/ASPDAC.2011.5722302}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HowardRPB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsiehCL11, author = {Jen{-}Wei Hsieh and Yuan{-}Hao Chang and Wei{-}Li Lee}, title = {An enhanced leakage-aware scheduler for dynamically reconfigurable FPGAs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {661--667}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722270}, doi = {10.1109/ASPDAC.2011.5722270}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HsiehCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsiehLH11, author = {Ang{-}Chih Hsieh and Chun{-}Cheng Liu and TingTing Hwang}, title = {Enhanced Heterogeneous Code Cache management scheme for Dynamic Binary Translation}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {231--236}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722189}, doi = {10.1109/ASPDAC.2011.5722189}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HsiehLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsuLCWHCKLH11, author = {Yu{-}Hao Hsu and Yang{-}Syu Lin and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Fanta Chen and Min{-}Sheng Kao and Wei{-}Chih Lai and Yarsun Hsu}, title = {A 32Gbps low propagation delay 4{\texttimes}4 switch {IC} for feedback-based system in 0.13{\(\mu\)}m {CMOS} technology}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {105--106}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722160}, doi = {10.1109/ASPDAC.2011.5722160}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HsuLCWHCKLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuG11, author = {Xuchu Hu and Matthew R. Guthaus}, title = {Clock tree optimization for Electromagnetic Compatibility {(EMC)}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {184--189}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722181}, doi = {10.1109/ASPDAC.2011.5722181}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HuG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuLCWP11, author = {Miao Hu and Hai Li and Yiran Chen and Xiaobin Wang and Robinson E. Pino}, title = {Geometry variations analysis of TiO2 thin-film and spintronic memristors}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {25--30}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722193}, doi = {10.1109/ASPDAC.2011.5722193}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuLCWP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuLJL11, author = {Wenmin Hu and Zhonghai Lu and Axel Jantsch and Hengzhu Liu}, title = {Power-efficient tree-based multicast support for Networks-on-Chip}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {363--368}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722214}, doi = {10.1109/ASPDAC.2011.5722214}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuLJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangCH11, author = {Juinn{-}Dar Huang and Yi{-}Hang Chen and Ya{-}Chien Ho}, title = {Throughput optimization for latency-insensitive system with minimal queue insertion}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {585--590}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722257}, doi = {10.1109/ASPDAC.2011.5722257}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangKCHCLKW11, author = {Xuan{-}Lun Huang and Ping{-}Ying Kang and Hsiu{-}Ming Chang and Jiun{-}Lang Huang and Yung{-}Fa Chou and Yung{-}Pin Lee and Ding{-}Ming Kwai and Cheng{-}Wen Wu}, title = {A self-testing and calibration method for embedded successive approximation register {ADC}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {713--718}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722279}, doi = {10.1109/ASPDAC.2011.5722279}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangKCHCLKW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangL11, author = {Jiawei Huang and John C. Lach}, title = {Exploring the fidelity-efficiency design space using imprecise arithmetic}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {579--584}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722256}, doi = {10.1109/ASPDAC.2011.5722256}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangLX11, author = {Yazhi Huang and Tiantian Liu and Chun Jason Xue}, title = {Register allocation for write activity minimization on non-volatile main memory}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {129--134}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722171}, doi = {10.1109/ASPDAC.2011.5722171}, timestamp = {Mon, 29 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangLX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangWTHH11, author = {Shao{-}Lun Huang and Chi{-}An Wu and Kai{-}Fu Tang and Chang{-}Hong Hsu and Chung{-}Yang Huang}, title = {A robust {ECO} engine by resource-constraint-aware technology mapping and incremental routing optimization}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {382--387}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722218}, doi = {10.1109/ASPDAC.2011.5722218}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangWTHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangYHHC11, author = {Chung{-}Yang Huang and Yu{-}Fan Yin and Chih{-}Jen Hsu and Thomas B. Huang and Ting{-}Mao Chang}, title = {SoC {HW/SW} verification and validation}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {297--300}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722202}, doi = {10.1109/ASPDAC.2011.5722202}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangYHHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ImaiTSI11, author = {Masaharu Imai and Yoshinori Takeuchi and Keishi Sakanushi and Hirofumi Iwato}, title = {Biological information sensing technologies for medical, health care, and wellness applications}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {551--555}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722250}, doi = {10.1109/ASPDAC.2011.5722250}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ImaiTSI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Inoue11, author = {Atsuki Inoue}, title = {Design constraint of fine grain supply voltage control {LSI}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {760--765}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722290}, doi = {10.1109/ASPDAC.2011.5722290}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Inoue11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/InoueK11, author = {Soichi Inoue and Sachiko Kobayashi}, title = {All-out fight against yield losses by design-manufacturing collaboration in nano-lithography era}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {395--401}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722220}, doi = {10.1109/ASPDAC.2011.5722220}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/InoueK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JeongINIA11, author = {Jaehyun Jeong and Tetsuya Iizuka and Toru Nakura and Makoto Ikeda and Kunihiro Asada}, title = {All-digital {PMOS} and {NMOS} process variability monitor utilizing buffer ring with pulse counter}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {79--80}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722297}, doi = {10.1109/ASPDAC.2011.5722297}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JeongINIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KawaharaNONS11, author = {Ryo Kawahara and Kenta Nakamura and Kouichi Ono and Takeo Nakada and Yoshifumi Sakamoto}, title = {Coarse-grained simulation method for performance evaluation a of shared memory system}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {413--418}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722225}, doi = {10.1109/ASPDAC.2011.5722225}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KawaharaNONS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KengV11, author = {Brian Keng and Andreas G. Veneris}, title = {Managing complexity in design debugging with sequential abstraction and refinement}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {479--484}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722237}, doi = {10.1109/ASPDAC.2011.5722237}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KengV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KhanhSA11, author = {Nguyen Ngoc Mai Khanh and Masahiro Sasaki and Kunihiro Asada}, title = {A fully integrated shock wave transmitter with an on-chip dipole antenna for pulse beam-formability in 0.18-{\(\mu\)}m {CMOS}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {107--108}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722161}, doi = {10.1109/ASPDAC.2011.5722161}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KhanhSA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimHPS11, author = {Sangmin Kim and Inhak Han and Seungwhun Paik and Youngsoo Shin}, title = {Pulser gating: {A} clock gating of pulsed-latch circuits}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {190--195}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722182}, doi = {10.1109/ASPDAC.2011.5722182}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimHPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimLA11, author = {Joonsoo Kim and Joonsoo Lee and Jacob A. Abraham}, title = {System accuracy estimation of SRAM-based device authentication}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {37--42}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722216}, doi = {10.1109/ASPDAC.2011.5722216}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimLA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KitashoKSOTMO11, author = {Yoshiyuki Kitasho and Yu Kikuchi and Takayoshi Shimazawa and Yasuo Ohara and Masafumi Takahashi and Yoshio Masubuchi and Yukihito Oowaki}, title = {Development of low power and high performance application processor {(T6G)} for multimedia mobile applications}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {755--759}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722289}, doi = {10.1109/ASPDAC.2011.5722289}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KitashoKSOTMO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KomatsuIHK11, author = {Yoshiya Komatsu and Shota Ishihara and Masanori Hariyama and Michitaka Kameyama}, title = {An implementation of an asychronous {FPGA} based on LEDR/four-phase-dual-rail hybrid architecture}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {89--90}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722311}, doi = {10.1109/ASPDAC.2011.5722311}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KomatsuIHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KootiMB11, author = {Hessam Kooti and Deepak Mishra and Eli Bozorgzadeh}, title = {Reconfiguration-aware real-time scheduling under QoS constraint}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {141--146}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722174}, doi = {10.1109/ASPDAC.2011.5722174}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KootiMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KumarA11, author = {Pratyush Kumar and David Atienza}, title = {Run-time adaptable on-chip thermal triggers}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {255--260}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722194}, doi = {10.1109/ASPDAC.2011.5722194}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KumarA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KumarT11, author = {Pratyush Kumar and Lothar Thiele}, title = {Thermally optimal stop-go scheduling of task graphs with real-time constraints}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {123--128}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722170}, doi = {10.1109/ASPDAC.2011.5722170}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KumarT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LamYTW11, author = {Tak{-}Kei Lam and Xiaoqing Yang and Wai{-}Chung Tang and Yu{-}Liang Wu}, title = {On applying erroneous clock gating conditions to further cut down power}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {509--514}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722243}, doi = {10.1109/ASPDAC.2011.5722243}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LamYTW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeC11, author = {Ren{-}Jie Lee and Hung{-}Ming Chen}, title = {Row-based area-array {I/O} design planning in concurrent chip-package design flow}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {837--842}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722307}, doi = {10.1109/ASPDAC.2011.5722307}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeCHC11, author = {Ming{-}Chao Lee and Yu{-}Guang Chen and Ding{-}Kei Huang and Shih{-}Chieh Chang}, title = {NBTI-aware power gating design}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {609--614}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722261}, doi = {10.1109/ASPDAC.2011.5722261}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeCHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeK11, author = {Yongho Lee and Taewhan Kim}, title = {A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {603--608}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722260}, doi = {10.1109/ASPDAC.2011.5722260}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeMF11, author = {Yeonbok Lee and Takeshi Matsumoto and Masahiro Fujita}, title = {On-chip dynamic signal sequence slicing for efficient post-silicon debugging}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {719--724}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722280}, doi = {10.1109/ASPDAC.2011.5722280}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeMF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeSHJ11, author = {Chi{-}Hui Lee and Che{-}Hua Shih and Juinn{-}Dar Huang and Jing{-}Yang Jou}, title = {Equivalence checking of scheduling with speculative code transformations in high-level synthesis}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {497--502}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722241}, doi = {10.1109/ASPDAC.2011.5722241}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeSHJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiFI11, author = {Bin Li and Zhen Fang and Ravi R. Iyer}, title = {Template-based memory access engine for accelerators in SoCs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {147--153}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722175}, doi = {10.1109/ASPDAC.2011.5722175}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiFI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiQNC11, author = {Jiayin Li and Meikang Qiu and Jianwei Niu and Tianzhou Chen}, title = {Battery-aware task scheduling in distributed mobile systems with lifetime constraint}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {743--748}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722286}, doi = {10.1109/ASPDAC.2011.5722286}, timestamp = {Wed, 26 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiQNC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiSLZZ11, author = {Li Li and Jian Sun and Yinghai Lu and Hai Zhou and Xuan Zeng}, title = {Low power discrete voltage assignment under clock skew scheduling}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {515--520}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722244}, doi = {10.1109/ASPDAC.2011.5722244}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiSLZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiXX11, author = {Jia Li and Qiang Xu and Dong Xiang}, title = {Compression-aware capture power reduction for at-speed testing}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {806--811}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722300}, doi = {10.1109/ASPDAC.2011.5722300}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiXX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LimK11, author = {Kyoung{-}Hwan Lim and Taewhan Kim}, title = {An optimal algorithm for allocation, placement, and delay assignment of adjustable delay buffers for clock skew minimization in multi-voltage mode designs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {503--508}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722242}, doi = {10.1109/ASPDAC.2011.5722242}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LimK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinLH11, author = {Kuan{-}Yu Lin and Hong{-}Ting Lin and Tsung{-}Yi Ho}, title = {An efficient algorithm of adjustable delay buffer insertion for clock skew minimization in multiple dynamic supply voltage designs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {825--830}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722304}, doi = {10.1109/ASPDAC.2011.5722304}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LinLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinLWKC11, author = {Shing{-}Tung Lin and Kuang{-}Yao Lee and Ting{-}Chi Wang and Cheng{-}Kok Koh and Kai{-}Yuan Chao}, title = {Simultaneous redundant via insertion and line end extension for yield optimization}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {633--638}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722266}, doi = {10.1109/ASPDAC.2011.5722266}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LinLWKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiouCCCW11, author = {Jing{-}Jia Liou and Ying{-}Yen Chen and Chun{-}Chia Chen and Chung{-}Yen Chien and Kuo{-}Li Wu}, title = {Diagnosis-assisted supply voltage configuration to increase performance yield of cell-based designs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {279--284}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722198}, doi = {10.1109/ASPDAC.2011.5722198}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiouCCCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuL11, author = {Wen{-}Hao Liu and Yih{-}Lang Li}, title = {Negotiation-based layer assignment for via count and via overflow minimization}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {539--544}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722248}, doi = {10.1109/ASPDAC.2011.5722248}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuWLMXY11, author = {Wulong Liu and Yu Wang and Wei Liu and Yuchun Ma and Yuan Xie and Huazhong Yang}, title = {On-chip hybrid power supply system for wireless sensor nodes}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {43--48}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722229}, doi = {10.1109/ASPDAC.2011.5722229}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuWLMXY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuYRT11, author = {Xuexin Liu and Hao Yu and Jacob Relles and Sheldon X.{-}D. Tan}, title = {A structured parallel periodic Arnoldi shooting algorithm for {RF-PSS} analysis based on {GPU} platforms}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {13--18}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722172}, doi = {10.1109/ASPDAC.2011.5722172}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuYRT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuZHL11, author = {Cheng Liu and Lei Zhang and Yinhe Han and Xiaowei Li}, title = {Vertical interconnects squeezing in symmetric 3D mesh Network-on-Chip}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {357--362}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722213}, doi = {10.1109/ASPDAC.2011.5722213}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuZHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuZHL11a, author = {Cheng Liu and Lei Zhang and Yinhe Han and Xiaowei Li}, title = {A resilient on-chip router design through data path salvaging}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {437--442}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722230}, doi = {10.1109/ASPDAC.2011.5722230}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuZHL11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LoewEPM11, author = {Jason Loew and Jesse Elwell and Dmitry Ponomarev and Patrick H. Madden}, title = {Mathematical limits of parallel computation for embedded systems}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {653--660}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722269}, doi = {10.1109/ASPDAC.2011.5722269}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LoewEPM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuKCG11, author = {Wei Lu and Kuk{-}Hwan Kim and Ting Chang and Siddharth Gaba}, title = {Two-terminal resistive switches (memristors) for memory and logic applications}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {217--223}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722187}, doi = {10.1109/ASPDAC.2011.5722187}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuKCG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MaKWY11, author = {Qiang Ma and Hui Kong and Martin D. F. Wong and Evangeline F. Y. Young}, title = {A provably good approximation algorithm for Rectangle Escape Problem with application to {PCB} routing}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {843--848}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722308}, doi = {10.1109/ASPDAC.2011.5722308}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MaKWY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MoffittS11, author = {Michael D. Moffitt and Chin Ngai Sze}, title = {Wire synthesizable global routing for timing closure}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {545--550}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722249}, doi = {10.1109/ASPDAC.2011.5722249}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MoffittS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MuL11, author = {Jingqing Mu and Roman L. Lysecky}, title = {Profile assisted online system-level performance and power estimation for dynamic reconfigurable embedded systems}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {737--742}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722285}, doi = {10.1109/ASPDAC.2011.5722285}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MuL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MusaMSCOM11, author = {Ahmed Musa and Rui Murakami and Takahiro Sato and Win Chaivipas and Kenichi Okada and Akira Matsuzawa}, title = {A 58-63.6GHz quadrature {PLL} frequency synthesizer using dual-injection technique}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {101--102}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722158}, doi = {10.1109/ASPDAC.2011.5722158}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MusaMSCOM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NaeemCLJ11, author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch}, title = {Realization and performance comparison of sequential and weak memory consistency models in network-on-chip based multi-core systems}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {154--159}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722176}, doi = {10.1109/ASPDAC.2011.5722176}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/NaeemCLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NakabayashiSOK11, author = {Tomoyuki Nakabayashi and Takahiro Sasaki and Kazuhiko Ohno and Toshio Kondo}, title = {Design and evaluation of variable stages pipeline processor chip}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {95--96}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722314}, doi = {10.1109/ASPDAC.2011.5722314}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/NakabayashiSOK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NakajimaKSCL11, author = {Tatsuo Nakajima and Yuki Kinebuchi and Hiromasa Shimada and Alexandre Courbot and Tsung{-}Han Lin}, title = {Temporal and spatial isolation in a virtualization layer for multi-core processor based information appliances}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {645--652}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722268}, doi = {10.1109/ASPDAC.2011.5722268}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/NakajimaKSCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Nishiguchi11, author = {Nobuyuki Nishiguchi}, title = {An RTL-to-GDS2 design methodology for advanced system {LSI}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {770--774}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722292}, doi = {10.1109/ASPDAC.2011.5722292}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Nishiguchi11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OsakiHKN11, author = {Yuji Osaki and Tetsuya Hirose and Nobutaka Kuroki and Masahiro Numa}, title = {A 95-nA, 523ppm/{\textdegree}C, 0.6-{\(\mu\)}W {CMOS} current reference circuit with subthreshold {MOS} resistor ladder}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {113--114}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722164}, doi = {10.1109/ASPDAC.2011.5722164}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/OsakiHKN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OuyangX11, author = {Jin Ouyang and Yuan Xie}, title = {Enabling quality-of-service in nanophotonic network-on-chip}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {351--356}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722212}, doi = {10.1109/ASPDAC.2011.5722212}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/OuyangX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PangRZ11, author = {Yu Pang and Katarzyna Radecka and Zeljko Zilic}, title = {An efficient hybrid engine to perform range analysis and allocate integer bit-widths for arithmetic circuits}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {455--460}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722233}, doi = {10.1109/ASPDAC.2011.5722233}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PangRZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ParkPL11, author = {Chul{-}Hong Park and David Z. Pan and Kevin Lucas}, title = {Exploration of {VLSI} {CAD} researches for early design rule evaluation}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {405--406}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722223}, doi = {10.1109/ASPDAC.2011.5722223}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ParkPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PasrichaB11, author = {Sudeep Pasricha and Shirish Bahirat}, title = {{OPAL:} {A} multi-layer hybrid photonic NoC for 3D ICs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {345--350}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722211}, doi = {10.1109/ASPDAC.2011.5722211}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PasrichaB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PasrichaZ11, author = {Sudeep Pasricha and Yong Zou}, title = {{NS-FTR:} {A} fault tolerant routing scheme for networks on chip with permanent and runtime intermittent faults}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {443--448}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722231}, doi = {10.1109/ASPDAC.2011.5722231}, timestamp = {Sun, 01 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PasrichaZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PengDC11, author = {Chi{-}Chen Peng and Chen Dong and Deming Chen}, title = {SETmap: {A} soft error tolerant mapping algorithm for {FPGA} designs with low power}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {388--393}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722219}, doi = {10.1109/ASPDAC.2011.5722219}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PengDC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PopFPSVVGG11, author = {Valer Pop and Ruben de Francisco and Hans W. Pflug and Juan Santana and Hubregt J. Visser and Ruud J. M. Vullers and Harmke de Groot and Bert Gyselinckx}, title = {Human++: Wireless autonomous sensor technology for body area networks}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {561--566}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722253}, doi = {10.1109/ASPDAC.2011.5722253}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PopFPSVVGG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PosadasDV11, author = {Hector Posadas and Luis Diaz and Eugenio Villar}, title = {Fast data-cache modeling for native co-simulation}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {425--430}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722227}, doi = {10.1109/ASPDAC.2011.5722227}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PosadasDV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/QianT11, author = {Zhiliang Qian and Chi{-}Ying Tsui}, title = {A thermal-aware application specific routing algorithm for Network-on-Chip design}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {449--454}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722232}, doi = {10.1109/ASPDAC.2011.5722232}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/QianT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/QiuYH11, author = {Dong Qiu and Ting Yi and Zhiliang Hong}, title = {A low-power triple-mode sigma-delta {DAC} for reconfigurable {(WCDMA/TD-SCDMA/GSM)} transmitters}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {119--120}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722167}, doi = {10.1109/ASPDAC.2011.5722167}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/QiuYH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/RakhshanfarA11, author = {Alireza Rakhshanfar and Jason Helge Anderson}, title = {An integer programming placement approach to {FPGA} clock power reduction}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {831--836}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722305}, doi = {10.1109/ASPDAC.2011.5722305}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/RakhshanfarA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/RawlinsG11, author = {Marisha Rawlins and Ann Gordon{-}Ross}, title = {On the interplay of loop caching, code compression, and cache configuration}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {243--248}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722191}, doi = {10.1109/ASPDAC.2011.5722191}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/RawlinsG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SalahRIR11, author = {Khaled Salah and Hani F. Ragai and Yehea I. Ismail and Alaa B. El{-}Rouby}, title = {Equivalent lumped element models for various n-port Through Silicon Vias networks}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {176--183}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722180}, doi = {10.1109/ASPDAC.2011.5722180}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SalahRIR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShinOH11, author = {Tae{-}ho Shin and Hyunok Oh and Soonhoi Ha}, title = {Minimizing buffer requirements for throughput constrained parallel execution of synchronous dataflow graph}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {165--170}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722178}, doi = {10.1109/ASPDAC.2011.5722178}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShinOH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShinkaiH11, author = {Kenichi Shinkai and Masanori Hashimoto}, title = {Device-parameter estimation with on-chip variation sensors considering random variability}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {683--688}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722274}, doi = {10.1109/ASPDAC.2011.5722274}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ShinkaiH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SinkarK11, author = {Abhishek A. Sinkar and Nam Sung Kim}, title = {AVS-aware power-gate sizing for maximum performance and power efficiency of power-constrained processors}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {725--730}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722282}, doi = {10.1109/ASPDAC.2011.5722282}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SinkarK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Sivakumar11, author = {Sam Sivakumar}, title = {{EUV} lithography: Prospects and challenges}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {402}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722221}, doi = {10.1109/ASPDAC.2011.5722221}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Sivakumar11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Sridhara11, author = {Srinivasa R. Sridhara}, title = {Ultra-low power microcontrollers for portable, wearable, and implantable medical electronics}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {556--560}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722252}, doi = {10.1109/ASPDAC.2011.5722252}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Sridhara11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Sriram11, author = {Mysore Sriram}, title = {A fast approximation technique for power grid analysis}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {171--175}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722179}, doi = {10.1109/ASPDAC.2011.5722179}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Sriram11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SuSH11, author = {Wan{-}Ting Su and Jih{-}Sheng Shen and Pao{-}Ann Hsiung}, title = {Network-on-Chip router design with Buffer-Stealing}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {160--164}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722177}, doi = {10.1109/ASPDAC.2011.5722177}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SuSH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SunLZZ11, author = {Jian Sun and Yinghai Lu and Hai Zhou and Xuan Zeng}, title = {Post-routing layer assignment for double patterning}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {793--798}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722298}, doi = {10.1109/ASPDAC.2011.5722298}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SunLZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SunNOX11, author = {Guangyu Sun and Dimin Niu and Jin Ouyang and Yuan Xie}, title = {A frequent-value based {PRAM} memory architecture}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {211--216}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722186}, doi = {10.1109/ASPDAC.2011.5722186}, timestamp = {Sun, 19 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SunNOX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Suzuki11, author = {Takao Suzuki}, title = {Advanced system LSIs for home 3D system}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {749--754}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722287}, doi = {10.1109/ASPDAC.2011.5722287}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Suzuki11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Takahashi11, author = {Masaru Takahashi}, title = {{FPGA} prototyping using behavioral synthesis for improving video processing algorithm and {FHD} {TV} SoC design}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {766--769}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722291}, doi = {10.1109/ASPDAC.2011.5722291}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Takahashi11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TsaiTCCG11, author = {Yu{-}Tzu Tsai and Cheng{-}Chih Tsai and Cheng{-}An Chien and Ching{-}Hwa Cheng and Jiun{-}In Guo}, title = {Dual-phase pipeline circuit design automation with a built-in performance adjusting mechanism}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {85--86}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722309}, doi = {10.1109/ASPDAC.2011.5722309}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TsaiTCCG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TsaiTCCG11a, author = {Yu{-}Tzu Tsai and Cheng{-}Chih Tsai and Cheng{-}An Chien and Ching{-}Hwa Cheng and Jiun{-}In Guo}, title = {A low-power management technique for high-performance domino circuits}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {93--94}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722313}, doi = {10.1109/ASPDAC.2011.5722313}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TsaiTCCG11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/VelevG11, author = {Miroslav N. Velev and Ping Gao}, title = {Automatic formal verification of reconfigurable DSPs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {293--296}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722201}, doi = {10.1109/ASPDAC.2011.5722201}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/VelevG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/VenerisKS11, author = {Andreas G. Veneris and Brian Keng and Sean Safarpour}, title = {From {RTL} to silicon: The case for automated debug}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {306--310}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722204}, doi = {10.1109/ASPDAC.2011.5722204}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/VenerisKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangKZC11, author = {Ying{-}Chih Wang and Anvesh Komuravelli and Paolo Zuliani and Edmund M. Clarke}, title = {Analog circuit verification by statistical model checking}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722168}, doi = {10.1109/ASPDAC.2011.5722168}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangKZC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangMDWHC11, author = {Kan Wang and Yuchun Ma and Sheqin Dong and Yu Wang and Xianlong Hong and Jason Cong}, title = {Rethinking thermal via planning with timing-power-temperature dependence for 3D ICs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {261--266}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722195}, doi = {10.1109/ASPDAC.2011.5722195}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangMDWHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangWZCW11, author = {Xiang Wang and Qing Wang and Zheng Zhang and Quan Chen and Ngai Wong}, title = {Balanced truncation for time-delay systems via approximate Gramians}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {55--60}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722251}, doi = {10.1109/ASPDAC.2011.5722251}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangWZCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WilliamsonLSZZ11, author = {James Williamson and Yinghai Lu and Li Shang and Hai Zhou and Xuan Zeng}, title = {Parallel cross-layer optimization of high-level synthesis and physical design}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {467--472}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722235}, doi = {10.1109/ASPDAC.2011.5722235}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WilliamsonLSZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WuHA11, author = {Tung{-}Yeh Wu and Shih{-}Hsin Hu and Jacob A. Abraham}, title = {Robust power gating reactivation by dynamic wakeup sequence throttling}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {615--620}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722263}, doi = {10.1109/ASPDAC.2011.5722263}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WuHA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WuuPTM11, author = {Jen{-}Yi Wuu and Fedor G. Pikus and Andres J. Torres and Malgorzata Marek{-}Sadowska}, title = {Rapid layout pattern classification}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {781--786}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722295}, doi = {10.1109/ASPDAC.2011.5722295}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WuuPTM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/XuSL11, author = {Hui Xu and Guoyong Shi and Xiaopeng Li}, title = {Hierarchical exact symbolic analysis of large analog integrated circuits by symbolic stamps}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {19--24}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722183}, doi = {10.1109/ASPDAC.2011.5722183}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/XuSL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YanMCWC11, author = {Tan Yan and Qiang Ma and Scott Chilstedt and Martin D. F. Wong and Deming Chen}, title = {Routing with graphene nanoribbons}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {323--329}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722208}, doi = {10.1109/ASPDAC.2011.5722208}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YanMCWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YangPZLP11, author = {Jae{-}Seok Yang and Jiwoo Pak and Xin Zhao and Sung Kyu Lim and David Z. Pan}, title = {Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {621--626}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722264}, doi = {10.1109/ASPDAC.2011.5722264}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YangPZLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuDMLWCG11, author = {Bei Yu and Sheqin Dong and Yuchun Ma and Tao Lin and Yu Wang and Song Chen and Satoshi Goto}, title = {Network flow-based simultaneous retiming and slack budgeting for low power design}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {473--478}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722236}, doi = {10.1109/ASPDAC.2011.5722236}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YuDMLWCG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuHZ11, author = {Wenjian Yu and Chao Hu and Wangyang Zhang}, title = {Parallel statistical capacitance extraction of on-chip interconnects with an improved geometric variation model}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {67--72}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722272}, doi = {10.1109/ASPDAC.2011.5722272}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YuHZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuanCM11, author = {Yu{-}Han Yuan and Wei{-}Ming Chen and Hsi{-}Pin Ma}, title = {Design and implementation of a high performance closed-loop {MIMO} communications with ultra low complexity handset}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {99--100}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722316}, doi = {10.1109/ASPDAC.2011.5722316}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YuanCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZamaniT11, author = {Masoud Zamani and Mehdi Baradaran Tahoori}, title = {Variation-aware logic mapping for crossbar nano-architectures}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {317--322}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722207}, doi = {10.1109/ASPDAC.2011.5722207}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZamaniT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZangG11, author = {Wei Zang and Ann Gordon{-}Ross}, title = {T-SPaCS - {A} two-level single-pass cache simulation methodology}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {419--424}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722226}, doi = {10.1109/ASPDAC.2011.5722226}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZangG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhanK11, author = {Chenchang Zhan and Wing{-}Hung Ki}, title = {An adaptively biased low-dropout regulator with transient enhancement}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {117--118}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722166}, doi = {10.1109/ASPDAC.2011.5722166}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhanK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangCCYKC11, author = {Tiefei Zhang and Ying{-}Jheng Chen and Che{-}Wei Chang and Chuan{-}Yue Yang and Tei{-}Wei Kuo and Tianzhou Chen}, title = {Power management strategies in data transmission}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {668--675}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722271}, doi = {10.1109/ASPDAC.2011.5722271}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangCCYKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangDWC11, author = {Hongbo Zhang and Yuelin Du and Martin D. F. Wong and Kai{-}Yuan Chao}, title = {Mask cost reduction with circuit performance consideration for self-aligned double patterning}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {787--792}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722296}, doi = {10.1109/ASPDAC.2011.5722296}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangDWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangITS11, author = {Xin Zhang and Koichi Ishida and Makoto Takamiya and Takayasu Sakurai}, title = {An on-chip characterizing system for within-die delay variation measurement of individual standard cells in 65-nm {CMOS}}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {109--110}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722162}, doi = {10.1109/ASPDAC.2011.5722162}, timestamp = {Fri, 23 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangITS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangWWD11, author = {Zheng Zhang and Qing Wang and Ngai Wong and Luca Daniel}, title = {A moment-matching scheme for the passivity-preserving model order reduction of indefinite descriptor systems with possible polynomial parts}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {49--54}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722240}, doi = {10.1109/ASPDAC.2011.5722240}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangWWD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhaoB11, author = {Kang Zhao and Jinian Bian}, title = {Pruning-based trace signal selection algorithm}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {639--644}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722267}, doi = {10.1109/ASPDAC.2011.5722267}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhaoB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhaoISKSKAKHUMUKNTNK11, author = {Lei Zhao and Daisuke Ikebuchi and Yoshiki Saito and M. Kamata and Naomi Seki and Yu Kojima and Hideharu Amano and Satoshi Koyama and Tatsunori Hashida and Y. Umahashi and D. Masuda and Kimiyoshi Usami and Keiji Kimura and Mitaro Namiki and Seidai Takeda and Hiroshi Nakamura and Masaaki Kondo}, title = {Geyser-2: The second prototype {CPU} with fine-grained run-time power gating}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {87--88}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722310}, doi = {10.1109/ASPDAC.2011.5722310}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhaoISKSKAKHUMUKNTNK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhiZZ11, author = {Yanling Zhi and Hai Zhou and Xuan Zeng}, title = {A practical method for multi-domain clock skew optimization}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {521--526}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722245}, doi = {10.1109/ASPDAC.2011.5722245}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhiZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouJSHG11, author = {Jun Zhou and Senthil Jayapal and Jan Stuyt and Jos Huisken and Harmke de Groot}, title = {The impact of inverse narrow width effect on sub-threshold device sizing}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {267--272}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722196}, doi = {10.1109/ASPDAC.2011.5722196}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouJSHG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2011, title = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5716646/proceeding}, isbn = {978-1-4244-7516-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.