Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/aspdac/aspdac2008.bht:"
@inproceedings{DBLP:conf/aspdac/AkramCLPC08, author = {Shoaib Akram and Scott Cromar and Gregory Lucas and Alexandros Papakonstantinou and Deming Chen}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {VEBoC: Variation and error-aware design for billions of devices on a chip}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {803--808}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484062}, doi = {10.1109/ASPDAC.2008.4484062}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AkramCLPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AtienzaMBAVDN08, author = {David Atienza and Giovanni De Micheli and Luca Benini and Jos{\'{e}} L. Ayala and Pablo Garc{\'{\i}}a Del Valle and Michael DeBole and Vijaykrishnan Narayanan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Reliability-aware design for nanometer-scale devices}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {549--554}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484011}, doi = {10.1109/ASPDAC.2008.4484011}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AtienzaMBAVDN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BartzasPMCSM08, author = {Alexandros Bartzas and Miguel Pe{\'{o}}n Quir{\'{o}}s and Stylianos Mamagkakis and Francky Catthoor and Dimitrios Soudris and Jose Manuel Mendias}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Enabling run-time memory data transfer optimizations at the system level with automated extraction of embedded software metadata information}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {434--439}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483990}, doi = {10.1109/ASPDAC.2008.4483990}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BartzasPMCSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BeltrameBFMS08, author = {Giovanni Beltrame and Cristiana Bolchini and Luca Fossati and Antonio Miele and Donatella Sciuto}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {ReSP: {A} non-intrusive Transaction-Level Reflective MPSoC Simulation Platform for design space exploration}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {673--678}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484036}, doi = {10.1109/ASPDAC.2008.4484036}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/BeltrameBFMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BergamaschiHBPNDJDHBD08, author = {Reinaldo A. Bergamaschi and Guoling Han and Alper Buyuktosunoglu and Hiren D. Patel and Indira Nair and Gero Dittmann and Geert Janssen and Nagu R. Dhanwada and Zhigang Hu and Pradip Bose and John A. Darringer}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Exploring power management in multi-core systems}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {708--713}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484043}, doi = {10.1109/ASPDAC.2008.4484043}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/BergamaschiHBPNDJDHBD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CarloniKMPSS08, author = {Luca P. Carloni and Andrew B. Kahng and Swamy Muddu and Alessandro Pinto and Kambiz Samadi and Puneet Sharma}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Interconnect modeling for improved system-level design optimization}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {258--264}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483952}, doi = {10.1109/ASPDAC.2008.4483952}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CarloniKMPSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChanLZC08, author = {Tuck Boon Chan and Hsinchia Lu and Jun{-}Kuei Zeng and Charlie Chung{-}Ping Chen}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{LTCC} spiral inductor modeling, synthesis, and optimization}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {768--771}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484054}, doi = {10.1109/ASPDAC.2008.4484054}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChanLZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChanP08, author = {Jeremy Chan and Sri Parameswaran}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {NoCOUT : NoC topology generation with mixed packet-switched and point-to-point networks}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {265--270}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483953}, doi = {10.1109/ASPDAC.2008.4483953}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChanP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChandraiahD08, author = {Pramod Chandraiah and Rainer D{\"{o}}mer}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Automatic re-coding of reference code into structured and analyzable SoC models}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {440--445}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483991}, doi = {10.1109/ASPDAC.2008.4483991}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChandraiahD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Chang08, author = {Li{-}Pin Chang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Hybrid solid-state disks: Combining heterogeneous {NAND} flash in large SSDs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {428--433}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483988}, doi = {10.1109/ASPDAC.2008.4483988}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Chang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenL08, author = {Shi{-}Hao Chen and Jiing{-}Yuan Lin}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Experiences of low power design implementation and verification}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {742--747}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484050}, doi = {10.1109/ASPDAC.2008.4484050}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenLCKH08, author = {Liang{-}Bi Chen and Yung{-}Chih Liu and Chen{-}Hung Chen and Chung{-}Fu Kao and Ing{-}Jer Huang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Parameterized embedded in-circuit emulator and its retargetable debugging software for microprocessor/microcontroller/DSP processor}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {117--118}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483923}, doi = {10.1109/ASPDAC.2008.4483923}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenLCKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenW08, author = {Quan Chen and Ngai Wong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Efficient numerical modeling of random rough surface effects for interconnect internal impedance extraction}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {152--157}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483930}, doi = {10.1109/ASPDAC.2008.4483930}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenZZVX08, author = {Ruiming Chen and Lizheng Zhang and Vladimir Zolotov and Chandu Visweswariah and Jinjun Xiong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Static timing: Back to our roots}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {310--315}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483965}, doi = {10.1109/ASPDAC.2008.4483965}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenZZVX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Cheng08, author = {Xu Cheng}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Super-K: {A} SoC for single-chip ultra mobile computer}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {284}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483957}, doi = {10.1109/ASPDAC.2008.4483957}, timestamp = {Thu, 18 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/Cheng08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChengXH08, author = {Lerong Cheng and Jinjun Xiong and Lei He}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Non-Gaussian statistical timing analysis using second-order polynomial fitting}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {298--303}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483962}, doi = {10.1109/ASPDAC.2008.4483962}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChengXH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChunKK08, author = {Sunghoon Chun and Taejin Kim and Sungho Kang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A new low energy {BIST} using a statistical code}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {647--652}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484031}, doi = {10.1109/ASPDAC.2008.4484031}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChunKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CoskunRWG08, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Keith Whisnant and Kenny C. Gross}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Temperature-aware MPSoC scheduling for reducing hot spots and gradients}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {49--54}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484002}, doi = {10.1109/ASPDAC.2008.4484002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CoskunRWG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CurinoFRRSS08, author = {Carlo Curino and Luca Fossati and Vincenzo Rana and Francesco Redaelli and Marco D. Santambrogio and Donatella Sciuto}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {The Shining embedded system design methodology based on self dynamic reconfigurable architectures}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {595--600}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484021}, doi = {10.1109/ASPDAC.2008.4484021}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CurinoFRRSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DasKKJZ08, author = {Debasish Das and Kip Killpack and Chandramouli V. Kashyap and Abhijit Jas and Hai Zhou}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Pessimism reduction in coupling-aware static timing analysis using timing and logic filtering}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {486--491}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483999}, doi = {10.1109/ASPDAC.2008.4483999}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DasKKJZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DongN08, author = {Qing Dong and Shigetoshi Nakatake}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Constraint-free analog placement with topological symmetry structure}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {186--191}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483937}, doi = {10.1109/ASPDAC.2008.4483937}, timestamp = {Tue, 22 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DongN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Dutt08, author = {Nikil D. Dutt}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Quo vadis, BTSoC (Billion Transistor SoC)?}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {809}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484063}, doi = {10.1109/ASPDAC.2008.4484063}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Dutt08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/EnomotoH08, author = {Tadayoshi Enomoto and Yuki Higuchi}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A low-leakage current power 180-nm {CMOS} {SRAM}}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {101--102}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483914}, doi = {10.1109/ASPDAC.2008.4483914}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/EnomotoH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/EoYC08, author = {Soo{-}Kwan Eo and Sungjoo Yoo and Kyu{-}Myung Choi}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {An industrial perspective of power-aware reliable SoC design}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {555--557}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484012}, doi = {10.1109/ASPDAC.2008.4484012}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/EoYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FuLHL08, author = {Xiang Fu and Huawei Li and Yu Hu and Xiaowei Li}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Robust test generation for power supply noise induced path delay faults}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {659--662}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484033}, doi = {10.1109/ASPDAC.2008.4484033}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/FuLHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FukuokaTO08, author = {Takayuki Fukuoka and Akira Tsuchiya and Hidetoshi Onodera}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Statistical gate delay model for Multiple Input Switching}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {286--291}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483959}, doi = {10.1109/ASPDAC.2008.4483959}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/FukuokaTO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GaoWW08, author = {Jhih{-}Rong Gao and Pei{-}Ci Wu and Ting{-}Chi Wang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A new global router for modern designs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {232--237}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483948}, doi = {10.1109/ASPDAC.2008.4483948}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GaoWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GhasemzadehGGJ08, author = {Hassan Ghasemzadeh and Eric Guenterberg and Katherine Gilani and Roozbeh Jafari}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Action coverage formulation for power optimization in body sensor networks}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {446--451}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483992}, doi = {10.1109/ASPDAC.2008.4483992}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GhasemzadehGGJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GhoshR08, author = {Swaroop Ghosh and Kaushik Roy}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {635--640}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484029}, doi = {10.1109/ASPDAC.2008.4484029}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GhoshR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GongYWYY08, author = {Fang Gong and Wenjian Yu and Zeyi Wang and Zhiping Yu and Changhao Yan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Efficient techniques for 3-D impedance extraction using mixed boundary element method}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {158--163}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483931}, doi = {10.1109/ASPDAC.2008.4483931}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GongYWYY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GowdaV08, author = {Tejaswi Gowda and Sarma B. K. Vrudhula}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Decomposition based approach for synthesis of multi-level threshold logic circuits}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {125--130}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483925}, doi = {10.1109/ASPDAC.2008.4483925}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GowdaV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GrabowskiOB08, author = {Darius Grabowski and Markus Olbrich and Erich Barke}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Analog circuit simulation using range arithmetics}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {762--767}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484053}, doi = {10.1109/ASPDAC.2008.4484053}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GrabowskiOB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GuR08, author = {Chenjie Gu and Jaijeet S. Roychowdhury}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {An efficient, fully nonlinear, variability-aware non-monte-carlo yield estimation procedure with applications to {SRAM} cells and ring oscillators}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {754--761}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484052}, doi = {10.1109/ASPDAC.2008.4484052}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GuR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GuptaKKSS08, author = {Puneet Gupta and Andrew B. Kahng and Youngmin Kim and Saumil Shah and Dennis Sylvester}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Investigation of diffusion rounding for post-lithography analysis}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {480--485}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483998}, doi = {10.1109/ASPDAC.2008.4483998}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GuptaKKSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GuthausSB08, author = {Matthew R. Guthaus and Dennis Sylvester and Richard B. Brown}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Clock tree synthesis with data-path sensitivity matching}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {498--503}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484001}, doi = {10.1109/ASPDAC.2008.4484001}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GuthausSB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HargreavesHR08, author = {Brendan Hargreaves and Henrik Hult and Sherief Reda}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Within-die process variations: How accurately can they be statistically modeled?}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {524--530}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484007}, doi = {10.1109/ASPDAC.2008.4484007}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HargreavesHR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HealyMLL08, author = {Michael B. Healy and Fayez Mohamood and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A unified methodology for power supply noise reduction in modern microarchitecture design}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {611--616}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484024}, doi = {10.1109/ASPDAC.2008.4484024}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HealyMLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HillenbrandH08, author = {Dominic Hillenbrand and J{\"{o}}rg Henkel}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Block cache for embedded systems}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {322--327}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483967}, doi = {10.1109/ASPDAC.2008.4483967}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HillenbrandH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HoLKW08, author = {Yuen{-}Hong Alvin Ho and Chi{-}Un Lei and Hing{-}Kit Kwan and Ngai Wong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Global optimization of common subexpressions for multiplierless synthesis of multiple constant multiplications}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {119--124}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483924}, doi = {10.1109/ASPDAC.2008.4483924}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HoLKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HobbsW08, author = {J. S. Hobbs and Thomas W. Williams}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Reaching the limits of low power design}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {732--735}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484048}, doi = {10.1109/ASPDAC.2008.4484048}, timestamp = {Tue, 09 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HobbsW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HommaNS08, author = {Katsumi Homma and Izumi Nitta and Toshiyuki Shibuya}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Non-Gaussian Statistical Timing models of die-to-die and within-die parameter variations for full chip analysis}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {292--297}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483961}, doi = {10.1109/ASPDAC.2008.4483961}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HommaNS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsiehCZC08, author = {Cheng{-}Tao Hsieh and Jason Cong and Zhiru Zhang and Shih{-}Chieh Chang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Behavioral synthesis with activating unused flip-flops for reducing glitch power in {FPGA}}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {10--15}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483919}, doi = {10.1109/ASPDAC.2008.4483919}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HsiehCZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsiehR08, author = {Jui{-}Yuan Hsieh and Shanq{-}Jang Ruan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Synthesis and design of parameter extractors for low-power pre-computation-based content-addressable memory using gate-block selection algorithm}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {316--321}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483966}, doi = {10.1109/ASPDAC.2008.4483966}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HsiehR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuFFF08, author = {Yu Hu and Xiang Fu and Xiaoxin Fan and Hideo Fujiwara}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Localized random access scan: Towards low area and routing overhead}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {565--570}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484016}, doi = {10.1109/ASPDAC.2008.4484016}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuFFF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangHHH08, author = {Wei{-}Sheng Huang and Yu{-}Ru Hong and Juinn{-}Dar Huang and Ya{-}Shih Huang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A multicycle communication architecture and synthesis flow for Global interconnect Resource Sharing}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {16--21}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483933}, doi = {10.1109/ASPDAC.2008.4483933}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangHHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangLL08, author = {Pei{-}Yu Huang and Chih{-}Kang Lin and Yu{-}Min Lee}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Full-chip thermal analysis for the early design stage via generalized integral transforms}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {462--467}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483995}, doi = {10.1109/ASPDAC.2008.4483995}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HussainM08, author = {Mohammed Abid Hussain and Madhu Mutyam}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Block remap with turnoff: {A} variation-tolerant cache design technique}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {783--788}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484058}, doi = {10.1109/ASPDAC.2008.4484058}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HussainM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Hwang08, author = {Ki{-}Soo Hwang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {The evolution of SoC platform according to the new mobile paradigm}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {285}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483958}, doi = {10.1109/ASPDAC.2008.4483958}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Hwang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HwangKJC08, author = {Young{-}Si Hwang and Sung{-}Kwan Ku and Chan{-}Min Jung and Ki{-}Seok Chung}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Predictive power aware management for embedded mobile devices}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {36--41}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483976}, doi = {10.1109/ASPDAC.2008.4483976}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HwangKJC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JeongPS08, author = {Jinseob Jeong and Seungwhun Paik and Youngsoo Shin}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Statistical mixed Vt allocation of body-biased circuits for reduced leakage variation}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {629--634}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484028}, doi = {10.1109/ASPDAC.2008.4484028}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JeongPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JesserH08, author = {Alexander Jesser and Lars Hedrich}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A symbolic approach for mixed-signal model checking}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {404--409}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483984}, doi = {10.1109/ASPDAC.2008.4483984}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JesserH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JiangZPC08, author = {Wei Jiang and Zhiru Zhang and Miodrag Potkonjak and Jason Cong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Scheduling with integer time budgeting for low-power optimization}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {22--27}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483947}, doi = {10.1109/ASPDAC.2008.4483947}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/JiangZPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JunYC08, author = {Minje Jun and Sungjoo Yoo and Eui{-}Young Chung}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Mixed integer linear programming-based optimal topology synthesis of cascaded crossbar switches}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {583--588}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484019}, doi = {10.1109/ASPDAC.2008.4484019}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JunYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JungKK08, author = {Inhwa Jung and Moo{-}young Kim and Chulwoo Kim}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A 1.2GHz delayed clock generator for high-speed microprocessors}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {95--96}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484068}, doi = {10.1109/ASPDAC.2008.4484068}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JungKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JungP08, author = {Hwisung Jung and Massoud Pedram}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A stochastic local hot spot alerting technique}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {468--473}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483996}, doi = {10.1109/ASPDAC.2008.4483996}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JungP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KangGPR08, author = {Kunhyuk Kang and Saakshi Gangwal and Sang Phill Park and Kaushik Roy}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{NBTI} induced performance degradation in logic and memory circuits: how effectively can we approach a reliability solution?}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {726--731}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484047}, doi = {10.1109/ASPDAC.2008.4484047}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KangGPR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Kim08, author = {KyungHo Kim}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Best ways to use billions of devices on a wireless mobile SoC}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {810}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484064}, doi = {10.1109/ASPDAC.2008.4484064}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Kim08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimL08, author = {Dae Hyun Kim and Sung Kyu Lim}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Bus-aware microarchitectural floorplanning}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {204--208}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483941}, doi = {10.1109/ASPDAC.2008.4483941}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimP08, author = {Ji{-}Hoon Kim and In{-}Cheol Park}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Duo-binary circular turbo decoder based on border metric encoding for WiMAX}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {109--110}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483918}, doi = {10.1109/ASPDAC.2008.4483918}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KimP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimP08a, author = {Tae{-}Hwan Kim and In{-}Cheol Park}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Area and power efficient design of coarse time synchronizer and frequency offset estimator for fixed WiMAX systems}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {111--112}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483920}, doi = {10.1109/ASPDAC.2008.4483920}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KimP08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KobayashiO08, author = {Kazutoshi Kobayashi and Hidetoshi Onodera}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Best ways to use billions of devices on a chip - Error predictive, defect tolerant and error recovery designs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {811--812}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484065}, doi = {10.1109/ASPDAC.2008.4484065}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KobayashiO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KrautzWKWJP08, author = {Udo Krautz and Markus Wedler and Wolfgang Kunz and Kai Weber and Christian Jacobi and Matthias Pflanz}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Verifying full-custom multipliers by Boolean equivalence checking and an arithmetic bit level proof}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {398--403}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483983}, doi = {10.1109/ASPDAC.2008.4483983}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KrautzWKWJP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KunieHTTO08, author = {Shuichi Kunie and Takefumi Hiraga and Tatsuya Tokue and Sunao Torii and Taku Ohsawa}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Low power architecture and design techniques for mobile handset {LSI} Medity\({}^{\mbox{TM}}\) {M2}}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {748--753}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484051}, doi = {10.1109/ASPDAC.2008.4484051}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KunieHTTO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KwakJK08, author = {Young{-}Ho Kwak and Inhwa Jung and Chulwoo Kim}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A slew-rate controlled output driver with one-cycle tuning time}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {99--100}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484070}, doi = {10.1109/ASPDAC.2008.4484070}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KwakJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KyoO08, author = {Shorin Kyo and Shin'ichiro Okazaki}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {In-vehicle vision processors for driver assistance systems}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {383--388}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483980}, doi = {10.1109/ASPDAC.2008.4483980}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KyoO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Lee08, author = {Junehee Lee}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {In-band mobile digital {TV} transmission technology for advanced television systems committee}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {382}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483979}, doi = {10.1109/ASPDAC.2008.4483979}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Lee08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeK08, author = {Byunghyun Lee and Taewhan Kim}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Optimal allocation and placement of thermal sensors for reconfigurable systems and its practical extension}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {703--707}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484042}, doi = {10.1109/ASPDAC.2008.4484042}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeYPP08, author = {Jung{-}Ho Lee and Sung{-}Rok Yoon and Kwang{-}Eui Pyun and Sin{-}Chong Park}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A Multi-Processor NoC platform applied on the 802.11i {TKIP} cryptosystem}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {607--610}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484023}, doi = {10.1109/ASPDAC.2008.4484023}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeYPP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiMHDC08, author = {Xin Li and Yuchun Ma and Xianlong Hong and Sheqin Dong and Jason Cong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{LP} based white space redistribution for thermal via planning and performance optimization in 3D ICs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {209--212}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483942}, doi = {10.1109/ASPDAC.2008.4483942}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiMHDC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiSCH08, author = {Shuai Li and Jin Shi and Yici Cai and Xianlong Hong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Vertical via design techniques for multi-layered {P/G} networks}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {623--628}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484027}, doi = {10.1109/ASPDAC.2008.4484027}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiSCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiT08, author = {Duo Li and Sheldon X.{-}D. Tan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Hierarchical Krylov subspace reduced order modeling of large {RLC} circuits}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {170--175}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483934}, doi = {10.1109/ASPDAC.2008.4483934}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiTT08, author = {Duo Li and Sheldon X.{-}D. Tan and Murli Tirumala}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Architecture-level thermal behavioral characterization for multi-core microprocessors}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {456--461}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483994}, doi = {10.1109/ASPDAC.2008.4483994}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiXHL08, author = {Jia Li and Qiang Xu and Yu Hu and Xiaowei Li}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {On reducing both shift and capture power for scan-based testing}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {653--658}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484032}, doi = {10.1109/ASPDAC.2008.4484032}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiXHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinWLY08, author = {Saihua Lin and Yu Wang and Rong Luo and Huazhong Yang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A capacitive boosted buffer technique for high-speed process-variation-tolerant interconnect in {UDVS} application}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {304--309}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483964}, doi = {10.1109/ASPDAC.2008.4483964}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LinWLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuDHWHG08, author = {Jiayi Liu and Sheqin Dong and Xianlong Hong and Yibo Wang and Ou He and Satoshi Goto}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Symmetry constraint based on mismatch analysis for analog layout in {SOI} technology}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {772--775}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484055}, doi = {10.1109/ASPDAC.2008.4484055}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuDHWHG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiverisZB08, author = {Nikolaos D. Liveris and Hai Zhou and Prithviraj Banerjee}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A dynamic-programming algorithm for reducing the energy consumption of pipelined System-Level streaming applications}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {42--48}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483989}, doi = {10.1109/ASPDAC.2008.4483989}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiverisZB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuHZLMZ08, author = {Ronghua Lu and Jun Han and Xiaoyang Zeng and Qing Li and Lang Mai and Jia Zhao}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A low-cost cryptographic processor for security embedded system}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {113--114}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483921}, doi = {10.1109/ASPDAC.2008.4483921}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuHZLMZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuSK08, author = {Yongqiang Lu and Qing Su and Jamil Kawa}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {An innovative Steiner tree based approach for polygon partitioning}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {358--363}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483974}, doi = {10.1109/ASPDAC.2008.4483974}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LuSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LukasiewyczGHT08, author = {Martin Lukasiewycz and Michael Gla{\ss} and Christian Haubelt and J{\"{u}}rgen Teich}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Efficient symbolic multi-objective design space exploration}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {691--696}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484040}, doi = {10.1109/ASPDAC.2008.4484040}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LukasiewyczGHT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuoAV08, author = {Chaomin Luo and Miguel F. Anjos and Anthony Vannelli}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Large-scale fixed-outline floorplanning design using convex optimization techniques}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {198--203}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483939}, doi = {10.1109/ASPDAC.2008.4483939}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LuoAV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuoNP08, author = {Tao Luo and David Newmark and David Z. Pan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Total power optimization combining placement, sizing and multi-Vt through slack distribution management}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {352--357}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483973}, doi = {10.1109/ASPDAC.2008.4483973}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuoNP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuoP08, author = {Tao Luo and David Z. Pan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {DPlace2.0: {A} stable and efficient analytical placement based on diffusion}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {346--351}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483972}, doi = {10.1109/ASPDAC.2008.4483972}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuoP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuoW08, author = {Lijuan Luo and Martin D. F. Wong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Ordered escape routing based on Boolean satisfiability}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {244--249}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483950}, doi = {10.1109/ASPDAC.2008.4483950}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuoW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MaY08, author = {Tilen Ma and Evangeline F. Y. Young}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {TCG-based multi-bend bus driven floorplanning}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {192--197}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483938}, doi = {10.1109/ASPDAC.2008.4483938}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MaY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Martin08, author = {Grant Martin}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Panel: Best ways to use billions of devices on a chip}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {801--802}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484061}, doi = {10.1109/ASPDAC.2008.4484061}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Martin08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MatsutaniKAW08, author = {Hiroki Matsutani and Michihiro Koibuchi and Hideharu Amano and Daihan Wang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Run-time power gating of on-chip routers using look-ahead routing}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {55--60}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484015}, doi = {10.1109/ASPDAC.2008.4484015}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MatsutaniKAW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MehdipourNZIM08, author = {Farhad Mehdipour and Hamid Noori and Morteza Saheb Zamani and Koji Inoue and Kazuaki J. Murakami}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Design space exploration for a coarse grain accelerator}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {685--690}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484039}, doi = {10.1109/ASPDAC.2008.4484039}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MehdipourNZIM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MineyamaIIOM08, author = {Akiko Mineyama and Hiroyuki Ito and Takahiro Ishii and Kenichi Okada and Kazuya Masu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {LVDS-type on-chip transmision line interconnect with passive equalizers in 90nm {CMOS} process}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {97--98}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484069}, doi = {10.1109/ASPDAC.2008.4484069}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MineyamaIIOM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MinzZL08, author = {Jacob R. Minz and Xin Zhao and Sung Kyu Lim}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Buffered clock tree synthesis for 3D ICs under thermal variations}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {504--509}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484003}, doi = {10.1109/ASPDAC.2008.4484003}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MinzZL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Moffitt08, author = {Michael D. Moffitt}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {MaizeRouter: Engineering an effective global router}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {226--231}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483946}, doi = {10.1109/ASPDAC.2008.4483946}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Moffitt08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NakagawaMOFNTMOKKS08, author = {Tatsuo Nakagawa and Masayuki Miyazaki and Goichi Ono and Ryosuke Fujiwara and Takayasu Norimatsu and Takahide Terada and Akira Maeki and Yuji Ogata and Shinsuke Kobayashi and Noboru Koshizuka and Ken Sakamura}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {1-cc computer using {UWB-IR} for wireless sensor network}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {392--397}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483982}, doi = {10.1109/ASPDAC.2008.4483982}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/NakagawaMOFNTMOKKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Nassif08, author = {Sani R. Nassif}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Technology modeling and characterization beyond the 45nm node}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {219}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483944}, doi = {10.1109/ASPDAC.2008.4483944}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Nassif08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Nassif08a, author = {Sani R. Nassif}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Power grid analysis benchmarks}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {376--381}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483978}, doi = {10.1109/ASPDAC.2008.4483978}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Nassif08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NinhMKOM08, author = {Hong Phuc Ninh and Takashi Moue and Takashi Kurashina and Kenichi Okada and Akira Matsuzawa}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A {CMOS} direct sampling mixer using Switched Capacitor Filter technique for software-defined radio}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {103--104}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483915}, doi = {10.1109/ASPDAC.2008.4483915}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/NinhMKOM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OgasaharaHO08, author = {Yasuhiro Ogasahara and Masanori Hashimoto and Takao Onoye}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Dynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {107--108}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483917}, doi = {10.1109/ASPDAC.2008.4483917}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/OgasaharaHO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OlbrichB08, author = {Markus Olbrich and Erich Barke}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Distribution arithmetic for stochastical analysis}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {537--542}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484009}, doi = {10.1109/ASPDAC.2008.4484009}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/OlbrichB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OuLDZL08, author = {Shih{-}Hao Ou and Tay{-}Jyi Lin and Xiang Sheng Deng and Zhi Hong Zhuo and Chih{-}Wei Liu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Multithreaded coprocessor interface for multi-core multimedia SoC}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {115--116}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483922}, doi = {10.1109/ASPDAC.2008.4483922}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/OuLDZL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PanC08, author = {David Z. Pan and Minsik Cho}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Synergistic physical synthesis for manufacturability and variability in 45nm designs and beyond}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {220--225}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483945}, doi = {10.1109/ASPDAC.2008.4483945}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PanC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PandeP08, author = {Rajesh Pande and Rajendra M. Patrikar}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A {CAD} tool for {RF} {MEMS} devices}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {89--94}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484067}, doi = {10.1109/ASPDAC.2008.4484067}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PandeP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PandeyD08, author = {Sujan Pandey and Rolf Drechsler}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Robust on-chip bus architecture synthesis for MPSoCs under random tasks arrival}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {601--606}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484022}, doi = {10.1109/ASPDAC.2008.4484022}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PandeyD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Parandeh-AfsharBI08, author = {Hadi Parandeh{-}Afshar and Philip Brisk and Paolo Ienne}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Efficient synthesis of compressor trees on FPGAs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {138--143}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483927}, doi = {10.1109/ASPDAC.2008.4483927}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/Parandeh-AfsharBI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PasrichaD08, author = {Sudeep Pasricha and Nikil D. Dutt}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{ORB:} An on-chip optical ring bus communication architecture for multi-processor systems-on-chip}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {789--794}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484059}, doi = {10.1109/ASPDAC.2008.4484059}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PasrichaD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PomeranzR08, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Circuit lines for guiding the generation of random test sequences for synchronous sequential circuits}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {641--646}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484030}, doi = {10.1109/ASPDAC.2008.4484030}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PomeranzR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PomeranzR08a, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Test vector chains for increased targeted and untargeted fault coverage}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {663--666}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484034}, doi = {10.1109/ASPDAC.2008.4484034}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PomeranzR08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PuGCH08, author = {Yu Pu and Jos{\'{e}} de Jesus Pineda de Gyvez and Henk Corporaal and Yajun Ha}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Statistical noise margin estimation for sub-threshold combinational circuits}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {176--179}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483935}, doi = {10.1109/ASPDAC.2008.4483935}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PuGCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Pulley08, author = {Doug Pulley}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Multi-core {DSP} for base stations: Large and small}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {389--391}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483981}, doi = {10.1109/ASPDAC.2008.4483981}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Pulley08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Rabaey08, author = {Jan M. Rabaey}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A brand new wireless day}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {1}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483940}, doi = {10.1109/ASPDAC.2008.4483940}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Rabaey08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/RajaramP08, author = {Anand Rajaram and David Z. Pan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {250--257}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483951}, doi = {10.1109/ASPDAC.2008.4483951}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/RajaramP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/RoyHCHCT08, author = {Sanghamitra Roy and Yu Hen Hu and Charlie Chung{-}Ping Chen and Shih{-}Pin Hung and Tse{-}Yu Chiang and Jiuan{-}Guei Tseng}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {An optimal algorithm for sizing sequential circuits for industrial library based designs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {148--151}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483929}, doi = {10.1109/ASPDAC.2008.4483929}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/RoyHCHCT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SadakataM08, author = {Tsuyoshi Sadakata and Yusuke Matsunaga}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {An efficient performance improvement method utilizing specialized functional units in Behavioral Synthesis}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {32--35}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483969}, doi = {10.1109/ASPDAC.2008.4483969}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SadakataM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SadoshimaFYIOM08, author = {Susumu Sadoshima and Satoshi Fukuda and Tackya Yammouch and Hiroyuki Ito and Kenichi Okada and Kazuya Masu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Small-area {CMOS} {RF} distributed mixer using multi-port inductors}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {105--106}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483916}, doi = {10.1109/ASPDAC.2008.4483916}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SadoshimaFYIOM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SaeediZS08, author = {Mehdi Saeedi and Morteza Saheb Zamani and Mehdi Sedighi}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Moving forward: {A} non-search based synthesis method toward efficient CNOT-based quantum circuit synthesis algorithms}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {83--88}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484066}, doi = {10.1109/ASPDAC.2008.4484066}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SaeediZS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SatoF08, author = {Toshinori Sato and Toshimasa Funaki}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Dependability, power, and performance trade-off on a multicore processor}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {714--719}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484044}, doi = {10.1109/ASPDAC.2008.4484044}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SatoF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SatoUNM08, author = {Takashi Sato and Hiroyuki Ueyama and Noriaki Nakayama and Kazuya Masu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Determination of optimal polynomial regression function to decompose on-die systematic and random variations}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {518--523}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484006}, doi = {10.1109/ASPDAC.2008.4484006}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SatoUNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SchirnerGD08, author = {Gunar Schirner and Andreas Gerstlauer and Rainer D{\"{o}}mer}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Automatic generation of hardware dependent software for MPSoCs from abstract system specifications}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {271--276}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483954}, doi = {10.1109/ASPDAC.2008.4483954}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SchirnerGD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShiTYO08, author = {Youhua Shi and Nozomu Togawa and Masao Yanagisawa and Tatsuo Ohtsuki}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{GECOM:} Test data compression combined with all unknown response masking}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {577--582}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484018}, doi = {10.1109/ASPDAC.2008.4484018}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShiTYO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShikanoIUOHMMSWKK08, author = {Hiroaki Shikano and Masaki Ito and Kunio Uchiyama and Toshihiko Odaka and Akihiro Hayashi and Takeshi Masuura and Masayoshi Mase and Jun Shirako and Yasutaka Wada and Keiji Kimura and Hironori Kasahara}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Software-cooperative power-efficient heterogeneous multi-core for media processing}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {736--741}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484049}, doi = {10.1109/ASPDAC.2008.4484049}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShikanoIUOHMMSWKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShimCKBKKKMCCY08, author = {Kyuho Shim and Young{-}Rae Cho and Namdo Kim and Hyuncheol Baik and Kyungkuk Kim and Dusung Kim and Jaebum Kim and Byeongun Min and Kyumyung Choi and Maciej J. Ciesielski and Seiyang Yang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A fast two-pass {HDL} simulation with on-demand dump}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {422--427}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483987}, doi = {10.1109/ASPDAC.2008.4483987}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShimCKBKKKMCCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShrivastavaID08, author = {Aviral Shrivastava and Ilya Issenin and Nikil D. Dutt}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A Compiler-in-the-Loop framework to explore Horizontally Partitioned Cache architectures}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {328--333}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483968}, doi = {10.1109/ASPDAC.2008.4483968}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ShrivastavaID08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SinghalOE08, author = {Love Singhal and Sejong Oh and Eli Bozorgzadeh}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Statistical power profile correlation for realistic thermal estimation}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {67--70}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484038}, doi = {10.1109/ASPDAC.2008.4484038}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SinghalOE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SomersP08, author = {Marc Somers and JoAnn M. Paul}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Webpage-based benchmarks for mobile device design}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {795--800}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484060}, doi = {10.1109/ASPDAC.2008.4484060}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SomersP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SomnathB08, author = {Somnath Paul and Swarup Bhunia}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{MBARC:} {A} scalable memory based reconfigurable computing framework for nanoscale devices}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {77--82}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484057}, doi = {10.1109/ASPDAC.2008.4484057}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SomnathB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SubramaniamSWC08, author = {Anupama R. Subramaniam and Ritu Singhal and Chi{-}Chao Wang and Yu Cao}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Design rule optimization of regular layout for leakage reduction in nanoscale design}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {474--479}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483997}, doi = {10.1109/ASPDAC.2008.4483997}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SubramaniamSWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SunHLW08, author = {Jin Sun and Yue Huang and Jun Li and Janet Meiling Wang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Chebyshev Affine Arithmetic based parametric yield prediction under limited descriptions of uncertainty}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {531--536}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484008}, doi = {10.1109/ASPDAC.2008.4484008}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SunHLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TakataM08, author = {Taiga Takata and Yusuke Matsunaga}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Area recovery under depth constraint by Cut Substitution for technology mapping for LUT-based FPGAs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {144--147}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483928}, doi = {10.1109/ASPDAC.2008.4483928}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TakataM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TangX08, author = {Shan Tang and Qiang Xu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A debug probe for concurrently debugging multiple embedded cores and inter-core transactions in NoC-based systems}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {416--421}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483986}, doi = {10.1109/ASPDAC.2008.4483986}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TangX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TanimuraNKSSTYO08, author = {Kazuyuki Tanimura and Ryuta Nara and Shunitsu Kohara and Kazunori Shimizu and Youhua Shi and Nozomu Togawa and Masao Yanagisawa and Tatsuo Ohtsuki}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Scalable unified dual-radix architecture for Montgomery multiplication in {GF(P)} and GF(2\({}^{\mbox{n}}\))}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {697--702}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484041}, doi = {10.1109/ASPDAC.2008.4484041}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TanimuraNKSSTYO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TanjiWA08, author = {Yuichi Tanji and Takayuki Watanabe and Hideki Asai}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Generating stable and sparse reluctance/inductance matrix under insufficient conditions}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {164--169}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483932}, doi = {10.1109/ASPDAC.2008.4483932}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TanjiWA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TayadeNA08, author = {Rajeshwary Tayade and Sani R. Nassif and Jacob A. Abraham}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Analytical model for the impact of multiple input switching noise on timing}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {514--517}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484005}, doi = {10.1109/ASPDAC.2008.4484005}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TayadeNA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TomiokaT08, author = {Yoichi Tomioka and Atsushi Takahashi}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Routability driven modification method of monotonic via assignment for 2-layer Ball Grid Array packages}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {238--243}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483949}, doi = {10.1109/ASPDAC.2008.4483949}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TomiokaT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TripathiJKP08, author = {Pushkar Tripathi and Rohan Jain and Srikanth Kurra and Preeti Ranjan Panda}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{REWIRED} - Register Write Inhibition by Resource Dedication}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {28--31}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483960}, doi = {10.1109/ASPDAC.2008.4483960}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TripathiJKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TsaiLW08, author = {Ming{-}Chao Tsai and Yung{-}Chia Lin and Ting{-}Chi Wang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {An MILP-based wire spreading algorithm for PSM-aware layout modification}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {364--369}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483975}, doi = {10.1109/ASPDAC.2008.4483975}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TsaiLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Tseng08, author = {F. C. Tseng}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {The future of semiconductor industry - {A} foundry's perspective}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {558}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484013}, doi = {10.1109/ASPDAC.2008.4484013}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Tseng08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/UbarDRJ08, author = {Raimund Ubar and Sergei Devadze and Jaan Raik and Artur Jutman}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Parallel fault backtracing for calculation of fault coverage}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {667--672}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484035}, doi = {10.1109/ASPDAC.2008.4484035}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/UbarDRJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/VaradanWH08, author = {Sridhar Varadan and Janet Meiling Wang and Jiang Hu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Handling partial correlations in yield prediction}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {543--548}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484010}, doi = {10.1109/ASPDAC.2008.4484010}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/VaradanWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/VergheseRH08, author = {Nishath Verghese and Richard Rouse and Philippe Hurat}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Predictive models and {CAD} methodology for pattern dependent variability}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {213--218}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483943}, doi = {10.1109/ASPDAC.2008.4483943}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/VergheseRH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/VermaBI08, author = {Ajay Kumar Verma and Philip Brisk and Paolo Ienne}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Fast, quasi-optimal, and pipelined instruction-set extensions}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {334--339}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483970}, doi = {10.1109/ASPDAC.2008.4483970}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/VermaBI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangFXC08, author = {Kui Wang and Hao Fang and Hu Xu and Xu Cheng}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A fast incremental clock skew scheduling algorithm for slack optimization}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {492--497}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484000}, doi = {10.1109/ASPDAC.2008.4484000}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangFXC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangHLL08, author = {Fei Wang and Yu Hu and Huawei Li and Xiaowei Li}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {571--576}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484017}, doi = {10.1109/ASPDAC.2008.4484017}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangHLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangLD08, author = {Dawei Wang and Sikun Li and Yong Dou}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Collaborative hardware/software partition of coarse-grained reconfigurable system using evolutionary ant colony optimization}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {679--684}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484037}, doi = {10.1109/ASPDAC.2008.4484037}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangSCH08, author = {Xiaoyi Wang and Jin Shi and Yici Cai and Xianlong Hong}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Heuristic power/ground network and floorplan co-design method}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {617--622}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484025}, doi = {10.1109/ASPDAC.2008.4484025}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangSCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangWX08, author = {Feng Wang and Xiaoxia Wu and Yuan Xie}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Variability-driven module selection with joint design time optimization and post-silicon tuning}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {2--9}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483963}, doi = {10.1109/ASPDAC.2008.4483963}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangWX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangZCHHB08, author = {Yanfeng Wang and Qiang Zhou and Yici Cai and Jiang Hu and Xianlong Hong and Jinian Bian}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Low power clock buffer planning methodology in {F-D} placement for large scale circuit design}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {370--375}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483977}, doi = {10.1109/ASPDAC.2008.4483977}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangZCHHB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WenWGZ08, author = {Mei Wen and Nan Wu and Maolin Guan and Chunyuan Zhang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Load scheduling: Reducing pressure on distributed register files for free}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {340--345}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483971}, doi = {10.1109/ASPDAC.2008.4483971}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WenWGZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WuM08, author = {Kai{-}Chiang Wu and Diana Marculescu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Soft error rate reduction using redundancy addition and removal}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {559--564}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484014}, doi = {10.1109/ASPDAC.2008.4484014}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WuM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YanG08a, author = {Chao Yan and Mark R. Greenstreet}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Faster projection based methods for circuit level verification}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {410--415}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483985}, doi = {10.1109/ASPDAC.2008.4483985}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YanG08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YanL08, author = {Shan Yan and Bill Lin}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Application-specific Network-on-Chip architecture synthesis based on set partitions and Steiner Trees}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {277--282}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483955}, doi = {10.1109/ASPDAC.2008.4483955}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YanL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Yang08, author = {Hoonmo Yang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Floating-point reconfiguration array processor for 3D graphics physics engine}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483956}, doi = {10.1109/ASPDAC.2008.4483956}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Yang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YoonSPAJP08, author = {Jonghee W. Yoon and Aviral Shrivastava and Sanghyun Park and Minwook Ahn and Reiley Jeyapaul and Yunheung Paek}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{SPKM} : {A} novel graph drawing based algorithm for application mapping onto coarse-grained reconfigurable architectures}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {776--782}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484056}, doi = {10.1109/ASPDAC.2008.4484056}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YoonSPAJP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuVH08, author = {Heng Yu and Bharadwaj Veeravalli and Yajun Ha}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Dynamic scheduling of imprecise-computation tasks in maximizing QoS under energy constraints for embedded systems}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {452--455}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483993}, doi = {10.1109/ASPDAC.2008.4483993}, timestamp = {Fri, 25 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YuVH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YunKBCJ08, author = {ChangRyul Yun and DongSoo Kang and YoungHwan Bae and Hanhn Cho and KyoungSon Jhang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Automatic interface synthesis based on the classification of interface protocols of IPs}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {589--594}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484020}, doi = {10.1109/ASPDAC.2008.4484020}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YunKBCJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangC08, author = {Sushu Zhang and Karam S. Chatha}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Automated techniques for energy efficient scheduling on homogeneous and heterogeneous chip multi-processor architectures}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {61--66}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484026}, doi = {10.1109/ASPDAC.2008.4484026}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangLZCH08, author = {Ling Zhang and Jianhua Liu and Haikun Zhu and Chung{-}Kuan Cheng and Masanori Hashimoto}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {High performance current-mode differential logic}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {720--725}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484046}, doi = {10.1109/ASPDAC.2008.4484046}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangLZCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangSJ08, author = {Lihong Zhang and C.{-}J. Richard Shi and Yingtao Jiang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Symmetry-aware placement with transitive closure graphs for analog layout design}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {180--185}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483936}, doi = {10.1109/ASPDAC.2008.4483936}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhengH08, author = {Yexin Zheng and Chao Huang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Reconfigurable RTD-based circuit elements of complete logic functionality}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {71--76}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484045}, doi = {10.1109/ASPDAC.2008.4484045}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhengH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouSJZ08, author = {Guofei Zhou and Li Su and Depeng Jin and Lieguang Zeng}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A delay model for interconnect trees based on {ABCD} matrix}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {510--513}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484004}, doi = {10.1109/ASPDAC.2008.4484004}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouSJZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhuLZC08, author = {Yi Zhu and Jianhua Liu and Haikun Zhu and Chung{-}Kuan Cheng}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Timing-power optimization for mixed-radix Ling adders by integer linear programming}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {131--137}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483926}, doi = {10.1109/ASPDAC.2008.4483926}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhuLZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2008, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4480121/proceeding}, isbn = {978-1-4244-1921-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.