Search dblp for Publications

export results for "toc:db/conf/aspdac/aspdac2000.bht:"

 download as .bib file

@inproceedings{DBLP:conf/aspdac/Aikyo00,
  author       = {Takashi Aikyo},
  title        = {Issues on {SOC} testing in {DSM} area: embedded tutorial},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {515--516},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368775},
  doi          = {10.1145/368434.368775},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Aikyo00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Arnout00,
  author       = {Guido Arnout},
  title        = {SystemC standard},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {573--578},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368808},
  doi          = {10.1145/368434.368808},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Arnout00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BrownAM00,
  author       = {Stephen S. Brown and
                  Jeet Asher and
                  William H. Mangione{-}Smith},
  title        = {Offline program re-mapping to improve branch prediction efficiency
                  in embedded systems},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368588},
  doi          = {10.1145/368434.368588},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BrownAM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CaldwellKM00,
  author       = {Andrew E. Caldwell and
                  Andrew B. Kahng and
                  Igor L. Markov},
  title        = {Improved algorithms for hypergraph bipartitioning},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {661--666},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368864},
  doi          = {10.1145/368434.368864},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CaldwellKM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CamposanoCGSO00,
  author       = {Raul Camposano and
                  Olivier Coudert and
                  Patrick Groeneveld and
                  Leon Stok and
                  Ralph H. J. M. Otten},
  title        = {Timing closure: the solution and its problems},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {359--364},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368681},
  doi          = {10.1145/368434.368681},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CamposanoCGSO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChandramouliS00,
  author       = {Ramamurti Chandramouli and
                  Vamsi K. Srikantam},
  title        = {On mixture density and maximum likelihood power estimation via expectation-maximization},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {423--428},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368723},
  doi          = {10.1145/368434.368723},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChandramouliS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChangL00,
  author       = {Hong{-}Kai Chang and
                  Youn{-}Long Lin},
  title        = {Array allocation taking into account {SDRAM} characteristics},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {497--502},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368769},
  doi          = {10.1145/368434.368769},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChangL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CharbonSM00,
  author       = {Edoardo Charbon and
                  Lu{\'{\i}}s Miguel Silveira and
                  Paolo Miliozzi},
  title        = {A benchmark suite for substrate analysis},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {617--622},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368835},
  doi          = {10.1145/368434.368835},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CharbonSM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenKRZ00,
  author       = {Yu Chen and
                  Andrew B. Kahng and
                  Gabriel Robins and
                  Alexander Zelikovsky},
  title        = {Monte-Carlo algorithms for layout density control},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {523--528},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368778},
  doi          = {10.1145/368434.368778},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenKRZ00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenS00,
  author       = {Chunhong Chen and
                  Majid Sarrafzadeh},
  title        = {Power reduction by simultaneous voltage scaling and gate sizing},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {333--338},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368668},
  doi          = {10.1145/368434.368668},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CongKLLWX00,
  author       = {Jason Cong and
                  Tianming Kong and
                  Faming Liang and
                  Jun S. Liu and
                  Wing Hung Wong and
                  Dongmin Xu},
  title        = {Dynamic weighting Monte Carlo for constrained floorplan designs in
                  mixed signal application},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {277--282},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368634},
  doi          = {10.1145/368434.368634},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CongKLLWX00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CongL00,
  author       = {Jason Cong and
                  Sung Kyu Lim},
  title        = {Edge separability based circuit clustering with application to circuit
                  partitioning},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {429--434},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368728},
  doi          = {10.1145/368434.368728},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CongL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CongL00a,
  author       = {Jason Cong and
                  Sung Kyu Lim},
  title        = {Performance driven multiway partitioning},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {441--446},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368736},
  doi          = {10.1145/368434.368736},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CongL00a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CongX00,
  author       = {Jason Cong and
                  Songjie Xu},
  title        = {Invited talk: synthesis challenges for next-generation high-performance
                  and high-density PLDs},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {157--162},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368596},
  doi          = {10.1145/368434.368596},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CongX00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DebnathS00,
  author       = {Debatosh Debnath and
                  Tsutomu Sasao},
  title        = {Exact minimization of fixed polarity Reed-Muller expressions for incompletely
                  specified functions},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {247--252},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368622},
  doi          = {10.1145/368434.368622},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DebnathS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DeguchiKW00,
  author       = {Takahiro Deguchi and
                  Tetsushi Koide and
                  Shin'ichi Wakabayashi},
  title        = {Timing-driven hierarchical global routing with wire-sizing and buffer-insertion
                  for {VLSI} with multi-routing-layer},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {99--104},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368586},
  doi          = {10.1145/368434.368586},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DeguchiKW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DomerG00,
  author       = {Rainer D{\"{o}}mer and
                  Daniel Gajski},
  title        = {Reuse and protection of intellectual property in the SpecC system},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {49--54},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368510},
  doi          = {10.1145/368434.368510},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DomerG00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DucS00,
  author       = {Nguyen Minh Duc and
                  Takayasu Sakurai},
  title        = {Compact yet high performance (CyHP) library for short time-to-market
                  with new technologies},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {475--480},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368758},
  doi          = {10.1145/368434.368758},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DucS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ErnstJ00,
  author       = {Rolf Ernst and
                  Ahmed Amine Jerraya},
  title        = {embedded system design with multiple languages: embedded tutorial},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {391--396},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368701},
  doi          = {10.1145/368434.368701},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ErnstJ00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FanCG00,
  author       = {Nong Fan and
                  Viraphol Chaiyakul and
                  Daniel Gajski},
  title        = {Usage-based characterization of complex functional blocks for reuse
                  in behavioral synthesis},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368508},
  doi          = {10.1145/368434.368508},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FanCG00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FangTWH00,
  author       = {Shuzhou Fang and
                  Xiaobo Tang and
                  Zeyi Wang and
                  Xianlong Hong},
  title        = {A simplified hybrid method for calculating the frequency-dependent
                  inductances of transmission lines with rectangular cross section},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {453--456},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368745},
  doi          = {10.1145/368434.368745},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FangTWH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FlakeD00,
  author       = {Peter Flake and
                  Simon J. Davidmann},
  title        = {Superlog, a unified design language for system-on-chip},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {583--586},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368814},
  doi          = {10.1145/368434.368814},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FlakeD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ForthM00,
  author       = {Riccardo Forth and
                  Paul Molitor},
  title        = {An efficient heuristic for state encoding minimizing the {BDD} representations
                  of the transistion relations of finite state machines},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368519},
  doi          = {10.1145/368434.368519},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ForthM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FujitaOFOT00,
  author       = {Tomohiro Fujita and
                  Ken{-}ichi Okada and
                  Hiroaki Fujita and
                  Hidetoshi Onodera and
                  Keikichi Tamaru},
  title        = {A method for linking process-level variability to system performances},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {547--552},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368785},
  doi          = {10.1145/368434.368785},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FujitaOFOT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FuruieSYOS00,
  author       = {Makoto Furuie and
                  Bao{-}Yu Song and
                  Yukihiro Yoshida and
                  Takao Onoye and
                  Isao Shirakawa},
  title        = {Layout generation of array cell for {NMOS} 4-phase dynamic logic (short
                  paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {529--532},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368780},
  doi          = {10.1145/368434.368780},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FuruieSYOS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GajskiWCMNB00,
  author       = {Daniel Gajski and
                  Allen C.{-}H. Wu and
                  Viraphol Chaiyakul and
                  Shojiro Mori and
                  Tom Nukiyama and
                  Pierre Bricaud},
  title        = {Embedded tutorial: essential issues for {IP} reuse},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {37--42},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368504},
  doi          = {10.1145/368434.368504},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GajskiWCMNB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GhazalNR00,
  author       = {Naji Ghazal and
                  A. Richard Newton and
                  Jan M. Rabaey},
  title        = {Retargetable estimation scheme for {DSP} architecture selection},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {485--490},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368766},
  doi          = {10.1145/368434.368766},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GhazalNR00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GivargisVH00,
  author       = {Tony Givargis and
                  Frank Vahid and
                  J{\"{o}}rg Henkel},
  title        = {A hybrid approach for core-based system-level power modeling},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {141--146},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368593},
  doi          = {10.1145/368434.368593},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GivargisVH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GouraryRUZGM00,
  author       = {Mark M. Gourary and
                  Sergey G. Rusakov and
                  Sergey L. Ulyanov and
                  Michael M. Zharov and
                  Kiran K. Gullapalli and
                  Brian J. Mulvaney},
  title        = {The enchancing of efficiency of the harmonic balance analysis by adaptation
                  of preconditioner to circuit nonlinearity},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {537--540},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368783},
  doi          = {10.1145/368434.368783},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GouraryRUZGM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GuWH00,
  author       = {Jiangchun Gu and
                  Zeyi Wang and
                  Xianlong Hong},
  title        = {Hierarchical computation of 3-D interconnect capacitance using direct
                  boundary element method},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {447--452},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368741},
  doi          = {10.1145/368434.368741},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GuWH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Gupta00,
  author       = {Rajesh Gupta},
  title        = {Embedded tutorial: {IC} design technology for building system-on-a-chip},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {301--302},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368642},
  doi          = {10.1145/368434.368642},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Gupta00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HartensteinHHN00,
  author       = {Reiner W. Hartenstein and
                  Michael Herz and
                  Thomas Hoffmann and
                  Ulrich Nageldinger},
  title        = {KressArray Xplorer: a new {CAD} environment to optimize reconfigurable
                  datapath array},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {163--168},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368597},
  doi          = {10.1145/368434.368597},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HartensteinHHN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HigamiTSK00,
  author       = {Yoshinobu Higami and
                  Yuzo Takamatsu and
                  Kewal K. Saluja and
                  Kozo Kinoshita},
  title        = {Fault models and test generation for {IDDQ} testing: embedded tutorial},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {509--514},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368773},
  doi          = {10.1145/368434.368773},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HigamiTSK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HongKPP00,
  author       = {Inki Hong and
                  Darko Kirovski and
                  Miodrag Potkonjak and
                  Marios C. Papaefthymiou},
  title        = {Symbolic debugging of globally optimized behavioral specifications},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {397--400},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368703},
  doi          = {10.1145/368434.368703},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HongKPP00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HongW00,
  author       = {Jin{-}Hua Hong and
                  Cheng{-}Wen Wu},
  title        = {Radix-4 modular multiplication and exponentiation algorithms for the
                  {RSA} public-key cryptosystem},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {565--570},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368726},
  doi          = {10.1145/368434.368726},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HongW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HsuHPW00,
  author       = {Yuan{-}Bao Hsu and
                  Kao{-}Shing Hwang and
                  Chien{-}Yuan Pao and
                  Jinn{-}Shyan Wang},
  title        = {A new {CMAC} neural network architecture and its {ASIC} realization},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {481--484},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368763},
  doi          = {10.1145/368434.368763},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HsuHPW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangC00,
  author       = {Ing{-}Jer Huang and
                  Dao{-}Zhen Chen},
  title        = {A new approach to assembly software retargeting for microcontrollers},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {229--234},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368615},
  doi          = {10.1145/368434.368615},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangC00a,
  author       = {Jiun{-}Lang Huang and
                  Kwang{-}Ting Cheng},
  title        = {A sigma-delta modulation based {BIST} scheme for mixed-signal circuits},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {605--612},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368830},
  doi          = {10.1145/368434.368830},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangC00a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangHW00,
  author       = {Chih{-}Tsun Huang and
                  Jing{-}Reng Huang and
                  Cheng{-}Wen Wu},
  title        = {A programmable built-in self-test core for embedded memories},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {11--12},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368474},
  doi          = {10.1145/368434.368474},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangHW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangLSJ00,
  author       = {Heng{-}Liang Huang and
                  Jiing{-}Yuan Lin and
                  Wen{-}Zen Shen and
                  Jing{-}Yang Jou},
  title        = {A new method for constructing {IP} level power model based on power
                  sensitivity},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {135--140},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368592},
  doi          = {10.1145/368434.368592},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangLSJ00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/IguchiSMI00,
  author       = {Yukihiro Iguchi and
                  Tsutomu Sasao and
                  Munehiro Matsuura and
                  Atsumu Iseno},
  title        = {A hardware simulation engine based on decision diagrams (short paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {73--76},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368525},
  doi          = {10.1145/368434.368525},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/IguchiSMI00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ImaiSSBGRFY00,
  author       = {Masaharu Imai and
                  Gary Smith and
                  Steven Schulz and
                  Karen Bartleson and
                  Daniel Gajski and
                  Wolfgang Rosenstiel and
                  Peter Flake and
                  Hiroto Yasuura},
  title        = {One language or more?: how can we design an SoC at a system level?},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {653--654},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368859},
  doi          = {10.1145/368434.368859},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ImaiSSBGRFY00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ImligKSONIIN00,
  author       = {Norbert Imlig and
                  Ryusuke Konishi and
                  Tsunemichi Shiozawa and
                  Kiyoshi Oguri and
                  Kouichi Nagami and
                  Hideyuki Ito and
                  Minoru Inamori and
                  Hiroshi Nakada},
  title        = {Communicating logic: an alternative embedded stream processing paradigm},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {317--322},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368659},
  doi          = {10.1145/368434.368659},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ImligKSONIIN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/IshiharaKA00,
  author       = {Fujio Ishihara and
                  Christian Klinger and
                  Ken{-}ichi Agawa},
  title        = {Clock design of 300MHz 128-bit 2-way superscalar microprocessor},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {647--652},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368857},
  doi          = {10.1145/368434.368857},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/IshiharaKA00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Ito00,
  author       = {Kazuhito Ito},
  title        = {A scheduling and allocation method to reduce data transfer time by
                  dynamic reconfiguration},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {323--328},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368663},
  doi          = {10.1145/368434.368663},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Ito00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JeonJLYL00,
  author       = {Young{-}Deuk Jeon and
                  Byeong{-}Lyeol Jeon and
                  Seung{-}Chul Lee and
                  Sang{-}Min Yoo and
                  Seung{-}Hoon Lee},
  title        = {A 12b 50 MHz 3.3V {CMOS} acquisition time minimized {A/D} converter},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {613--616},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368832},
  doi          = {10.1145/368434.368832},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JeonJLYL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JeongYLC00,
  author       = {Byungil Jeong and
                  Sungjoo Yoo and
                  Sunghyun Lee and
                  Kiyoung Choi},
  title        = {Hardware-software cosynthesis for run-time incrementally reconfigurable
                  FPGAs},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {169--174},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368598},
  doi          = {10.1145/368434.368598},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JeongYLC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KalK00,
  author       = {W. K. Kal and
                  S. Y. Kim},
  title        = {An analytic calculation method for delay time of RC-class interconnects},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {457--462},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368750},
  doi          = {10.1145/368434.368750},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KalK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KameiTOSTKNISMIEAK00,
  author       = {Takayuki Kamei and
                  Hideaki Takeda and
                  Yukio Ootaguro and
                  Takayoshi Shimazawa and
                  Kazuhiko Tachibana and
                  Shin'ichi Kawakami and
                  Seiji Norimatsu and
                  Fujio Ishihara and
                  Toshinori Sato and
                  Hiroaki Murakami and
                  Nobuhiro Ide and
                  Yukio Endo and
                  Akira Aono and
                  Atsushi Kunimatsu},
  title        = {300MHz design methodology of {VU} for emotion synthesis},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {635--640},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368847},
  doi          = {10.1145/368434.368847},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KameiTOSTKNISMIEAK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KawaguchiSA00,
  author       = {Takahiro Kawaguchi and
                  Takayuki Suzuki and
                  Hideharu Amano},
  title        = {A floating point arithmetic unit for a static scheduling and compiler
                  oriented multiprocessor system},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {31--32},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368493},
  doi          = {10.1145/368434.368493},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KawaguchiSA00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KawakamiFYIWCKCYL00,
  author       = {Yoshiyuki Kawakami and
                  Jingkun Fang and
                  Hirokazu Yonezawa and
                  Nobufusa Iwanishi and
                  Lifeng Wu and
                  Alvin I{-}Hsien Chen and
                  Norio Koike and
                  Ping Chen and
                  Chune{-}Sin Yeh and
                  Zhihong Liu},
  title        = {Gate-level aged timing simulation methodology for hot-carrier reliability
                  assurance},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {289--294},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368636},
  doi          = {10.1145/368434.368636},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KawakamiFYIWCKCYL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KenningsM00,
  author       = {Andrew A. Kennings and
                  Igor L. Markov},
  title        = {Analytical minimization of half-perimeter wirelength},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {179--184},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368600},
  doi          = {10.1145/368434.368600},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KenningsM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KikuchiSHM00,
  author       = {Jun Kikuchi and
                  Tetsuo Sasaki and
                  Tohru Hashimoto and
                  Kazuhisa Miyamoto},
  title        = {Delay-optimal wiring plan for the microprocessor of high performance
                  computing machines},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {265--270},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368629},
  doi          = {10.1145/368434.368629},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KikuchiSHM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimU00,
  author       = {Taewhan Kim and
                  Junhyung Um},
  title        = {A timing-driven synthesis of arithmetic circuits using carry-save-adders
                  (short paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {313--316},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368656},
  doi          = {10.1145/368434.368656},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimU00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimuraKTAW00,
  author       = {Shinji Kimura and
                  Hiroyuki Kida and
                  Kazuyoshi Takagi and
                  Tatsumori Abematsu and
                  Katsumasa Watanabe},
  title        = {An application specific Java processor with reconfigurabilities},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {25--26},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368489},
  doi          = {10.1145/368434.368489},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimuraKTAW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KinLMP00,
  author       = {Johnson S. Kin and
                  Chunho Lee and
                  William H. Mangione{-}Smith and
                  Miodrag Potkonjak},
  title        = {A technique for QoS-based system partitioning},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {241--246},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368620},
  doi          = {10.1145/368434.368620},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KinLMP00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KojimaPKOMITSTHTY00,
  author       = {Norman Kojima and
                  Yukiko Parameswar and
                  Christian Klingner and
                  Yukio Ohtaguro and
                  Masataka Matsui and
                  Shigeaki Iwasa and
                  Tatsuo Teruyama and
                  Takayoshi Shimazawa and
                  Hideki Takeda and
                  Kouji Hashizume and
                  Haruyuki Tago and
                  Masaaki Yamada},
  title        = {Repeater insertion method and its application to a 300MHz 128-bit
                  2-way superscalar microprocessor},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {641--646},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368852},
  doi          = {10.1145/368434.368852},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KojimaPKOMITSTHTY00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KuboTNK00,
  author       = {Yukiko Kubo and
                  Yasuhiro Takashima and
                  Shigetoshi Nakatake and
                  Yoji Kajitani},
  title        = {Self-reforming routing for stochastic search in {VLSI} interconnection
                  layout},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {87--92},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368584},
  doi          = {10.1145/368434.368584},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KuboTNK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KuhnR00,
  author       = {Tommy Kuhn and
                  Wolfgang Rosenstiel},
  title        = {Java based object oriented hardware specification and synthesis},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {579--582},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368809},
  doi          = {10.1145/368434.368809},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KuhnR00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KwonPK00,
  author       = {Young{-}Su Kwon and
                  In{-}Cheol Park and
                  Chong{-}Min Kyung},
  title        = {A hardware accelerator for the specular intensity of phong illumination
                  model in 3-dimensional graphics},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {559--564},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368789},
  doi          = {10.1145/368434.368789},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KwonPK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeCYL00,
  author       = {Seung{-}Min Lee and
                  Jin{-}Hong Chung and
                  Hying{-}S. Yoon and
                  Mike Myung{-}Ok Lee},
  title        = {High speed and ultra-low power 16{\texttimes}16 {MAC} deisgn using
                  {TG} techniques for web-based multimedia system},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {17--18},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368483},
  doi          = {10.1145/368434.368483},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeCYL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeLLLKJ00,
  author       = {J.{-}K. Lee and
                  Seung{-}Min Lee and
                  Mike Myung{-}Ok Lee and
                  D.{-}W. Lee and
                  Y.{-}C. Kim and
                  S.{-}J. Jeong},
  title        = {Design of digital neural cell scheduler for intelligent {IB-ATM} switch},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {7--8},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368466},
  doi          = {10.1145/368434.368466},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeLLLKJ00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeS00,
  author       = {Seongsoo Lee and
                  Takayasu Sakurai},
  title        = {Run-time power control scheme using software feedback loop for low-power
                  real-time application},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {381--386},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368693},
  doi          = {10.1145/368434.368693},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeW00,
  author       = {Hsun{-}Cheng Lee and
                  Ting{-}Chi Wang},
  title        = {Feasible two-way circuit partitioning with complex resource constraints},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {435--440},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368731},
  doi          = {10.1145/368434.368731},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeungTC00,
  author       = {Oliver Yuk{-}Hang Leung and
                  Chi{-}Ying Tsui and
                  Roger S. Cheng},
  title        = {{VLSI} implementation of rake receiver for {IS-95} {CDMA} Testbed
                  using {FPGA}},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {3--4},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368454},
  doi          = {10.1145/368434.368454},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeungTC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Leupers00,
  author       = {Rainer Leupers},
  title        = {Register allocation for common subexpressions in {DSP} data paths},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {235--240},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368618},
  doi          = {10.1145/368434.368618},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Leupers00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LimKKC00,
  author       = {Joonho Lim and
                  Dong{-}Gyu Kim and
                  Sang{-}Chul Kang and
                  Soo{-}Ik Chae},
  title        = {An 8{\texttimes}8 nRERL serial multiplier for ultra-low-power aplications},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {35--36},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368497},
  doi          = {10.1145/368434.368497},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LimKKC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Lin00,
  author       = {Albert Lin},
  title        = {Taiwan foundry for system-in-package {(SIP)}},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {197--204},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368604},
  doi          = {10.1145/368434.368604},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Lin00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinL00,
  author       = {Michael C.{-}J. Lin and
                  Youn{-}Long Lin},
  title        = {A {VLSI} implementation of the blowfish encryption/decryption algorithm},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {1--2},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368449},
  doi          = {10.1145/368434.368449},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinL00a,
  author       = {Chieh Lin and
                  Domine M. W. Leenaerts},
  title        = {A new efficient method for substrate-aware device-level placement
                  (short paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {533--536},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368781},
  doi          = {10.1145/368434.368781},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinL00a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiouKCMK00,
  author       = {Jing{-}Jia Liou and
                  Angela Krstic and
                  Kwang{-}Ting Cheng and
                  Deb Aditya Mukherjee and
                  Sandip Kundu},
  title        = {Performance sensitivity analysis using statistical method and its
                  applications to delay},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {587--592},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368817},
  doi          = {10.1145/368434.368817},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiouKCMK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuD00,
  author       = {Minqing Liu and
                  Wayne Wei{-}Ming Dai},
  title        = {Modeling and analysis of integrated spiral inductors for {RF} system-in-package},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {211--216},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368607},
  doi          = {10.1145/368434.368607},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LongWB00,
  author       = {Wangning Long and
                  Yu{-}Liang Wu and
                  Jinian Bian},
  title        = {{IBAW:} an implication-tree based alternative-wiring logic transformation
                  algorithm},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {415--422},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368720},
  doi          = {10.1145/368434.368720},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LongWB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MiyamaK00,
  author       = {Mikako Miyama and
                  Shiro Kamohara},
  title        = {Circuit performance oriented device optimization using {BSIM3} pre-silicon
                  model parameters},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {371--374},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368684},
  doi          = {10.1145/368434.368684},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MiyamaK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MoZYZ00,
  author       = {Fan Mo and
                  Yihua Zhang and
                  Jun Yu and
                  Qianling Zhang},
  title        = {An algorithm for {VLSI} implementation of highly efficient cubic-polynomial
                  evaluation},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {13--14},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368477},
  doi          = {10.1145/368434.368477},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MoZYZ00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MonteiroO00,
  author       = {Jos{\'{e}} C. Monteiro and
                  Arlindo L. Oliveira},
  title        = {{FSM} decomposition by direct circuit manipulation applied to low
                  power design},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {351--358},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368678},
  doi          = {10.1145/368434.368678},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MonteiroO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MukherjeeJTF00,
  author       = {Rajarshi Mukherjee and
                  Jawahar Jain and
                  Koichiro Takayama and
                  Masahiro Fujita},
  title        = {Automatic partitioning for efficient combinatorial verification},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {67--72},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368521},
  doi          = {10.1145/368434.368521},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MukherjeeJTF00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MurakoshiMNI00,
  author       = {Kenichi Murakoshi and
                  Takashi Morie and
                  Makoto Nagata and
                  Atsushi Iwata},
  title        = {An arbitrary chaos generator core curcuit using {PWM/PPM} signals},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {23--24},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368488},
  doi          = {10.1145/368434.368488},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MurakoshiMNI00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NagataI00,
  author       = {Makoto Nagata and
                  Atsushi Iwata},
  title        = {Substrate crosstalk analysis in mixed signal {CMOS} integrated circuits:
                  embedded tutorial},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {623--630},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368837},
  doi          = {10.1145/368434.368837},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NagataI00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NakamuraMKW00,
  author       = {Kazuhiro Nakamura and
                  Shinji Maruoka and
                  Shinji Kimura and
                  Katsumasa Watanabe},
  title        = {Multi-clock path analysis using propositional satisfiability},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {81--86},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368533},
  doi          = {10.1145/368434.368533},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NakamuraMKW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NamLKIKKK00,
  author       = {Sang{-}Joon Nam and
                  Jun{-}Hee Lee and
                  Byoung{-}Woon Kim and
                  Yeon{-}Ho Im and
                  Young{-}Su Kwon and
                  Kyong{-}Gu Kang and
                  Chong{-}Min Kyung},
  title        = {Fast development of source-level debugging system using hardware emulation
                  (short paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {401--404},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368706},
  doi          = {10.1145/368434.368706},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NamLKIKKK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NezukaFIA00,
  author       = {Tomohiro Nezuka and
                  Takafumi Fujita and
                  Makoto Ikeda and
                  Kunihiro Asada},
  title        = {A binary image sensor with flexible motion vector detection using
                  block matching method},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {21--22},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368487},
  doi          = {10.1145/368434.368487},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NezukaFIA00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NishimuraSH00,
  author       = {Naoki Nishimura and
                  Takahiro Sasaki and
                  Tetsuo Hironaka},
  title        = {Prototype microprocessor {LSI} with scheduling support hardware for
                  operating system on multiprocessor system},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {29--30},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368491},
  doi          = {10.1145/368434.368491},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NishimuraSH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NoseS00,
  author       = {Koichi Nose and
                  Takayasu Sakurai},
  title        = {Optimization of {VDD} and {VTH} for low-power and high speed applications},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {469--474},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368755},
  doi          = {10.1145/368434.368755},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NoseS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OhH00,
  author       = {Hyunok Oh and
                  Soonhoi Ha},
  title        = {Data memory minimization by sharing large size buffers},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {491--496},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368768},
  doi          = {10.1145/368434.368768},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/OhH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OhtakeWMF00,
  author       = {Satoshi Ohtake and
                  Hiroki Wada and
                  Toshimitsu Masuzawa and
                  Hideo Fujiwara},
  title        = {A non-scan {DFT} method at register-transfer level to achieve complete
                  fault efficiency},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {599--604},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368825},
  doi          = {10.1145/368434.368825},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/OhtakeWMF00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OkadaYK00,
  author       = {Kazuhisa Okada and
                  Takayuki Yamanouchi and
                  Takashi Kambe},
  title        = {A cell synthesis method for salicide process},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {517--522},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368777},
  doi          = {10.1145/368434.368777},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/OkadaYK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PedramW00,
  author       = {Massoud Pedram and
                  Xunwei Wu},
  title        = {Analysis of power-clocked {CMOS} with application to the design of
                  energy-recovery circuits},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {339--344},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368670},
  doi          = {10.1145/368434.368670},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/PedramW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PiS00,
  author       = {Tao Pi and
                  C.{-}J. Richard Shi},
  title        = {Analog-testability analysis by determinant-decision-diagrams based
                  symbolic analysis},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {541--546},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368784},
  doi          = {10.1145/368434.368784},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/PiS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/QuWP00,
  author       = {Gang Qu and
                  Jennifer L. Wong and
                  Miodrag Potkonjak},
  title        = {Fair watermarking techniques},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {55--60},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368512},
  doi          = {10.1145/368434.368512},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/QuWP00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Rabaey00,
  author       = {Jan M. Rabaey},
  title        = {Low-power silicon architecture for wireless communications: embedded
                  tutorial},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {377--380},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368691},
  doi          = {10.1145/368434.368691},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Rabaey00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RaeP00,
  author       = {Allan Rae and
                  Sri Parameswaran},
  title        = {Voltage reduction of application-specific heterogeneous multiprocessor
                  systems for power minimisation},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {147--152},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368594},
  doi          = {10.1145/368434.368594},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RaeP00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RamanathanJG00,
  author       = {Dinesh Ramanathan and
                  Ravindra Jejurikar and
                  Rajesh K. Gupta},
  title        = {Timing driven co-design of networked embedded systems},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {117--122},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368589},
  doi          = {10.1145/368434.368589},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RamanathanJG00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RoyIN00,
  author       = {Subir K. Roy and
                  Hiroaki Iwashita and
                  Tsuneo Nakata},
  title        = {Formal verification based on assume and guarantee approach - a case
                  study (short paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {77--80},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368530},
  doi          = {10.1145/368434.368530},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RoyIN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SakamotoULOTT00,
  author       = {Hirofumi Sakamoto and
                  Ken'ichiro Uda and
                  Bu{-}Yeol Lee and
                  Hiroyuki Ochi and
                  Kazuo Taki and
                  Takao Tsuda},
  title        = {A 16-bit redundant binary multiplier using low-power pass-transistor
                  logic {SPL}},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {33--34},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368495},
  doi          = {10.1145/368434.368495},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SakamotoULOTT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Sakurai00,
  author       = {Takayasu Sakurai},
  title        = {Design challenges for 0.1um and beyond: embedded tutorial},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {553--558},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368787},
  doi          = {10.1145/368434.368787},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Sakurai00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SasakiMTSKN00,
  author       = {Hiroshi Sasaki and
                  Hitoshi Maruyama and
                  Hideaki Tsukioka and
                  Nobuyoshi Shoji and
                  Hiroaki Kobayashi and
                  Tadao Nakamura},
  title        = {Reconfigurable synchronized dataflow processor},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {27--28},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368490},
  doi          = {10.1145/368434.368490},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SasakiMTSKN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SasaoK00,
  author       = {Tsutomu Sasao and
                  Ken{-}ichi Kurimoto},
  title        = {Three parameters to find functional decompositions},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {259--264},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368627},
  doi          = {10.1145/368434.368627},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SasaoK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SaxenaAS00,
  author       = {Nina Saxena and
                  Jacob A. Abraham and
                  Avijit Saha},
  title        = {Causality based generation of directed test cases},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {503--508},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368771},
  doi          = {10.1145/368434.368771},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SaxenaAS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Schultz00,
  author       = {Steven E. Schultz},
  title        = {An introduction to {SLDL} and Rosetta},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {571--572},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368792},
  doi          = {10.1145/368434.368792},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Schultz00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SemeriaG00,
  author       = {Luc S{\'{e}}m{\'{e}}ria and
                  Abhijit Ghosh},
  title        = {Methodology for hardware/software co-verification in {C/C++} (short
                  paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {405--408},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368712},
  doi          = {10.1145/368434.368712},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SemeriaG00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShinC00,
  author       = {Youngsoo Shin and
                  Kiyoung Choi},
  title        = {Narrow bus encoding for low power systems},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {217--220},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368609},
  doi          = {10.1145/368434.368609},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShinC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Strojwas00,
  author       = {Andrzej J. Strojwas},
  title        = {Design for manufacturability: a path from system level to high yielding
                  chips: embedded tutorial},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {375--376},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368687},
  doi          = {10.1145/368434.368687},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Strojwas00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SundararajanP00,
  author       = {Vijay Sundararajan and
                  Keshab K. Parhi},
  title        = {Synthesis of low power folded programmable coefficient {FIR} digital
                  filters (short paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {153--156},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368595},
  doi          = {10.1145/368434.368595},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SundararajanP00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SundararajanP00a,
  author       = {Vijay Sundararajan and
                  Keshab K. Parhi},
  title        = {Data transmission over a bus with peak-limited transition activity},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {221--224},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368611},
  doi          = {10.1145/368434.368611},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SundararajanP00a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TagoHINSY00,
  author       = {Haruyuki Tago and
                  Kazuhiro Hashimoto and
                  Nobuyuki Ikumi and
                  Masato Nagamatsu and
                  Masakazu Suzuoki and
                  Yasuyuki Yamamoto},
  title        = {Importance of {CAD} tools and methodology in high speed {CPU} design:
                  invited talk},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {631--634},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368842},
  doi          = {10.1145/368434.368842},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TagoHINSY00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Tai00,
  author       = {King L. Tai},
  title        = {System-in-package {(SIP):} challenges and opportunities},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {191--196},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368603},
  doi          = {10.1145/368434.368603},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Tai00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Takahashi00,
  author       = {Toshihiko Takahashi},
  title        = {A new encoding scheme for rectangle packing problem},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {175--178},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368599},
  doi          = {10.1145/368434.368599},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Takahashi00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TakahashiIYK00,
  author       = {Mizuki Takahashi and
                  Nagisa Ishiura and
                  Akihisa Yamada and
                  Takashi Kambe},
  title        = {Thread partitioning method for hardware compiler bach},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {303--308},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368647},
  doi          = {10.1145/368434.368647},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TakahashiIYK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TakedaHNMI00,
  author       = {Noriaki Takeda and
                  Mitsuru Homma and
                  Makoto Nagata and
                  Takashi Morie and
                  Atsushi Iwata},
  title        = {A smart imager for the vision processing front-END},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {19--20},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368485},
  doi          = {10.1145/368434.368485},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TakedaHNMI00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TanS00,
  author       = {Xiang{-}Dong Tan and
                  C.{-}J. Richard Shi},
  title        = {Symbolic circuit-noise analysis and modeling with determinant decision
                  diagrams},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {283--288},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368635},
  doi          = {10.1145/368434.368635},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TanS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TanakaTOMHM00,
  author       = {Masayasu Tanaka and
                  N. Tokida and
                  T. Okagaki and
                  Mitiko Miura{-}Mattausch and
                  Walter Hansch and
                  Hans J{\"{u}}rgen Mattausch},
  title        = {High performance of short-channel MOSFETs due to an elevated central-channel
                  doping},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {365--370},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368682},
  doi          = {10.1145/368434.368682},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TanakaTOMHM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TangCSC00,
  author       = {Tin{-}Y. Tang and
                  Chiu{-}sing Choy and
                  Pui{-}Lam Siu and
                  Cheong{-}Fat Chan},
  title        = {Design of self-timed asynchronous Booth's multiplier},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {15--16},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368480},
  doi          = {10.1145/368434.368480},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TangCSC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Terasawa00,
  author       = {Tsuneo Terasawa},
  title        = {Embedded tutorial: subwavelength lithography},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {295--300},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368638},
  doi          = {10.1145/368434.368638},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Terasawa00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TienL00,
  author       = {Tzu{-}Chieh Tien and
                  Youn{-}Long Lin},
  title        = {Performance-optimal clustering with retiming for sequential circuits},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {409--414},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368716},
  doi          = {10.1145/368434.368716},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TienL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TogawaIYO00,
  author       = {Nozomu Togawa and
                  Masayuki Ienaga and
                  Masao Yanagisawa and
                  Tatsuo Ohtsuki},
  title        = {An area/time optimizing algorithm in high-level synthesis for control-based
                  hardwares (short paper)},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {309--312},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368652},
  doi          = {10.1145/368434.368652},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TogawaIYO00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TsaiCA00,
  author       = {Huan{-}Chih Tsai and
                  Kwang{-}Ting Cheng and
                  Vishwani D. Agrawal},
  title        = {A testability metric for path delay faults and its application},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {593--598},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368820},
  doi          = {10.1145/368434.368820},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TsaiCA00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TsuiKL00,
  author       = {Chi{-}Ying Tsui and
                  Louis Chung{-}Yin Kwan and
                  Chin{-}Tau Lea},
  title        = {{VLSI} implementation of a switch fabric for mixed {ATM} and {IP}
                  traffic},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {5--6},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368463},
  doi          = {10.1145/368434.368463},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TsuiKL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TsujiiBT00,
  author       = {Naofumi Tsujii and
                  Katsutoshi Baba and
                  Shuji Tsukiyama},
  title        = {An interconnect topology optimization by a tree transformation},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {93--98},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368585},
  doi          = {10.1145/368434.368585},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TsujiiBT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/UsamiI00,
  author       = {Kimiyoshi Usami and
                  Mutsunori Igarashi},
  title        = {Low-power design methodology and applications utilizing dual supply
                  voltages},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {123--128},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368590},
  doi          = {10.1145/368434.368590},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/UsamiI00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WakabayashiKTYU00,
  author       = {Shin'ichi Wakabayashi and
                  Tetsushi Koide and
                  Naoyoshi Toshine and
                  Masataka Yamane and
                  Hajime Ueno},
  title        = {Genetic algorithm accelerator {GAA-II}},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {9--10},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368470},
  doi          = {10.1145/368434.368470},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WakabayashiKTYU00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangLCS00,
  author       = {Maogang Wang and
                  Sung Kyu Lim and
                  Jason Cong and
                  Majid Sarrafzadeh},
  title        = {Multi-way partitioning using bi-partition heuristics},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {667--672},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368865},
  doi          = {10.1145/368434.368865},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangLCS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangS00,
  author       = {Maogang Wang and
                  Majid Sarrafzadeh},
  title        = {Modeling and minimization of routing congestion},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {185--190},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368601},
  doi          = {10.1145/368434.368601},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangSDLOT00,
  author       = {Michael X. Wang and
                  Katsuharu Suzuki and
                  Wayne Wei{-}Ming Dai and
                  Yee L. Low and
                  Kevin J. O'Conner and
                  King L. Tai},
  title        = {Integration of large-scale {FPGA} and {DRAM} in a package using chip-on-chip
                  technology},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {205--210},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368606},
  doi          = {10.1145/368434.368606},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangSDLOT00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangY00,
  author       = {Jinn{-}Shyan Wang and
                  Po{-}Hui Yang},
  title        = {Power analysis and implementation of a low-power 300 MHz 8-b {\texttimes}
                  8-b pipelined multiplier},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {225--228},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368612},
  doi          = {10.1145/368434.368612},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangY00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuQP00,
  author       = {Qing Wu and
                  Qinru Qiu and
                  Massoud Pedram},
  title        = {An interleaved dual-battery power supply for battery-operated electronics},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {387--390},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368697},
  doi          = {10.1145/368434.368697},
  timestamp    = {Tue, 12 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuQP00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuWPW00,
  author       = {Xunwei Wu and
                  Jian Wei and
                  Massoud Pedram and
                  Qing Wu},
  title        = {Low-power design of sequential circuits using a quasi-synchronous
                  derived clock},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {345--350},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368672},
  doi          = {10.1145/368434.368672},
  timestamp    = {Tue, 12 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuWPW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuYC00,
  author       = {Yu{-}Liang Wu and
                  Xiao{-}Long Yuan and
                  David Ihsin Cheng},
  title        = {Circuit partitioning with coupled logic restructuring techniques},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {655--660},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368862},
  doi          = {10.1145/368434.368862},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuYC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XieW00,
  author       = {Yuan Xie and
                  Wayne H. Wolf},
  title        = {Co-synthesis with custom ASICs},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {129--134},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368591},
  doi          = {10.1145/368434.368591},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/XieW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YamashinaM00,
  author       = {Masakazu Yamashina and
                  Masato Motomura},
  title        = {Reconfigurable computing: its concept and a practical embodiment using
                  newly developed dynamically reconfigurable logic {(DRL)} {LSI:} invited
                  talk},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {329--332},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368666},
  doi          = {10.1145/368434.368666},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YamashinaM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YamashitaSN00,
  author       = {Shigeru Yamashita and
                  Hiroshi Sawada and
                  Akira Nagoya},
  title        = {An efficient framework of using various decomposition methods to synthesize
                  {LUT} networks and its evaluation},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {253--258},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368624},
  doi          = {10.1145/368434.368624},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YamashitaSN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YanBCH00,
  author       = {Yan Zhang and
                  Baohua Wang and
                  Yici Cai and
                  Xianlong Hong},
  title        = {Area routing oriented hierarchical corner stitching with partial bin},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {105--110},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368587},
  doi          = {10.1145/368434.368587},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YanBCH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangKC00,
  author       = {Xiaodong Yang and
                  Walter H. Ku and
                  Chung{-}Kuan Cheng},
  title        = {A new efficient waveform simulation method for {RLC} interconnect
                  via amplitude and phase approximation},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {463--468},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368752},
  doi          = {10.1145/368434.368752},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangKC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuHC00,
  author       = {Hong Yu and
                  Xianlong Hong and
                  Yici Cai},
  title        = {{MMP:} a novel placement algorithm for combined macro block and standard
                  cell layout design},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {271--276},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368632},
  doi          = {10.1145/368434.368632},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuHC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/aspdac/2000,
  title        = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434},
  doi          = {10.1145/368434},
  isbn         = {0-7803-5974-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/2000.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics