Search dblp for Publications

export results for "stream:streams/conf/issoc:"

 download as .bib file

@inproceedings{DBLP:conf/issoc/AbdallahTAA14,
  author       = {Feriel Ben Abdallah and
                  Chiraz Trabelsi and
                  Rabie Ben Atitallah and
                  Mourad Abed},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Early power-aware Design Space Exploration for embedded systems: {MPEG-2}
                  case study},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972450},
  doi          = {10.1109/ISSOC.2014.6972450},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AbdallahTAA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ArnoldF14,
  author       = {Oliver Arnold and
                  Gerhard P. Fettweis},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Adaptive runtime management of heterogenous MPSoCs: Analysis, acceleration
                  and silicon prototype},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972444},
  doi          = {10.1109/ISSOC.2014.6972444},
  timestamp    = {Wed, 15 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ArnoldF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ArnoldNF14,
  author       = {Oliver Arnold and
                  Felix Neumaerker and
                  Gerhard P. Fettweis},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {L2{\_}ISA++: Instruction set architecture extensions for 4G and LTE-advanced
                  MPSoCs},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972439},
  doi          = {10.1109/ISSOC.2014.6972439},
  timestamp    = {Wed, 15 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ArnoldNF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BroichN14,
  author       = {Martin Broich and
                  Tobias G. Noll},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Optimal data path widths for energy- and area-efficient Max-Log-MAP
                  based {LTE} Turbo decoders},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972447},
  doi          = {10.1109/ISSOC.2014.6972447},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BroichN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BucekKLZ14,
  author       = {Jir{\'{\i}} Bucek and
                  Pavel Kubal{\'{\i}}k and
                  R{\'{o}}bert L{\'{o}}rencz and
                  Tom{\'{a}}s Zahradnick{\'{y}}},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {System on chip design of a linear system solver},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972445},
  doi          = {10.1109/ISSOC.2014.6972445},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BucekKLZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CuppiniSMC14,
  author       = {Matteo Cuppini and
                  Eleonora Franchi Scarselli and
                  Claudio Mucci and
                  Roberto Canegallo},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Soft-core eFPGA for Smart Power applications},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972431},
  doi          = {10.1109/ISSOC.2014.6972431},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CuppiniSMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DubrovaNCS14,
  author       = {Elena Dubrova and
                  Mats N{\"{a}}slund and
                  Gunnar Carlsson and
                  Ben J. M. Smeets},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Keyed logic {BIST} for Trojan detection in SoC},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972433},
  doi          = {10.1109/ISSOC.2014.6972433},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DubrovaNCS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GregorekO14,
  author       = {Daniel Gregorek and
                  Alberto Garc{\'{\i}}a Ortiz},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A transaction-level framework for design-space exploration of hardware-enhanced
                  operating systems},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972432},
  doi          = {10.1109/ISSOC.2014.6972432},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/GregorekO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuntherBLA14,
  author       = {Daniel G{\"{u}}nther and
                  Andreas Bytyn and
                  Rainer Leupers and
                  Gerd Ascheid},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Energy-efficiency of floating-point and fixed-point {SIMD} cores for
                  {MIMO} processing systems},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972429},
  doi          = {10.1109/ISSOC.2014.6972429},
  timestamp    = {Thu, 26 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuntherBLA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HamalainenS14,
  author       = {Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Erno Salminen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Gamification of System-on-Chip design},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972441},
  doi          = {10.1109/ISSOC.2014.6972441},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HamalainenS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HonkonenMSH14,
  author       = {Mikko Honkonen and
                  Lauri Matilainen and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {{WOKE:} {A} novel workflow model editor},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972442},
  doi          = {10.1109/ISSOC.2014.6972442},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HonkonenMSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HussainHAN14,
  author       = {Waqar Hussain and
                  Henry Hoffmann and
                  Tapani Ahonen and
                  Jari Nurmi},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Constraint-driven frequency scaling in a Coarse Grain Reconfigurable
                  Array},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972451},
  doi          = {10.1109/ISSOC.2014.6972451},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HussainHAN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JosephP14,
  author       = {Jan Moritz Joseph and
                  Thilo Pionteck},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A cycle-accurate Network-on-Chip simulator with support for abstract
                  task graph modeling},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972440},
  doi          = {10.1109/ISSOC.2014.6972440},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JosephP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KachrisSS14,
  author       = {Christoforos Kachris and
                  Georgios Ch. Sirakoulis and
                  Dimitrios Soudris},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A Reconfigurable MapReduce accelerator for multi-core all-programmable
                  SoCs},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972430},
  doi          = {10.1109/ISSOC.2014.6972430},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KachrisSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KellyFSACKRJS14,
  author       = {Wayne Kelly and
                  Martin Flasskamp and
                  Gregor Sievers and
                  Johannes Ax and
                  Jianing Chen and
                  Christian Klarhorst and
                  Christoph Ragg and
                  Thorsten Jungeblut and
                  Andrew Sorensen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A communication model and partitioning algorithm for streaming applications
                  for an embedded MPSoC},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972436},
  doi          = {10.1109/ISSOC.2014.6972436},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/KellyFSACKRJS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KornarosHCA14,
  author       = {George Kornaros and
                  Konstantinos Harteros and
                  Ioannis Christoforakis and
                  Maria Astrinaki},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {{I/O} virtualization utilizing an efficient hardware system-level
                  Memory Management Unit},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972448},
  doi          = {10.1109/ISSOC.2014.6972448},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KornarosHCA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LiuHP14,
  author       = {Pei Liu and
                  Ahmed Hemani and
                  Kolin Paul},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {A many-core hardware acceleration platform for short read mapping
                  problem using distributed memory interface with 3D-stacked architecture},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972452},
  doi          = {10.1109/ISSOC.2014.6972452},
  timestamp    = {Wed, 04 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LiuHP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MeixnerN14,
  author       = {Michael Meixner and
                  Tobias G. Noll},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Limits of gate-level power estimation considering real delay effects
                  and glitches},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972437},
  doi          = {10.1109/ISSOC.2014.6972437},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MeixnerN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SchonbergerH14,
  author       = {Alex Sch{\"{o}}nberger and
                  Klaus Hofmann},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Fast Memory Region: 3D {DRAM} memory concept evaluated for {JPEG2000}
                  algorithm},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972443},
  doi          = {10.1109/ISSOC.2014.6972443},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SchonbergerH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ShibataTTN14,
  author       = {Yuuki Shibata and
                  Takanori Tsumura and
                  Tomoaki Tsumura and
                  Yasuhiko Nakashima},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {An implementation of Auto-Memoization mechanism on ARM-based superscalar
                  processor},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972435},
  doi          = {10.1109/ISSOC.2014.6972435},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ShibataTTN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/UsamiMKTANKN14,
  author       = {Kimiyoshi Usami and
                  Makoto Miyauchi and
                  Masaru Kudo and
                  Kazumitsu Takagi and
                  Hideharu Amano and
                  Mitaro Namiki and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Unbalanced buffer tree synthesis to suppress ground bounce for fine-grain
                  power gating},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972438},
  doi          = {10.1109/ISSOC.2014.6972438},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/UsamiMKTANKN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VirtanenMSH14,
  author       = {Janne Virtanen and
                  Lauri Matilainen and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Implementation of Multicore communications {API}},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972434},
  doi          = {10.1109/ISSOC.2014.6972434},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VirtanenMSH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WehnerG14,
  author       = {Philipp Wehner and
                  Diana G{\"{o}}hringer},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Parallel and distributed simulation of networked multi-core systems},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972446},
  doi          = {10.1109/ISSOC.2014.6972446},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WehnerG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZamanH14,
  author       = {Anam Zaman and
                  Osman Hasan},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {Formal verification of circuit-switched Network on chip (NoC) architectures
                  using {SPIN}},
  booktitle    = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSOC.2014.6972449},
  doi          = {10.1109/ISSOC.2014.6972449},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZamanH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2014,
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Dragomir Milojevic and
                  Ondrej Daniel and
                  Tommi Paakki},
  title        = {2014 International Symposium on System-on-Chip, SoC 2014, Tampere,
                  Finland, October 28-29, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6961602/proceeding},
  isbn         = {978-1-4799-6890-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AmoryMCMLL13,
  author       = {Alexandre M. Amory and
                  Matheus T. Moreira and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Cristiano Lazzari and
                  Marcelo Soares Lubaszewski},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Evaluating the scalability of test buses},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675278},
  doi          = {10.1109/ISSOC.2013.6675278},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AmoryMCMLL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BalboniTFB13,
  author       = {Marco Balboni and
                  Francisco Trivi{\~{n}}o and
                  Jos{\'{e}} Flich and
                  Davide Bertozzi},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Optimizing the overhead for network-on-chip routing reconfiguration
                  in parallel multi-core platforms},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675258},
  doi          = {10.1109/ISSOC.2013.6675258},
  timestamp    = {Mon, 18 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/BalboniTFB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BeldianuZ13,
  author       = {Spiridon F. Beldianu and
                  Sotirios G. Ziavras},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Efficient on-chip vector processing for multicore processors},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675260},
  doi          = {10.1109/ISSOC.2013.6675260},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BeldianuZ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FiguliTGB13,
  author       = {Peter Figuli and
                  Carsten Tradowsky and
                  Nadine Gaertner and
                  J{\"{u}}rgen Becker},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {ViSA: {A} highly efficient slot architecture enabling multi-objective
                  {ASIP} cores},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675270},
  doi          = {10.1109/ISSOC.2013.6675270},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FiguliTGB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GarsideA13,
  author       = {Jamie Garside and
                  Neil C. Audsley},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Prefetching across a shared memory tree within a Network-on-Chip architecture},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675268},
  doi          = {10.1109/ISSOC.2013.6675268},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GarsideA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuindaniM13,
  author       = {Guilherme Montez Guindani and
                  Fernando Gehm Moraes},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Achieving QoS in NoC-based MPSoCs through Dynamic Frequency Scaling},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675275},
  doi          = {10.1109/ISSOC.2013.6675275},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuindaniM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JafriPHPPT13,
  author       = {Syed M. A. H. Jafri and
                  Stanislaw J. Piestrak and
                  Ahmed Hemani and
                  Kolin Paul and
                  Juha Plosila and
                  Hannu Tenhunen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Implementation and evaluation of configuration scrubbing on CGRAs:
                  {A} case study},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675262},
  doi          = {10.1109/ISSOC.2013.6675262},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JafriPHPPT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KamppiMMSH13,
  author       = {Antti Kamppi and
                  Lauri Matilainen and
                  Joni{-}Matti M{\"{a}}{\"{a}}tt{\"{a}} and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Extending {IP-XACT} to embedded system {HW/SW} integration},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675264},
  doi          = {10.1109/ISSOC.2013.6675264},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KamppiMMSH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KuoCCW13,
  author       = {Che{-}Chuan Kuo and
                  Kun{-}Chih Chen and
                  En{-}Jui Chang and
                  An{-}Yeu Wu},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Proactive Thermal-Budget-Based Beltway Routing algorithm for thermal-aware
                  3D NoC systems},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675281},
  doi          = {10.1109/ISSOC.2013.6675281},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KuoCCW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LeppakoskiSH13,
  author       = {Arttu Leppakoski and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Framework for industrial embedded system product development and management},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675265},
  doi          = {10.1109/ISSOC.2013.6675265},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LeppakoskiSH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaattaHKSH13,
  author       = {Joni{-}Matti M{\"{a}}{\"{a}}tt{\"{a}} and
                  Mikko Honkonen and
                  Tommi Korhonen and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Dependency analysis and visualization tool for Kactus2 {IP-XACT} design
                  framework},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675261},
  doi          = {10.1109/ISSOC.2013.6675261},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MaattaHKSH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MarianoGG13,
  author       = {Artur Mariano and
                  Paulo Garcia and
                  Tiago Gomes},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {{SW} and {HW} speculative Nelder-Mead execution for high performance
                  unconstrained optimization},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675279},
  doi          = {10.1109/ISSOC.2013.6675279},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MarianoGG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NalluriAN13,
  author       = {Purnachand Nalluri and
                  Luis Nero Alves and
                  Antonio Navarro},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {A novel {SAD} architecture for variable block size motion estimation
                  in {HEVC} video coding},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675269},
  doi          = {10.1109/ISSOC.2013.6675269},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NalluriAN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NoethenAF13,
  author       = {Benedikt Noethen and
                  Oliver Arnold and
                  Gerhard P. Fettweis},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {On the impact of dynamic data management for distributed local memories
                  in heterogeneous MPSoCs},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675267},
  doi          = {10.1109/ISSOC.2013.6675267},
  timestamp    = {Wed, 15 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/NoethenAF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OdendahlCVLA13,
  author       = {Maximilian Odendahl and
                  Jer{\'{o}}nimo Castrill{\'{o}}n and
                  Vitaliy Volevach and
                  Rainer Leupers and
                  Gerd Ascheid},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Split-cost communication model for improved MPSoC application mapping},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675280},
  doi          = {10.1109/ISSOC.2013.6675280},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OdendahlCVLA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PanicSBVT13,
  author       = {Goran Panic and
                  Oliver Schrape and
                  Thomas Basmer and
                  Frank Vater and
                  Klaus Tittelbach{-}Helmrich},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {{TNODE:} {A} low power sensor node processor for secure wireless networks},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675259},
  doi          = {10.1109/ISSOC.2013.6675259},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PanicSBVT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RathEE13,
  author       = {Alexander W. Rath and
                  Volkan Esen and
                  Wolfgang Ecker},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Comparison of analog transactions using statistics},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675282},
  doi          = {10.1109/ISSOC.2013.6675282},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RathEE13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RuaroCM13,
  author       = {Marcelo Ruaro and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Adaptive QoS techniques for NoC-based MPSoCs},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675274},
  doi          = {10.1109/ISSOC.2013.6675274},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RuaroCM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ShirmohammadiM13,
  author       = {Zahra Shirmohammadi and
                  Seyed Ghassem Miremadi},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Crosstalk avoidance coding for reliable data transmission of network
                  on chips},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675266},
  doi          = {10.1109/ISSOC.2013.6675266},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ShirmohammadiM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Stock0H13,
  author       = {Florian Stock and
                  Andreas Koch and
                  Dietmar Hildenbrand},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {FPGA-accelerated color edge detection using a Geometric-Algebra-to-Verilog
                  compiler},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675272},
  doi          = {10.1109/ISSOC.2013.6675272},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Stock0H13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SuikkanenJSJ13,
  author       = {Essi Suikkanen and
                  Janne Janhunen and
                  Shahriar Shahabuddin and
                  Markku J. Juntti},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Study of adaptive detection for {MIMO-OFDM} systems},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675276},
  doi          = {10.1109/ISSOC.2013.6675276},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SuikkanenJSJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TerraneoZF13,
  author       = {Federico Terraneo and
                  Davide Zoni and
                  William Fornaciari},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {A cycle accurate simulation framework for asynchronous NoC design},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675263},
  doi          = {10.1109/ISSOC.2013.6675263},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TerraneoZF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TurkiMMA13,
  author       = {Mariem Turki and
                  Habib Mehrez and
                  Zied Marrakchi and
                  Mohamed Abid},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Partitioning constraints and signal routing approach for multi-FPGA
                  prototyping platform},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675273},
  doi          = {10.1109/ISSOC.2013.6675273},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TurkiMMA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VishnoiN13,
  author       = {Upasna Vishnoi and
                  Tobias G. Noll},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {A family of modular area- and energy-efficient QRD-accelerator architectures},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675277},
  doi          = {10.1109/ISSOC.2013.6675277},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VishnoiN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhangYYZLJ13,
  author       = {Jiajie Zhang and
                  Zheng Yu and
                  Zhiyi Yu and
                  Kexin Zhang and
                  Zhonghai Lu and
                  Axel Jantsch},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Efficient distributed memory management in a multi-core {H.264} decoder
                  on {FPGA}},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675256},
  doi          = {10.1109/ISSOC.2013.6675256},
  timestamp    = {Tue, 06 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhangYYZLJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhouDPNPB13,
  author       = {Zheng Zhou and
                  Karol Desnos and
                  Maxime Pelcat and
                  Jean{-}Fran{\c{c}}ois Nezan and
                  William Plishker and
                  Shuvra S. Bhattacharyya},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Scheduling of parallelized synchronous dataflow actors},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675271},
  doi          = {10.1109/ISSOC.2013.6675271},
  timestamp    = {Thu, 14 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhouDPNPB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZuoloMZOB13,
  author       = {Lorenzo Zuolo and
                  Gabriele Miorandi and
                  Cristian Zambelli and
                  Piero Olivo and
                  Davide Bertozzi},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {System interconnect extensions for fully transparent demand paging
                  in low-cost MMU-less embedded systems},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675257},
  doi          = {10.1109/ISSOC.2013.6675257},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZuoloMZOB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2013,
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6663741/proceeding},
  isbn         = {978-1-4799-1189-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2013.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Abdel-HafeezSG12,
  author       = {Saleh Abdel{-}Hafeez and
                  Mohammad Shatnawi and
                  Ann Gordon{-}Ross},
  title        = {A double data rate 8T-cell {SRAM} architecture for systems-on-chip},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376347},
  doi          = {10.1109/ISSOC.2012.6376347},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Abdel-HafeezSG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AiroldiSN12,
  author       = {Roberto Airoldi and
                  Piia Saastamoinen and
                  Jari Nurmi},
  title        = {Improving logic-to-memory ratio in an embedded Multi-Processor system
                  via code compression},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376371},
  doi          = {10.1109/ISSOC.2012.6376371},
  timestamp    = {Wed, 16 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/AiroldiSN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Al-KhayatBJ12,
  author       = {Rachid Al{-}Khayat and
                  Amer Baghdadi and
                  Michel J{\'{e}}z{\'{e}}quel},
  title        = {Architecture efficiency of application-specific processors: {A} 170Mbit/s
                  0.644mm\({}^{\mbox{2}}\) multi-standard turbo decoder},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376368},
  doi          = {10.1109/ISSOC.2012.6376368},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Al-KhayatBJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BroichN12,
  author       = {Martin Broich and
                  Tobias G. Noll},
  title        = {Efficient {VLSI} architectures of {QPP} interleavers for {LTE} turbo
                  decoders},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376355},
  doi          = {10.1109/ISSOC.2012.6376355},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BroichN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ChukhmanPB12,
  author       = {Ilya Chukhman and
                  William Plishker and
                  Shuvra S. Bhattacharyya},
  title        = {Instrumentation-driven model detection for dataflow graphs},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376361},
  doi          = {10.1109/ISSOC.2012.6376361},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ChukhmanPB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DeclerckAGAUDRP12,
  author       = {Jeroen Declerck and
                  Prabhat Avasare and
                  Miguel Glassee and
                  Amir Amin and
                  Erik Umans and
                  Andy Dewilde and
                  Praveen Raghavan and
                  Martin Palkovic},
  title        = {A flexible platform architecture for Gbps wireless communication},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376349},
  doi          = {10.1109/ISSOC.2012.6376349},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DeclerckAGAUDRP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuangNPIT12,
  author       = {Liang Guang and
                  Ethiopia Nigussie and
                  Juha Plosila and
                  Jouni Isoaho and
                  Hannu Tenhunen},
  title        = {Coarse and fine-grained monitoring and reconfiguration for energy-efficient
                  NoCs},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376351},
  doi          = {10.1109/ISSOC.2012.6376351},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuangNPIT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HaedickeLGD12,
  author       = {Finn Haedicke and
                  Hoang Minh Le and
                  Daniel Gro{\ss}e and
                  Rolf Drechsler},
  title        = {{CRAVE:} An advanced constrained random verification environment for
                  SystemC},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376356},
  doi          = {10.1109/ISSOC.2012.6376356},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HaedickeLGD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HerrewegeV12,
  author       = {Anthony Van Herrewege and
                  Ingrid Verbauwhede},
  title        = {Tiny application-specific programmable processor for {BCH} decoding},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376358},
  doi          = {10.1109/ISSOC.2012.6376358},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/HerrewegeV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HussainAN12,
  author       = {Waqar Hussain and
                  Tapani Ahonen and
                  Jari Nurmi},
  title        = {Effects of scaling a coarse-grain reconfigurable array on power and
                  energy consumption},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376372},
  doi          = {10.1109/ISSOC.2012.6376372},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HussainAN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KakoeePB12,
  author       = {Mohammad Reza Kakoee and
                  Vladimir Petrovic and
                  Luca Benini},
  title        = {A multi-banked shared-l1 cache architecture for tightly coupled processor
                  clusters},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376362},
  doi          = {10.1109/ISSOC.2012.6376362},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/KakoeePB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LuMS12,
  author       = {Kun Lu and
                  Daniel M{\"{u}}ller{-}Gritschneder and
                  Ulf Schlichtmann},
  title        = {Hierarchical control flow matching for source-level simulation of
                  embedded software},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376366},
  doi          = {10.1109/ISSOC.2012.6376366},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LuMS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MarandolaLCAB12,
  author       = {Jussara Marandola and
                  St{\'{e}}phane Louise and
                  Lo{\"{\i}}c Cudennec and
                  Jean{-}Thomas Acquaviva and
                  David A. Bader},
  title        = {Enhancing Cache Coherent Architectures with access patterns for embedded
                  manycore systems},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376369},
  doi          = {10.1109/ISSOC.2012.6376369},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MarandolaLCAB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MielePS12,
  author       = {Antonio Miele and
                  Christian Pilato and
                  Donatella Sciuto},
  title        = {An automated framework for the simulation of mapping solutions on
                  heterogeneous MPSoCs},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376354},
  doi          = {10.1109/ISSOC.2012.6376354},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MielePS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MollerIOMG12,
  author       = {Leandro M{\"{o}}ller and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Fernando Gehm Moraes and
                  Manfred Glesner},
  title        = {Comparative analysis of dynamic task mapping heuristics in heterogeneous
                  NoC-based MPSoCs},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376357},
  doi          = {10.1109/ISSOC.2012.6376357},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MollerIOMG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NaeemJL12,
  author       = {Abdul Naeem and
                  Axel Jantsch and
                  Zhonghai Lu},
  title        = {Scalability analysis of release and sequential consistency models
                  in NoC based multicore systems},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376350},
  doi          = {10.1109/ISSOC.2012.6376350},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NaeemJL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NiedermeierKS12,
  author       = {Anja Niedermeier and
                  Jan Kuper and
                  Gerard J. M. Smit},
  title        = {Dataflow-based reconfigurable architecture for streaming applications},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376365},
  doi          = {10.1109/ISSOC.2012.6376365},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NiedermeierKS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PlyaskinWH12,
  author       = {Roman Plyaskin and
                  Thomas Wild and
                  Andreas Herkersdorf},
  title        = {System-level software performance simulation considering out-of-order
                  processor execution},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376348},
  doi          = {10.1109/ISSOC.2012.6376348},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PlyaskinWH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RothRESABB12,
  author       = {Christoph Roth and
                  Simon Reder and
                  Gokhan Erdogan and
                  Oliver Sander and
                  Gabriel Marchesan Almeida and
                  Harald Bucher and
                  J{\"{u}}rgen Becker},
  title        = {Asynchronous parallel MPSoC simulation on the Single-Chip Cloud Computer},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376364},
  doi          = {10.1109/ISSOC.2012.6376364},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RothRESABB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TatenguemSGRB12,
  author       = {Herv{\'{e}} Tatenguem and
                  Alessandro Strano and
                  Vineeth Govind and
                  Jaan Raik and
                  Davide Bertozzi},
  title        = {Ultra-low latency NoC testing via pseudo-random test pattern compaction},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376370},
  doi          = {10.1109/ISSOC.2012.6376370},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TatenguemSGRB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TsaoKSKCY12,
  author       = {Shiao{-}Li Tsao and
                  Chih{-}Chen Kao and
                  Ilter Suat and
                  Yuchen Kuo and
                  Yi{-}Hsin Chang and
                  Cheng{-}Kun Yu},
  title        = {PowerMemo: {A} power profiling tool for mobile devices in an emulated
                  wireless environment},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376367},
  doi          = {10.1109/ISSOC.2012.6376367},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TsaoKSKCY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangWJ12,
  author       = {Ling Wang and
                  Zhen Wang and
                  Yingtao Jiang},
  title        = {A hybrid chip interconnection architecture with a global wireless
                  network overlaid on top of a wired network-on-chip},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376359},
  doi          = {10.1109/ISSOC.2012.6376359},
  timestamp    = {Thu, 14 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WangWJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WuALC12,
  author       = {Di Wu and
                  Junwhan Ahn and
                  Imyong Lee and
                  Kiyoung Choi},
  title        = {Resource-shared custom instruction generation under performance/area
                  constraints},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376353},
  doi          = {10.1109/ISSOC.2012.6376353},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WuALC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WuMAD12,
  author       = {Zeqin Wu and
                  Philippe Maurine and
                  Nadine Az{\'{e}}mard and
                  Gilles R. Ducharme},
  title        = {Statistical timing characterization},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376360},
  doi          = {10.1109/ISSOC.2012.6376360},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WuMAD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhangLCKALV12,
  author       = {Diandian Zhang and
                  Li Lu and
                  Jer{\'{o}}nimo Castrill{\'{o}}n and
                  Torsten Kempf and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Bart Vanthournout},
  title        = {Application-aware spinlock control using a hardware scheduler in MPSoC
                  platforms},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376352},
  doi          = {10.1109/ISSOC.2012.6376352},
  timestamp    = {Fri, 01 Dec 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhangLCKALV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZoniCF12,
  author       = {Davide Zoni and
                  Simone Corbetta and
                  William Fornaciari},
  title        = {Thermal/performance trade-off in network-on-chip architectures},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376363},
  doi          = {10.1109/ISSOC.2012.6376363},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ZoniCF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2012,
  title        = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6362324/proceeding},
  isbn         = {978-1-4673-2895-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BadawiH11,
  author       = {Mohammad Badawi and
                  Ahmed Hemani},
  title        = {A coarse-grained reconfigurable protocol processor},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {102--107},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089688},
  doi          = {10.1109/ISSOC.2011.6089688},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BadawiH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BhattiPBMW11,
  author       = {Zubair Wadood Bhatti and
                  Davy Preuveneers and
                  Yolande Berbers and
                  Narasinga Rao Miniskar and
                  Roel Wuyts},
  title        = {{SAMOSA:} Scratchpad aware mapping of streaming applications},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {48--55},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089687},
  doi          = {10.1109/ISSOC.2011.6089687},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BhattiPBMW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BortolottiPPMRB11,
  author       = {Daniele Bortolotti and
                  Francesco Paterna and
                  Christian Pinto and
                  Andrea Marongiu and
                  Martino Ruggiero and
                  Luca Benini},
  title        = {Exploring instruction caching strategies for tightly-coupled shared-memory
                  clusters},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {34--41},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089691},
  doi          = {10.1109/ISSOC.2011.6089691},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/BortolottiPPMRB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BrunelliAB11,
  author       = {Claudio Brunelli and
                  Eero Aho and
                  Heikki Berg},
  title        = {OpenCL implementation of Cholesky matrix decomposition},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {62--67},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089694},
  doi          = {10.1109/ISSOC.2011.6089694},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BrunelliAB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Damaj11,
  author       = {Issam W. Damaj},
  title        = {Co-designs of parallel Rijndael},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {72--77},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089220},
  doi          = {10.1109/ISSOC.2011.6089220},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Damaj11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FerraresiGLB11,
  author       = {Marco Ferraresi and
                  Giuseppina Gobbo and
                  Daniele Ludovici and
                  Davide Bertozzi},
  title        = {Bringing Network-on-Chip links to 45nm},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {122--127},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089686},
  doi          = {10.1109/ISSOC.2011.6089686},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FerraresiGLB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuzmaPT11,
  author       = {Vladim{\'{\i}}r Guzma and
                  Teemu Pitk{\"{a}}nen and
                  Jarmo Takala},
  title        = {Effects of loop unrolling and use of instruction buffer on processor
                  energy consumption},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {82--85},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089224},
  doi          = {10.1109/ISSOC.2011.6089224},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuzmaPT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HoppnerWES11,
  author       = {Sebastian H{\"{o}}ppner and
                  Dennis Walter and
                  Georg Ellguth and
                  Ren{\'{e}} Sch{\"{u}}ffny},
  title        = {Mismatch characterization of high-speed NoC links using asynchronous
                  sub-sampling},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {112--115},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089695},
  doi          = {10.1109/ISSOC.2011.6089695},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HoppnerWES11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/IkegayaOYTMN11,
  author       = {Tomoki Ikegaya and
                  Ryosuke Oda and
                  Tatsuhiro Yamada and
                  Tomoaki Tsumura and
                  Hiroshi Matsuo and
                  Yasuhiko Nakashima},
  title        = {A hybrid model of speculative execution and scout threading for auto-memoization
                  processor},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {22--28},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089225},
  doi          = {10.1109/ISSOC.2011.6089225},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/IkegayaOYTMN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JaaskelainenSET11,
  author       = {Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and
                  Erno Salminen and
                  Otto Esko and
                  Jarmo Takala},
  title        = {Customizable Datapath Integrated Lock Unit},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {29--33},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089685},
  doi          = {10.1109/ISSOC.2011.6089685},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JaaskelainenSET11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KhanSMHN11,
  author       = {Subayal Khan and
                  Jukka Saastamoinen and
                  Mikko Majanen and
                  Jyrki Huusko and
                  Jari Nurmi},
  title        = {Analyzing transport and {MAC} layer in system-level performance simulation},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089223},
  doi          = {10.1109/ISSOC.2011.6089223},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KhanSMHN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KretzschmarALJZ11,
  author       = {Uli Kretzschmar and
                  Armando Astarloa and
                  Jes{\'{u}}s L{\'{a}}zaro and
                  Jaime Jimenez and
                  Aitzol Zuloaga},
  title        = {An automatic experimental set-up for robustness analysis of designs
                  implemented on {SRAM} {FPGAS}},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {96--101},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089684},
  doi          = {10.1109/ISSOC.2011.6089684},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KretzschmarALJZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KrsticFGHSBKSB11,
  author       = {Milos Krstic and
                  Xin Fan and
                  Eckhard Grass and
                  Christoph Heer and
                  Birgit Sanders and
                  Luca Benini and
                  Mohammad Reza Kakoee and
                  Alessandro Strano and
                  Davide Bertozzi},
  title        = {Moonrake chip - {GALS} demonstrator in 40 nm {CMOS} technology},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {9--13},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089693},
  doi          = {10.1109/ISSOC.2011.6089693},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/KrsticFGHSBKSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MalikH11,
  author       = {Omer Malik and
                  Ahmed Hemani},
  title        = {Synchronizing distributed state machines in a coarse grain reconfigurable
                  architecture},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {128--135},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089690},
  doi          = {10.1109/ISSOC.2011.6089690},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MalikH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ModarressiNJ11,
  author       = {Mehdi Modarressi and
                  Seyed Hossein Nikounia and
                  Amir Hossein Jahangir},
  title        = {Low-power arithmetic unit for {DSP} applications},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {68--71},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089696},
  doi          = {10.1109/ISSOC.2011.6089696},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ModarressiNJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MoiseevZKS11,
  author       = {Mikhail J. Moiseev and
                  Alexey V. Zakharov and
                  Ilya Klotchkov and
                  Sergey I. Salishev},
  title        = {Static analysis method for deadlock detection in SystemC designs},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {42--47},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089227},
  doi          = {10.1109/ISSOC.2011.6089227},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MoiseevZKS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OlivaPNPA11,
  author       = {Yaset Oliva and
                  Maxime Pelcat and
                  Jean{-}Fran{\c{c}}ois Nezan and
                  Jean{-}Christophe Pr{\'{e}}votet and
                  Slaheddine Aridhi},
  title        = {Building a {RTOS} for MPSoC dataflow programming},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {143--146},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089218},
  doi          = {10.1109/ISSOC.2011.6089218},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/OlivaPNPA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PekkarinenLSH11,
  author       = {Esko Pekkarinen and
                  Lasse Lehtonen and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {A set of traffic models for Network-on-Chip benchmarking},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {78--81},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089221},
  doi          = {10.1109/ISSOC.2011.6089221},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PekkarinenLSH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RethinagiriAD11,
  author       = {Santhosh Kumar Rethinagiri and
                  Rabie Ben Atitallah and
                  Jean{-}Luc Dekeyser},
  title        = {A system level power consumption estimation for MPSoC},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {56--61},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089692},
  doi          = {10.1109/ISSOC.2011.6089692},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RethinagiriAD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalminenHH11,
  author       = {Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Marko H{\"{a}}nnik{\"{a}}inen},
  title        = {Applying {IP-XACT} in product data management},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {86--91},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089226},
  doi          = {10.1109/ISSOC.2011.6089226},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalminenHH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SchmutzlerLSB11,
  author       = {Christoph Schmutzler and
                  Abdallah Lakhtel and
                  Martin Simons and
                  J{\"{u}}rgen Becker},
  title        = {Increasing energy efficiency of automotive E/E-architectures with
                  Intelligent Communication Controllers for FlexRay},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {92--95},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089228},
  doi          = {10.1109/ISSOC.2011.6089228},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SchmutzlerLSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ShengSOLA11,
  author       = {Weihua Sheng and
                  Stefan Sch{\"{u}}rmans and
                  Maximilian Odendahl and
                  Rainer Leupers and
                  Gerd Ascheid},
  title        = {Automatic calibration of streaming applications for software mapping
                  exploration},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {136--142},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089217},
  doi          = {10.1109/ISSOC.2011.6089217},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ShengSOLA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SiyoumGMNC11,
  author       = {Firew Siyoum and
                  Marc Geilen and
                  Orlando Moreira and
                  Rick J. M. Nas and
                  Henk Corporaal},
  title        = {Analyzing synchronous dataflow scenarios for dynamic software-defined
                  radio applications},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {14--21},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089222},
  doi          = {10.1109/ISSOC.2011.6089222},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SiyoumGMNC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TiteVOC11,
  author       = {Teodor Tite and
                  Adelina Vig and
                  Nicolae Olteanu and
                  Cristian Cuna},
  title        = {moviTest: {A} Test Environment dedicated to multi-core embedded architectures},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {108--111},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089689},
  doi          = {10.1109/ISSOC.2011.6089689},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TiteVOC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WegnerGT11,
  author       = {Tim Wegner and
                  Martin Gag and
                  Dirk Timmermann},
  title        = {Impact of proactive temperature management on performance of Networks-on-Chip},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {116--121},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089219},
  doi          = {10.1109/ISSOC.2011.6089219},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WegnerGT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2011,
  title        = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6085645/proceeding},
  isbn         = {978-1-4577-0671-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AarnioBV10,
  author       = {Tomi Aarnio and
                  Claudio Brunelli and
                  Timo Viitanen},
  title        = {Efficient floating-point texture decompression},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {31--34},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625555},
  doi          = {10.1109/ISSOC.2010.5625555},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AarnioBV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AbdallahGD10,
  author       = {Adolf Samir Abdallah and
                  Abdoulaye Gamati{\'{e}} and
                  Jean{-}Luc Dekeyser},
  title        = {Correct and energy-efficient design of SoCs: The {H.264} encoder case
                  study},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {115--120},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625558},
  doi          = {10.1109/ISSOC.2010.5625558},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AbdallahGD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AiroldiGAN10,
  author       = {Roberto Airoldi and
                  Fabio Garzia and
                  Omer Anjum and
                  Jari Nurmi},
  title        = {Homogeneous MPSoC as baseband signal processing engine for {OFDM}
                  systems},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {26--30},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625562},
  doi          = {10.1109/ISSOC.2010.5625562},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AiroldiGAN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AliARSAH10,
  author       = {Zulfiqar Ali and
                  Ali Arshad and
                  Umair Razzaq and
                  Sawaira Sana and
                  Abdul Haseeb Ahmed and
                  Abdullah M. Harris},
  title        = {Design and implementation of an {OS-CFAR} processor based on a new
                  rank order filtering algorithm},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {158--162},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625543},
  doi          = {10.1109/ISSOC.2010.5625543},
  timestamp    = {Tue, 02 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/AliARSAH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BraakBHKVZ10,
  author       = {Timon D. ter Braak and
                  S. T. Burgess and
                  H. Hurskainen and
                  Hans G. Kerkhoff and
                  Bart Vermeulen and
                  Xiao Zhang},
  title        = {On-line dependability enhancement of multiprocessor SoCs by resource
                  management},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {103--110},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625564},
  doi          = {10.1109/ISSOC.2010.5625564},
  timestamp    = {Wed, 24 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/BraakBHKVZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BrunelliAN10,
  author       = {Claudio Brunelli and
                  Roberto Airoldi and
                  Jari Nurmi},
  title        = {Implementation and benchmarking of {FFT} algorithms on multicore platforms},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {59--62},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625561},
  doi          = {10.1109/ISSOC.2010.5625561},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BrunelliAN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Chakraborty10,
  author       = {Samarjit Chakraborty},
  title        = {Multiprocessor system and software design for distributed control
                  applications},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {179},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625533},
  doi          = {10.1109/ISSOC.2010.5625533},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Chakraborty10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CoenenSWN10,
  author       = {Thomas Coenen and
                  Jochen Schleifer and
                  Oliver Wei{\ss} and
                  Tobias G. Noll},
  title        = {Interconnect routing of embedded FPGAs using standard {VLSI} routing
                  tools},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {121--124},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625549},
  doi          = {10.1109/ISSOC.2010.5625549},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CoenenSWN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DiasRS10,
  author       = {Tiago Dias and
                  Nuno Roma and
                  Leonel Sousa},
  title        = {{H.264/AVC} framework for multi-core embedded video encoders},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625538},
  doi          = {10.1109/ISSOC.2010.5625538},
  timestamp    = {Fri, 02 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/DiasRS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DoanRSM10,
  author       = {Nguyen Anh Vu Doan and
                  Fr{\'{e}}d{\'{e}}ric Robert and
                  Yves De Smet and
                  Dragomir Milojevic},
  title        = {MCDA-based methodology for efficient 3D-design space exploration and
                  decision},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {76--83},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625544},
  doi          = {10.1109/ISSOC.2010.5625544},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DoanRSM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FindenigE10,
  author       = {Rainer Findenig and
                  Wolfgang Ecker},
  title        = {State chart refinement validation from approximately timed to cycle
                  callable models},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {72--75},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625551},
  doi          = {10.1109/ISSOC.2010.5625551},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FindenigE10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GenserBSWH10,
  author       = {Andreas Genser and
                  Christian Bachmann and
                  Christian Steger and
                  Reinhold Weiss and
                  Josef Haid},
  title        = {Power emulation based {DVFS} efficiency investigations for embedded
                  systems},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {173--178},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625559},
  doi          = {10.1109/ISSOC.2010.5625559},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GenserBSWH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GhofraniJSN10,
  author       = {Amirali Ghofrani and
                  Fatemeh Javaheri and
                  Saeed Safari and
                  Zainalabedin Navabi},
  title        = {Automatic selection of efficient observability points in combinational
                  gate level circuits using particle swarm optimization},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {111--114},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625531},
  doi          = {10.1109/ISSOC.2010.5625531},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GhofraniJSN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuzmaPT10,
  author       = {Vladim{\'{\i}}r Guzma and
                  Teemu Pitk{\"{a}}nen and
                  Jarmo Takala},
  title        = {Reducing instruction memory energy consumption by using Instruction
                  Buffer and after scheduling analysis},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {99--102},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625536},
  doi          = {10.1109/ISSOC.2010.5625536},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuzmaPT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HeyrmanV10,
  author       = {Kris Heyrman and
                  Peter Veelaert},
  title        = {Useful-state encoding: Network control with minimal redundancy},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {146--149},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625552},
  doi          = {10.1109/ISSOC.2010.5625552},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HeyrmanV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HoppnerWES10,
  author       = {Sebastian H{\"{o}}ppner and
                  Dennis Walter and
                  Holger Eisenreich and
                  Ren{\'{e}} Sch{\"{u}}ffny},
  title        = {Efficient compensation of delay variations in high-speed network-on-chip
                  data links},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {55--58},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625534},
  doi          = {10.1109/ISSOC.2010.5625534},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HoppnerWES10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HussainGN10,
  author       = {Waqar Hussain and
                  Fabio Garzia and
                  Jari Nurmi},
  title        = {Exploiting control management to accelerate Radix-4 {FFT} on a reconfigurable
                  platform},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {154--157},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625545},
  doi          = {10.1109/ISSOC.2010.5625545},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HussainGN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JacksonH10,
  author       = {Chris Jackson and
                  Simon J. Hollis},
  title        = {Skip-links: {A} dynamically reconfiguring topology for energy-efficient
                  NoCs},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {49--54},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625537},
  doi          = {10.1109/ISSOC.2010.5625537},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JacksonH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KellisGRMB10,
  author       = {Spencer S. Kellis and
                  Nathaniel Gaskin and
                  Bennion Redd and
                  Eric D. Marsman and
                  Richard Brown},
  title        = {Hybrid on-chip clocking for sensor nodes},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {129--132},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625540},
  doi          = {10.1109/ISSOC.2010.5625540},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KellisGRMB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KhanOTN10,
  author       = {Subayal Khan and
                  Eila Ovaska and
                  Kari Tiensyrj{\"{a}} and
                  Jari Nurmi},
  title        = {From Y-chart to seamless integration of application design and performance
                  simulation},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {18--25},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625563},
  doi          = {10.1109/ISSOC.2010.5625563},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KhanOTN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KlimmHSB10,
  author       = {Alexander Klimm and
                  Matthias Haas and
                  Oliver Sander and
                  J{\"{u}}rgen Becker},
  title        = {A flexible integrated cryptoprocessor for authentication protocols
                  based on hyperelliptic curve cryptography},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {35--42},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625557},
  doi          = {10.1109/ISSOC.2010.5625557},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KlimmHSB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KorbN10,
  author       = {Matthias Korb and
                  Tobias G. Noll},
  title        = {{LDPC} decoder area, timing, and energy models for early quantitative
                  hardware cost estimates},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {169--172},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625546},
  doi          = {10.1109/ISSOC.2010.5625546},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KorbN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaattaIOMGMN10,
  author       = {Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Fernando Gehm Moraes and
                  Jari Nurmi},
  title        = {A case study of hierarchically heterogeneous application modelling
                  using {UML} and Ptolemy {II}},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {68--71},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625554},
  doi          = {10.1109/ISSOC.2010.5625554},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MaattaIOMGMN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaattaSLHH10,
  author       = {Lasse M{\"{a}}{\"{a}}tt{\"{a}} and
                  Jukka Suhonen and
                  Teemu Laukkarinen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Marko H{\"{a}}nnik{\"{a}}inen},
  title        = {Program image dissemination protocol for low-energy multihop wireless
                  sensor networks},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {133--138},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625550},
  doi          = {10.1109/ISSOC.2010.5625550},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MaattaSLHH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MehtaDD10,
  author       = {Usha Sandeep Mehta and
                  Niranjan M. Devashrayee and
                  Kankar S. Dasgupta},
  title        = {Hamming Distance Based 2-D Reordering with Power Efficient Don't Care
                  Bit Filling: Optimizing the test data compression method},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625560},
  doi          = {10.1109/ISSOC.2010.5625560},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MehtaDD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NadeemWKSNA10,
  author       = {Muhammad Nadeem and
                  Stephan Wong and
                  Georgi Kuzmanov and
                  Ahsan Shabbir and
                  Muhammad Faisal Nadeem and
                  Fakhar Anjam},
  title        = {Low-power, high-throughput deblocking filter for {H.264/AVC}},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {93--98},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625535},
  doi          = {10.1109/ISSOC.2010.5625535},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NadeemWKSNA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PandeMCMCHM10,
  author       = {Sandeep Pande and
                  Fearghal Morgan and
                  Seamus Cawley and
                  Brian McGinley and
                  Snaider Carrillo and
                  Jim Harkin and
                  Liam McDaid},
  title        = {EMBRACE-SysC for analysis of NoC-based Spiking Neural Network architectures},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {139--145},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625566},
  doi          = {10.1109/ISSOC.2010.5625566},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PandeMCMCHM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ReddyCKBJ10,
  author       = {Pallavi Reddy and
                  Fabien Clermidy and
                  Rasheed Al Khayat and
                  Amer Baghdadi and
                  Michel J{\'{e}}z{\'{e}}quel},
  title        = {Power consumption analysis and energy efficient optimization for turbo
                  decoder implementation},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {12--17},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625565},
  doi          = {10.1109/ISSOC.2010.5625565},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ReddyCKBJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RustN10,
  author       = {Ingo Rust and
                  Tobias G. Noll},
  title        = {A digit-set-interleaved radix-8 division/square root kernel for double-precision
                  floating point},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {150--153},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625547},
  doi          = {10.1109/ISSOC.2010.5625547},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RustN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SaastamoinenN10,
  author       = {Piia Saastamoinen and
                  Jari Nurmi},
  title        = {Parameterized decompression hardware for a program memory compression
                  system},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {63--67},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625553},
  doi          = {10.1109/ISSOC.2010.5625553},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SaastamoinenN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/StranoHSB10,
  author       = {Alessandro Strano and
                  Carles Hern{\'{a}}ndez and
                  Federico Silla and
                  Davide Bertozzi},
  title        = {Process variation and layout mismatch tolerant design of source synchronous
                  links for {GALS} networks-on-chip},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {43--48},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625539},
  doi          = {10.1109/ISSOC.2010.5625539},
  timestamp    = {Fri, 14 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/StranoHSB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SunLZSJZ10,
  author       = {Guang Sun and
                  Yong Li and
                  Yuanyuan Zhang and
                  Li Su and
                  Depeng Jin and
                  Lieguang Zeng},
  title        = {Energy-aware run-time mapping for homogeneous NoC},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {8--11},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625542},
  doi          = {10.1109/ISSOC.2010.5625542},
  timestamp    = {Mon, 24 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SunLZSJZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TedescoRM10,
  author       = {Leonel Tedesco and
                  Thiago R. da Rosa and
                  Fernando Gehm Moraes},
  title        = {A message-level monitoring protocol for QoS flows in NoCs},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {84--88},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625541},
  doi          = {10.1109/ISSOC.2010.5625541},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TedescoRM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TyystjarviSP10,
  author       = {Joonas Tyystj{\"{a}}rvi and
                  Tero S{\"{a}}ntti and
                  Juha Plosila},
  title        = {Heap access optimizations for a hardware-accelerated Java virtual
                  machine},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {125--128},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625548},
  doi          = {10.1109/ISSOC.2010.5625548},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TyystjarviSP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhangZCKALV10,
  author       = {Diandian Zhang and
                  Han Zhang and
                  Jer{\'{o}}nimo Castrill{\'{o}}n and
                  Torsten Kempf and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Bart Vanthournout},
  title        = {Optimized communication architecture of MPSoCs with a hardware scheduler:
                  {A} system view},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {163--168},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625556},
  doi          = {10.1109/ISSOC.2010.5625556},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhangZCKALV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2010,
  title        = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5610911/proceeding},
  isbn         = {978-1-4244-8279-5},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2010.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AnuarTS09,
  author       = {Nazrul Anuar and
                  Yasuhiro Takahashi and
                  Toshikazu Sekine},
  title        = {Two phase clocked adiabatic static {CMOS} logic},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {83--86},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335671},
  doi          = {10.1109/SOCC.2009.5335671},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AnuarTS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AzevedoJ09,
  author       = {Arnaldo Azevedo and
                  Ben H. H. Juurlink},
  title        = {An efficient software cache for {H.264} motion compensation},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {147--150},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335657},
  doi          = {10.1109/SOCC.2009.5335657},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AzevedoJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BhardwajJ09,
  author       = {Kshitij Bhardwaj and
                  Rabindra Ku Jena},
  title        = {Energy and bandwidth aware mapping of IPs onto regular NoC architectures
                  using Multi-Objective Genetic Algorithms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {27--31},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335684},
  doi          = {10.1109/SOCC.2009.5335684},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BhardwajJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CampiKDNPJSDRPH09,
  author       = {Fabio Campi and
                  Ralf K{\"{o}}nig and
                  Michael Dreschmann and
                  M. Neukirchner and
                  Damien Picard and
                  M. J{\"{u}}ttner and
                  Eberhard Sch{\"{u}}ler and
                  Antonio Deledda and
                  Davide Rossi and
                  Alberto Pasini and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker and
                  Roberto Guerrieri},
  title        = {RTL-to-layout implementation of an embedded coarse grained architecture
                  for dynamically reconfigurable computing in systems-on-chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {110--113},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335665},
  doi          = {10.1109/SOCC.2009.5335665},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CampiKDNPJSDRPH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CarvalhoMCM09,
  author       = {Ewerson Carvalho and
                  C{\'{e}}sar A. M. Marcon and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {Evaluation of static and dynamic task mapping algorithms in NoC-based
                  MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {87--90},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335672},
  doi          = {10.1109/SOCC.2009.5335672},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CarvalhoMCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/EireinerSWSHF09,
  author       = {Matthias Eireiner and
                  Doris Schmitt{-}Landsiedel and
                  Paul Wallner and
                  Andreas Sch{\"{o}}ne and
                  Stephan Henzler and
                  Ulrich Fiedler},
  title        = {Adaptive circuit block model for power supply noise analysis of low
                  power system-on-chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335686},
  doi          = {10.1109/SOCC.2009.5335686},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/EireinerSWSHF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GarziaANGB09,
  author       = {Fabio Garzia and
                  Roberto Airoldi and
                  Jari Nurmi and
                  Carmelo Giliberto and
                  Claudio Brunelli},
  title        = {Mapping of the {FFT} on a reconfigurable architecture targeted to
                  {SDR} applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {157--160},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335655},
  doi          = {10.1109/SOCC.2009.5335655},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GarziaANGB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GhavamiZSP09,
  author       = {Behnam Ghavami and
                  Hamid R. Zarandi and
                  Arezoo Salarpour and
                  Hossein Pedram},
  title        = {Diagnosis of faults in template-based asynchronous circuits},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {38--41},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335682},
  doi          = {10.1109/SOCC.2009.5335682},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GhavamiZSP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GierenzPN09,
  author       = {Volker Gierenz and
                  Christian Panis and
                  Jari Nurmi},
  title        = {Physical realization oriented area-power-delay tradeoff exploration},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {32--37},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335681},
  doi          = {10.1109/SOCC.2009.5335681},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GierenzPN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HabliLE09,
  author       = {Haitham Habli and
                  Johan Lilius and
                  Johan Ersfolk},
  title        = {Analysis of memory access optimization for motion compensation frames
                  in {MPEG-4}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {114--117},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335666},
  doi          = {10.1109/SOCC.2009.5335666},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HabliLE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HassanCVS09,
  author       = {Faiz{-}ul Hassan and
                  B. Cheng and
                  Wim Vanderbauwhede and
                  Fernando Rodr{\'{\i}}guez Salazar},
  title        = {Impact of device variability in the communication structures for future
                  synchronous SoC designs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {68--72},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335676},
  doi          = {10.1109/SOCC.2009.5335676},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HassanCVS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KammlerBWALMC09,
  author       = {David Kammler and
                  Bastian Bauwens and
                  Ernst Martin Witte and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Heinrich Meyr and
                  Anupam Chattopadhyay},
  title        = {Automatic generation of memory interfaces},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {77--82},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335674},
  doi          = {10.1109/SOCC.2009.5335674},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KammlerBWALMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KariniemiN09,
  author       = {Heikki Kariniemi and
                  Jari Nurmi},
  title        = {Fault-tolerant communication over Micronmesh {NOC} with Micron Message-Passing
                  protocol},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {5--12},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335685},
  doi          = {10.1109/SOCC.2009.5335685},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KariniemiN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KimAS09,
  author       = {Eric P. Kim and
                  Rami A. Abdallah and
                  Naresh R. Shanbhag},
  title        = {Soft {NMR:} Exploiting statistics for energy-efficiency},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {52--55},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335677},
  doi          = {10.1109/SOCC.2009.5335677},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KimAS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KraemerLPP09,
  author       = {Stefan Kraemer and
                  Rainer Leupers and
                  Dietmar Petras and
                  Thomas Philipp},
  title        = {A checkpoint/restore framework for systemc-based virtual platforms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {161--167},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335656},
  doi          = {10.1109/SOCC.2009.5335656},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KraemerLPP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LattuadaPTF09,
  author       = {Marco Lattuada and
                  Christian Pilato and
                  Antonino Tumeo and
                  Fabrizio Ferrandi},
  title        = {Performance modeling of parallel applications on MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {64--67},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335675},
  doi          = {10.1109/SOCC.2009.5335675},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LattuadaPTF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaattaIOMGMN09,
  author       = {Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Fernando Gehm Moraes and
                  Jari Nurmi},
  title        = {Characterising embedded applications using a {UML} profile},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {172--175},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335654},
  doi          = {10.1109/SOCC.2009.5335654},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MaattaIOMGMN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MajzoubSWW09,
  author       = {Sohaib Majzoub and
                  Resve A. Saleh and
                  Steven J. E. Wilton and
                  Rabab Ward},
  title        = {Simultaneous PVT-tolerant voltage-island formation and core placement
                  for thousand-core platforms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335688},
  doi          = {10.1109/SOCC.2009.5335688},
  timestamp    = {Mon, 28 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MajzoubSWW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/McKechnieBV09,
  author       = {Paul Edward McKechnie and
                  Michaela Blott and
                  Wim Vanderbauwhede},
  title        = {Automated instrumentation of FPGA-based systems for system-level transaction
                  monitoring},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {168--171},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335653},
  doi          = {10.1109/SOCC.2009.5335653},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/McKechnieBV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MilojevicRCM09,
  author       = {Dragomir Milojevic and
                  Riko Radojcic and
                  Roger Carpenter and
                  Pol Marchal},
  title        = {Pathfinding: {A} design methodology for fast exploration and optimisation
                  of 3D-stacked integrated circuits},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {118--123},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335663},
  doi          = {10.1109/SOCC.2009.5335663},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MilojevicRCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MilutinovicGS09,
  author       = {Aleksandar Milutinovic and
                  Kees Goossens and
                  Gerard J. M. Smit},
  title        = {Dynamic workload peak detection for slack management},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {42--47},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335679},
  doi          = {10.1109/SOCC.2009.5335679},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MilutinovicGS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NikaraATK09,
  author       = {Jari Nikara and
                  Eero Aho and
                  Petri A. Tuominen and
                  Kimmo Kuusilinna},
  title        = {Performance analysis of multi-channel memories in mobile devices},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {128--131},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335661},
  doi          = {10.1109/SOCC.2009.5335661},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NikaraATK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaSH09,
  author       = {Heikki Orsila and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Parameterizing simulated annealing for distributing Kahn Process Networks
                  on multiprocessor SoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {19--26},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335683},
  doi          = {10.1109/SOCC.2009.5335683},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaSH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PorquetSG09,
  author       = {Jo{\"{e}}l Porquet and
                  Christian Schwarz and
                  Alain Greiner},
  title        = {Multi-compartment: {A} new architecture for secure co-hosting on SoC},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {124--127},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335664},
  doi          = {10.1109/SOCC.2009.5335664},
  timestamp    = {Wed, 05 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PorquetSG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RodrigoHFSDMBMD09,
  author       = {Samuel Rodrigo and
                  Carles Hern{\'{a}}ndez and
                  Jos{\'{e}} Flich and
                  Federico Silla and
                  Jos{\'{e}} Duato and
                  Simone Medardoni and
                  Davide Bertozzi and
                  Andres Mejia and
                  Donglai Dai},
  title        = {Yield-oriented evaluation methodology of network-on-chip routing implementations},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {100--105},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335667},
  doi          = {10.1109/SOCC.2009.5335667},
  timestamp    = {Mon, 18 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/RodrigoHFSDMBMD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RossiCDMPWECGKH09,
  author       = {Davide Rossi and
                  Fabio Campi and
                  Antonio Deledda and
                  Claudio Mucci and
                  Stefano Pucillo and
                  Sean Whitty and
                  Rolf Ernst and
                  St{\'{e}}phane Chevobbe and
                  St{\'{e}}phane Guyetant and
                  Matthias K{\"{u}}hnle and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker and
                  Wolfram Putzke{-}R{\"{o}}ming},
  title        = {A multi-core signal processor for heterogeneous reconfigurable computing},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {106--109},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335668},
  doi          = {10.1109/SOCC.2009.5335668},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RossiCDMPWECGKH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RowenNF09,
  author       = {Chris Rowen and
                  Peter R. Nuth and
                  Stuart Fiske},
  title        = {A {DSP} architecture optimized for wireless baseband},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {151--156},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335658},
  doi          = {10.1109/SOCC.2009.5335658},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RowenNF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SaastamoinenNSL09,
  author       = {Piia Saastamoinen and
                  Jari Nurmi and
                  Ilkka Saastamoinen and
                  Mikko Laiho},
  title        = {Minimizing area costs in {GPS} applications on a programmable {DSP}
                  by code compression},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {91--94},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335669},
  doi          = {10.1109/SOCC.2009.5335669},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/SaastamoinenNSL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SkeieSRFBM09,
  author       = {Tor Skeie and
                  Frank Olaf Sem{-}Jacobsen and
                  Samuel Rodrigo and
                  Jos{\'{e}} Flich and
                  Davide Bertozzi and
                  Simone Medardoni},
  title        = {Flexible {DOR} routing for virtualization of multicore chips},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335673},
  doi          = {10.1109/SOCC.2009.5335673},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/SkeieSRFBM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SongE09,
  author       = {Wei Song and
                  Doug A. Edwards},
  title        = {Building asynchronous routers with independent sub-channels},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {48--51},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335680},
  doi          = {10.1109/SOCC.2009.5335680},
  timestamp    = {Fri, 24 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/SongE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SzczesnySHBHF09,
  author       = {David Szczesny and
                  Anas Showk and
                  Sebastian Hessel and
                  Attila Bilgic and
                  Uwe Hildebrand and
                  Valerio Frascolla},
  title        = {Performance analysis of {LTE} protocol processing on an {ARM} based
                  mobile platform},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {56--63},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335678},
  doi          = {10.1109/SOCC.2009.5335678},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SzczesnySHBHF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TagelEJ09,
  author       = {Mihkel Tagel and
                  Peeter Ellervee and
                  Gert Jervan},
  title        = {Scheduling framework for real-time dependable NoC-based systems},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {95--99},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335670},
  doi          = {10.1109/SOCC.2009.5335670},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TagelEJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TuYIMG09,
  author       = {Zhenyu Tu and
                  Meng Yu and
                  Daniel Iancu and
                  Mayan Moudgill and
                  John Glossner},
  title        = {On the performance of 3GPP {LTE} baseband using {SB3500}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {138--142},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335659},
  doi          = {10.1109/SOCC.2009.5335659},
  timestamp    = {Thu, 06 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TuYIMG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VayaMGBP09,
  author       = {Guillermo Pay{\'{a}} Vay{\'{a}} and
                  Javier Mart{\'{\i}}n{-}Langerwerf and
                  Florian Giesemann and
                  Holger Blume and
                  Peter Pirsch},
  title        = {Instruction merging to increase parallelism in {VLIW} architectures},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {143--146},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335660},
  doi          = {10.1109/SOCC.2009.5335660},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VayaMGBP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WuELNTA09,
  author       = {Di Wu and
                  Johan Eilert and
                  Dake Liu and
                  Anders Nilsson and
                  Eric Tell and
                  Eric Alfredsson},
  title        = {System architecture for 3GPP {LTE} modem using a programmable baseband
                  processor},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {132--137},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335662},
  doi          = {10.1109/SOCC.2009.5335662},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WuELNTA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2009,
  title        = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5314285/proceeding},
  isbn         = {978-1-4244-4465-6},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AhmadiniaAA08,
  author       = {Ali Ahmadinia and
                  Balal Ahmad and
                  Tughrul Arslan},
  title        = {A state based framework for efficient system-level power estimation
                  of of costum reconfigurable cores},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694889},
  doi          = {10.1109/ISSOC.2008.4694889},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AhmadiniaAA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AminzadehLM08,
  author       = {Hamed Aminzadeh and
                  Reza Lotfi and
                  Khalil Mafinezhad},
  title        = {Area-efficient low-cost low-dropout regulators using {MOS} capacitors},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694856},
  doi          = {10.1109/ISSOC.2008.4694856},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AminzadehLM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ArjomandSA08,
  author       = {Mohammad Arjomand and
                  Hamid Sarbazi{-}Azad and
                  S. Hamid Amiri},
  title        = {Multi-Objective Genetic optimized multiprocessor SoC design},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694887},
  doi          = {10.1109/ISSOC.2008.4694887},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ArjomandSA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Ben-AsherR08,
  author       = {Yosi Ben{-}Asher and
                  Nadav Rotem},
  title        = {Synthesis for variable pipelined function units},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694874},
  doi          = {10.1109/ISSOC.2008.4694874},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Ben-AsherR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BergBL08,
  author       = {Heikki Berg and
                  Claudio Brunelli and
                  Ulf L{\"{u}}cking},
  title        = {Analyzing models of computation for software defined radio applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694886},
  doi          = {10.1109/ISSOC.2008.4694886},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BergBL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BoukhechemB08,
  author       = {Sami Boukhechem and
                  El{-}Bay Bourennane},
  title        = {TLMCO-simulation for an open source {MPSOC} platform under STARSoC
                  environment},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694862},
  doi          = {10.1109/ISSOC.2008.4694862},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BoukhechemB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BoutekkoukBAB08,
  author       = {Fateh Boutekkouk and
                  S{\'{e}}bastien Bilavarn and
                  Michel Auguin and
                  Mohammed Benmohammed},
  title        = {{UML} profile for estimating application Worst Case Execution Time
                  on System-on-Chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694865},
  doi          = {10.1109/ISSOC.2008.4694865},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BoutekkoukBAB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CarvalhoM08,
  author       = {Ewerson Carvalho and
                  Fernando Moraes},
  title        = {Congestion-aware task mapping in heterogeneous MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694878},
  doi          = {10.1109/ISSOC.2008.4694878},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CarvalhoM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Elrabaa08,
  author       = {Muhammad E. S. Elrabaa},
  title        = {A two-phase return-to-zero {(RZ)} asynchronous transceiver circuit
                  for pipe-lined SoC interconnects},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694881},
  doi          = {10.1109/ISSOC.2008.4694881},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Elrabaa08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GargaAVNJ08,
  author       = {Ganesh Garga and
                  Mythri Alle and
                  Keshavan Varadarajan and
                  S. K. Nandy and
                  H. S. Jamadagni},
  title        = {Realizing a flexible constraint length Viterbi decoder for software
                  radio on a de Bruijn interconnection network},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694861},
  doi          = {10.1109/ISSOC.2008.4694861},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GargaAVNJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GarziaBGAN08,
  author       = {Fabio Garzia and
                  Claudio Brunelli and
                  Carmelo Giliberto and
                  Roberto Airoldi and
                  Jari Nurmi},
  title        = {Implementation of {W-CDMA} slot synchronization on a reconfigurable
                  System-on-Chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694868},
  doi          = {10.1109/ISSOC.2008.4694868},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GarziaBGAN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GeorgiopoulosDG08,
  author       = {Stavros Georgiopoulos and
                  Grigoris Dimitroulakos and
                  Costas E. Goutis},
  title        = {Integrating high speed multipliers in Coarse Grain Reconfigurable
                  Arrays},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694885},
  doi          = {10.1109/ISSOC.2008.4694885},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GeorgiopoulosDG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuzmaBKT08,
  author       = {Vladim{\'{\i}}r Guzma and
                  Shuvra S. Bhattacharyya and
                  Pertti Kellom{\"{a}}ki and
                  Jarmo Takala},
  title        = {Trade-offs in mapping high-level dataflow graphs onto ASIPs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694876},
  doi          = {10.1109/ISSOC.2008.4694876},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuzmaBKT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HenzenCFF08,
  author       = {Luca Henzen and
                  Flavio Carbognani and
                  Norbert Felber and
                  Wolfgang Fichtner},
  title        = {{FPGA} implementation of a 2G fibre channel link encryptor with authenticated
                  encryption mode {GCM}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694859},
  doi          = {10.1109/ISSOC.2008.4694859},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HenzenCFF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HolmaASHH08,
  author       = {Kalle Holma and
                  Tero Arpinen and
                  Erno Salminen and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Real-time execution monitoring on multi-processor system-on-chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694872},
  doi          = {10.1109/ISSOC.2008.4694872},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HolmaASHH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HurskainenRN08,
  author       = {Heikki Hurskainen and
                  Jussi Raasakka and
                  Jari Nurmi},
  title        = {Specification of {GNSS} application for multiprocessor platform},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694866},
  doi          = {10.1109/ISSOC.2008.4694866},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HurskainenRN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JalierLS08,
  author       = {Camille Jalier and
                  Didier Lattard and
                  Gilles Sassatelli},
  title        = {A flexible modeling and simulation framework for Design Space Exploration},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694863},
  doi          = {10.1109/ISSOC.2008.4694863},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JalierLS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KariniemiN08,
  author       = {Heikki Kariniemi and
                  Jari Nurmi},
  title        = {Micronmesh for fault-tolerant {GALS} Multiprocessors on {FPGA}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694870},
  doi          = {10.1109/ISSOC.2008.4694870},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KariniemiN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KimPAMLCKKL08,
  author       = {Taeyoon Kim and
                  Wonki Park and
                  Heesun Ahn and
                  Kyongwon Min and
                  Sangyong Lee and
                  Jongchan Choi and
                  Chulwoo Kim and
                  Kynnyun Kim and
                  Sungchul Lee},
  title        = {A 110 dB, 3-mW fourth-order {\(\Sigma\)}-{\(\Delta\)} modulator for
                  atmospheric pressure sensor},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694888},
  doi          = {10.1109/ISSOC.2008.4694888},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KimPAMLCKKL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KootiMHT08,
  author       = {H. Kooti and
                  Mohammad Mirza{-}Aghatabar and
                  Shaahin Hessabi and
                  Arash Tavakkol},
  title        = {Energy analysis of re-injection based deadlock recovery routing algorithms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694864},
  doi          = {10.1109/ISSOC.2008.4694864},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KootiMHT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaPTZ08,
  author       = {Ning Ma and
                  Zhibo Pang and
                  Hannu Tenhunen and
                  Lirong Zheng},
  title        = {An ASIC-design-based configurable {SOC} architecture for networked
                  media},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694877},
  doi          = {10.1109/ISSOC.2008.4694877},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MaPTZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MeitingerOWH08,
  author       = {Michael Meitinger and
                  Rainer Ohlendorf and
                  Thomas Wild and
                  Andreas Herkersdorf},
  title        = {FlexPath {NP} - {A} network processor architecture with flexible processing
                  paths},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694869},
  doi          = {10.1109/ISSOC.2008.4694869},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MeitingerOWH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MilutinovicGS08,
  author       = {Aleksandar Milutinovic and
                  Kees Goossens and
                  Gerard J. M. Smit},
  title        = {Impact of power-management granularity on the energy-quality trade-off
                  for soft and hard real-time applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694891},
  doi          = {10.1109/ISSOC.2008.4694891},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MilutinovicGS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MinasKRY08,
  author       = {Nikolaos Minas and
                  David Kinniment and
                  Gordon Russell and
                  Alex Yakovlev},
  title        = {High resolution flash time-to-digital converter with sub-picosecond
                  measurement capabilities},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694882},
  doi          = {10.1109/ISSOC.2008.4694882},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MinasKRY08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NikunenHK08,
  author       = {Karri Nikunen and
                  Hannu Heusala and
                  Jeppe Komulainen},
  title        = {Configuring Smart Objects over cognitive radio},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694871},
  doi          = {10.1109/ISSOC.2008.4694871},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NikunenHK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaSHH08,
  author       = {Heikki Orsila and
                  Erno Salminen and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Evaluation of heterogeneous multiprocessor architectures by energy
                  and performance optimization},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694884},
  doi          = {10.1109/ISSOC.2008.4694884},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaSHH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrtizBQGMM08,
  author       = {Manuel Ortiz and
                  Mar{\'{\i}}a Brox and
                  Francisco Javier Quiles{-}Latorre and
                  Andr{\'{e}}s Gersnoviez and
                  Carlos Diego Moreno{-}Moreno and
                  M. Montijano},
  title        = {Using soft processors for component design in {SOC:} {A} case-study
                  of timers},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694873},
  doi          = {10.1109/ISSOC.2008.4694873},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrtizBQGMM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalminenKH08,
  author       = {Erno Salminen and
                  Ari Kulmala and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {On the credibility of load-latency measurement of network-on-chips},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694860},
  doi          = {10.1109/ISSOC.2008.4694860},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalminenKH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SchweigerZ08,
  author       = {Kurt Schweiger and
                  Horst Zimmermann},
  title        = {A 65nm {CMOS} down-sampling micromixer with enhanced {DC} current
                  capability},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694857},
  doi          = {10.1109/ISSOC.2008.4694857},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SchweigerZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/StergiouJ08,
  author       = {Stergios Stergiou and
                  Jawahar Jain},
  title        = {Optimizing routing tables on systems-on-chip with Content-Addressable
                  Memories},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694879},
  doi          = {10.1109/ISSOC.2008.4694879},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/StergiouJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/UhrmannZ08,
  author       = {Heimo Uhrmann and
                  Horst Zimmermann},
  title        = {A 1V current-mode filter in 65nm {CMOS} using capacitance multiplication},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694858},
  doi          = {10.1109/ISSOC.2008.4694858},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/UhrmannZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ValinatajMS08,
  author       = {Mojtaba Valinataj and
                  Siamak Mohammadi and
                  Saeed Safari},
  title        = {Inherent reliability evaluation of Networks-on-Chip based on analytical
                  models},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694867},
  doi          = {10.1109/ISSOC.2008.4694867},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ValinatajMS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangJZZ08,
  author       = {Peng Wang and
                  Fredrik Jonsson and
                  Dian Zhou and
                  Lirong Zheng},
  title        = {Low noise amplifier architecture analysis for {UWB} system},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694890},
  doi          = {10.1109/ISSOC.2008.4694890},
  timestamp    = {Thu, 19 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/WangJZZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangTZZ08,
  author       = {Peng Wang and
                  Hannu Tenhunen and
                  Dian Zhou and
                  Lirong Zheng},
  title        = {{PER} performance enhancement through antenna and transceiver co-design
                  for multi-band {OFDM} {UWB} communication},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694875},
  doi          = {10.1109/ISSOC.2008.4694875},
  timestamp    = {Thu, 19 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/WangTZZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/YiLH08,
  author       = {Maoxiang Yi and
                  Huaguo Liang and
                  Zhengfeng Huang},
  title        = {Balancing wrapper chains of SoC core based on best interchange decreasing},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694880},
  doi          = {10.1109/ISSOC.2008.4694880},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/YiLH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhengJZCH08,
  author       = {Liang Rong and
                  Fredrik Jonsson and
                  Lirong Zheng and
                  Mats Carlsson and
                  Charlotta Hedenas},
  title        = {{RF} transmitter architecture investigation for power efficient mobile
                  WiMAX applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694883},
  doi          = {10.1109/ISSOC.2008.4694883},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhengJZCH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2008,
  title        = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4686745/proceeding},
  isbn         = {978-1-4244-2541-9},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AssaadC07,
  author       = {Maher Assaad and
                  David R. S. Cumming},
  title        = {{CMOS} {IC} Design and Verilog-A Modelling of 10-Gb/s PLL-Based Deserializer
                  for Inter-Chip Communication in {SOC}},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427420},
  doi          = {10.1109/ISSOC.2007.4427420},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/AssaadC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BoutellierJS07,
  author       = {Jani Boutellier and
                  Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and
                  Olli Silv{\'{e}}n},
  title        = {Run-Time Scheduled Hardware Acceleration of {MPEG-4} Video Decoding},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427425},
  doi          = {10.1109/ISSOC.2007.4427425},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BoutellierJS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CartaMAM07,
  author       = {Salvatore Carta and
                  Fabio Mereu and
                  Andrea Acquaviva and
                  Giovanni De Micheli},
  title        = {MiGra: {A} Task Migration Algorithm for Reducing Temperature Gradient
                  in Multiprocessor Systems on Chip},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427441},
  doi          = {10.1109/ISSOC.2007.4427441},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CartaMAM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CroftB07,
  author       = {Mark Croft and
                  Stephen Bailey},
  title        = {Is Your Low Power Design Switched On?},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427451},
  doi          = {10.1109/ISSOC.2007.4427451},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CroftB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FredrikssonS07,
  author       = {Henrik Fredriksson and
                  Christer Svensson},
  title        = {3-Gb/s, Single-ended Adaptive Equalization of Bidirectional Data over
                  a Multi-drop Bus},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427445},
  doi          = {10.1109/ISSOC.2007.4427445},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FredrikssonS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FujitaIS07,
  author       = {Kazuhide Fujita and
                  Kiyoto Ito and
                  Tadashi Shibata},
  title        = {A Feature-Based Optical Flow Processor Architecture Featuring Single-Motion-Vector/Cycle
                  Generation},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427444},
  doi          = {10.1109/ISSOC.2007.4427444},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FujitaIS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Goossens07,
  author       = {Gert Goossens},
  title        = {Multi-ASIP SoCs - or how to design ultra-low power architectures for
                  wireless and multi-media systems},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427452},
  doi          = {10.1109/ISSOC.2007.4427452},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Goossens07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HansonZBS07,
  author       = {Scott Hanson and
                  Bo Zhai and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Energy-Optimal Circuit Design},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427450},
  doi          = {10.1109/ISSOC.2007.4427450},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HansonZBS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HeyrmanPCVP07,
  author       = {Kris Heyrman and
                  Antonis Papanikolaou and
                  Francky Catthoor and
                  Peter Veelaert and
                  Wilfried Philips},
  title        = {Using a Linear Sectioned Bus And a Communication Processor to Reduce
                  Energy Costs in Synchronous On-Chip Communication},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427432},
  doi          = {10.1109/ISSOC.2007.4427432},
  timestamp    = {Sat, 30 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HeyrmanPCVP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HolzenspiesSK07,
  author       = {Philip K. F. H{\"{o}}lzenspies and
                  Gerard J. M. Smit and
                  Jan Kuper},
  title        = {Mapping streaming applications on a reconfigurable MPSoC platform
                  at run-time},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427443},
  doi          = {10.1109/ISSOC.2007.4427443},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HolzenspiesSK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ItoS07,
  author       = {Kiyoto Ito and
                  Tadashi Shibata},
  title        = {Mixed-Signal Focal-Plane Image Processor Employing Tme-domaiin Computation
                  Architecture},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427428},
  doi          = {10.1109/ISSOC.2007.4427428},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ItoS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JamesKJS07,
  author       = {Rekha K. James and
                  Shahana Thottathikkulam Kassim and
                  K. Poulose Jacob and
                  Sreela Sasi},
  title        = {A New Look at Reversible Logic Implementation of Decimal Adder},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427442},
  doi          = {10.1109/ISSOC.2007.4427442},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JamesKJS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JindalM07,
  author       = {Rohit Jindal and
                  Laurent Maillet{-}Contoz},
  title        = {Rendezvous-based MoC for untimed {TLM}},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427449},
  doi          = {10.1109/ISSOC.2007.4427449},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JindalM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KafkaDN07,
  author       = {Leos Kafka and
                  Martin Danek and
                  Ondrej Nov{\'{a}}k},
  title        = {A Novel Emulation Technique that Preserves Circuit Structure and Timing},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427437},
  doi          = {10.1109/ISSOC.2007.4427437},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KafkaDN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Leibson07,
  author       = {Steve Leibson},
  title        = {Reduce {SOC} Energy Consumption through Processor {ISA} Extension},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427427},
  doi          = {10.1109/ISSOC.2007.4427427},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Leibson07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MakelaV07,
  author       = {Raimo M{\"{a}}kel{\"{a}} and
                  Olli Vainio},
  title        = {Managing Concurrency by Supporting Object-oriented Programming with
                  Hybrid Data-driven Control-flow Processor},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427421},
  doi          = {10.1109/ISSOC.2007.4427421},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MakelaV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MedardoniBBM07,
  author       = {Simone Medardoni and
                  Davide Bertozzi and
                  Luca Benini and
                  Enrico Macii},
  title        = {Control and datapath decoupling in the design of a NoC switch: area,
                  power and performance implications},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427438},
  doi          = {10.1109/ISSOC.2007.4427438},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MedardoniBBM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MucciVDCG07,
  author       = {Claudio Mucci and
                  Luca Vanzolini and
                  Antonio Deledda and
                  Fabio Campi and
                  Gerard Gaillat},
  title        = {Intelligent cameras and embedded reconfigurable computing: a case-study
                  on motion detection},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427440},
  doi          = {10.1109/ISSOC.2007.4427440},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MucciVDCG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NejadTZ07,
  author       = {Majid Baghaei Nejad and
                  Hannu Tenhunen and
                  Lirong Zheng},
  title        = {Power Management and Clock Generator for a Novel Passive {UWB} Tag},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427448},
  doi          = {10.1109/ISSOC.2007.4427448},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NejadTZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NordstromA07,
  author       = {Susanna Nordstrom and
                  Lars Asplund},
  title        = {Configurable Hardware/Software Support for Single Processor Real-Time
                  Kernels},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427426},
  doi          = {10.1109/ISSOC.2007.4427426},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NordstromA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaSHH07,
  author       = {Heikki Orsila and
                  Erno Salminen and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Optimal Subset Mapping And Convergence Evaluation of Mapping Algorithms
                  for Distributing Task Graphs on Multiprocessor SoC},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427433},
  doi          = {10.1109/ISSOC.2007.4427433},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaSHH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PalkovicCC07,
  author       = {Martin Palkovic and
                  Henk Corporaal and
                  Francky Catthoor},
  title        = {Heuristics for Scenario Creation to Enable General Loop Transformations},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427430},
  doi          = {10.1109/ISSOC.2007.4427430},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PalkovicCC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/QuSN07,
  author       = {Yang Qu and
                  Juha{-}Pekka Soininen and
                  Jari Nurmi},
  title        = {A Configuration Locking Technique to Reduce the Configuration Overhead
                  of Run-Time Reconfigurable Devices},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427423},
  doi          = {10.1109/ISSOC.2007.4427423},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/QuSN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalzmannST07,
  author       = {Jakob Salzmann and
                  Frank Sill and
                  Dirk Timmermann},
  title        = {Algorithm for Fast Statistical Timing Analysis},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427424},
  doi          = {10.1109/ISSOC.2007.4427424},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalzmannST07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SantambrogioGM07,
  author       = {Marco D. Santambrogio and
                  Matteo Giani and
                  Seda Ogrenci Memik},
  title        = {Managing Reconfigurable Resources in Heterogeneous Cores Using Portable
                  Pre-Synthesized Templates},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427446},
  doi          = {10.1109/ISSOC.2007.4427446},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SantambrogioGM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SanttiTP07,
  author       = {Tero S{\"{a}}ntti and
                  Joonas Tyystj{\"{a}}rvi and
                  Juha Plosila},
  title        = {{FPGA} Prototype of the REALJava Co-Processor},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427434},
  doi          = {10.1109/ISSOC.2007.4427434},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SanttiTP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Schreiner07,
  author       = {Guido Schreiner},
  title        = {Development of Complex SoC Devices Require New Design Technologies},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427435},
  doi          = {10.1109/ISSOC.2007.4427435},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Schreiner07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ShikanoIFS07,
  author       = {Hirotsugu Shikano and
                  Kiyoto Ito and
                  Kazuhide Fujita and
                  Tadashi Shibata},
  title        = {A Real-Time Learning Processor Based on K-means Algorithm with Automatic
                  Seeds Generation},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427431},
  doi          = {10.1109/ISSOC.2007.4427431},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ShikanoIFS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TennantEAT07,
  author       = {Mark P. Tennant and
                  Ahmet T. Erdogan and
                  Tughrul Arslan and
                  John S. Thompson},
  title        = {A New {LMMSE} Receiver Architecture With Dynamic Filter Length Optimisation},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427439},
  doi          = {10.1109/ISSOC.2007.4427439},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/TennantEAT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TotaCRRZ07,
  author       = {Sergio Tota and
                  Mario R. Casu and
                  Paolo Motto Ros and
                  Massimo Ruo Roch and
                  Maurizio Zamboni},
  title        = {The NoCRay Graphic Accelerator: a Case-study for MP-SoC Network-on-Chip
                  Design Methodology},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427429},
  doi          = {10.1109/ISSOC.2007.4427429},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TotaCRRZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VaratkarNSJ07,
  author       = {Girish Varatkar and
                  Sriram Narayanan and
                  Naresh R. Shanbhag and
                  Douglas L. Jones},
  title        = {Sensor Network-On-Chip},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427447},
  doi          = {10.1109/ISSOC.2007.4427447},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VaratkarNSJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WiggersBKS07,
  author       = {W. A. Wiggers and
                  Vincent Bakker and
                  Andr{\'{e}} B. J. Kokkeler and
                  Gerard J. M. Smit},
  title        = {Implementing the conjugate gradient algorithm on multi-core systems},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427436},
  doi          = {10.1109/ISSOC.2007.4427436},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WiggersBKS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WirthF07,
  author       = {Gilson I. Wirth and
                  Christian Fayomi},
  title        = {The Bulk Built In Current Sensor Approach for Single Event Transient
                  Detection},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427422},
  doi          = {10.1109/ISSOC.2007.4427422},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WirthF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhangKS07,
  author       = {Qiwei Zhang and
                  Andr{\'{e}} B. J. Kokkeler and
                  Gerard J. M. Smit},
  title        = {A System-level Design Method for Cognitive Radio on a Reconfigurable
                  Multi-processor Architecture},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427419},
  doi          = {10.1109/ISSOC.2007.4427419},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhangKS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2007,
  title        = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4427417/proceeding},
  isbn         = {978-1-4244-1368-3},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AtitallahBNMD06,
  author       = {Rabie Ben Atitallah and
                  Lossan Bonde and
                  Sma{\"{\i}}l Niar and
                  Samy Meftali and
                  Jean{-}Luc Dekeyser},
  title        = {Multilevel MPSoC Performance Evaluation Using {MDE} Approach},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321967},
  doi          = {10.1109/ISSOC.2006.321967},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AtitallahBNMD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BalachandranKBC06,
  author       = {J. Balachandran and
                  Maarten Kuijk and
                  Steven Brebels and
                  Geert Carchon and
                  Walter De Raedt and
                  Bart Nauwelaers and
                  Eric Beyne},
  title        = {Efficient Link Architecture for On-Chip Serial links and Networks},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322013},
  doi          = {10.1109/ISSOC.2006.322013},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BalachandranKBC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BouhraouaE06,
  author       = {Abdelhafid Bouhraoua and
                  Muhammad E. S. Elrabaa},
  title        = {A High-Throughput Network-on-Chip Architecture for Systems-on-Chip
                  Interconnect},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321984},
  doi          = {10.1109/ISSOC.2006.321984},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BouhraouaE06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BrunelliN06,
  author       = {Claudio Brunelli and
                  Jari Nurmi},
  title        = {Design And Verification of a {VHDL} Model of a Floating-Point Unit
                  for a {RISC} Microprocessor},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321974},
  doi          = {10.1109/ISSOC.2006.321974},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BrunelliN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CaffarenaLCN06,
  author       = {Gabriel Caffarena and
                  Juan A. L{\'{o}}pez and
                  Carlos Carreras and
                  Octavio Nieto{-}Taladriz},
  title        = {Optimized Synthesis of {DSP} Cores Combining Logic-based and Embedded
                  {FPGA} Resources},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321978},
  doi          = {10.1109/ISSOC.2006.321978},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/CaffarenaLCN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Castells-RufasJ06,
  author       = {David Castells{-}Rufas and
                  Jaume Joven and
                  Jordi Carrabina},
  title        = {A Validation And Performance Evaluation Tool for ProtoNoC},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321991},
  doi          = {10.1109/ISSOC.2006.321991},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Castells-RufasJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ChoiSKKSCYY06,
  author       = {Sungdae Choi and
                  Kyomin Sohn and
                  Hyejung Kim and
                  Joo{-}Young Kim and
                  Seong{-}Jun Song and
                  Namjun Cho and
                  Jerald Yoo and
                  Hoi{-}Jun Yoo},
  title        = {An Ultra Low-Power Body Sensor Network Control Processor with Centralized
                  Node Control},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322003},
  doi          = {10.1109/ISSOC.2006.322003},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ChoiSKKSCYY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DaneshtalabAM06,
  author       = {Masoud Daneshtalab and
                  Ali Afzali{-}Kusha and
                  Siamak Mohammadi},
  title        = {Minimizing Hot Spots in NoCs through a Dynamic Routing Algorithm based
                  on Input and Output Selections},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322012},
  doi          = {10.1109/ISSOC.2006.322012},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DaneshtalabAM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DasguptaY06,
  author       = {Sohini Dasgupta and
                  Alex Yakovlev},
  title        = {Modeling And Performance Analysis of {GALS} architectures},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321998},
  doi          = {10.1109/ISSOC.2006.321998},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DasguptaY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DonchevKG06,
  author       = {Blagomir Donchev and
                  Georgi Kuzmanov and
                  Georgi Nedeltchev Gaydadjiev},
  title        = {External Memory Controller for Virtex {II} Pro},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322009},
  doi          = {10.1109/ISSOC.2006.322009},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DonchevKG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/DurraniR06,
  author       = {Yaseer Arafat Durrani and
                  Teresa Riesgo},
  title        = {Power Estimation for IP-Based Modules},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321976},
  doi          = {10.1109/ISSOC.2006.321976},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/DurraniR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/EckartS06,
  author       = {Thomas Eckart and
                  Martin Schnieringer},
  title        = {Development and Verification of Embedded Firmware using Virtual System
                  Prototypes},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322007},
  doi          = {10.1109/ISSOC.2006.322007},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/EckartS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/EngelKLST06,
  author       = {Konrad Engel and
                  Thomas Kalinowski and
                  Roger Labahn and
                  Frank Sill and
                  Dirk Timmermann},
  title        = {Algorithms for Leakage Reduction with Dual Threshold Design Techniques},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321980},
  doi          = {10.1109/ISSOC.2006.321980},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/EngelKLST06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FerrandiNMSS06,
  author       = {Fabrizio Ferrandi and
                  Marco Novati and
                  Massimo Morandi and
                  Marco D. Santambrogio and
                  Donatella Sciuto},
  title        = {Dynamic Reconfiguration: Core Relocation via Partial Bitstreams Filtering
                  with Minimal Overhead},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322008},
  doi          = {10.1109/ISSOC.2006.322008},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FerrandiNMSS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Forsell06,
  author       = {Martti Forsell},
  title        = {Realizing Multioperations for Step Cached MP-SOCs},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321972},
  doi          = {10.1109/ISSOC.2006.321972},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Forsell06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GheorghitaBC06,
  author       = {Stefan Valentin Gheorghita and
                  Twan Basten and
                  Henk Corporaal},
  title        = {Application Scenarios in Streaming-Oriented Embedded System Design},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321995},
  doi          = {10.1109/ISSOC.2006.321995},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GheorghitaBC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GoossensLGP06,
  author       = {Gert Goossens and
                  Dirk Lanneer and
                  Werner Geurts and
                  Johan Van Praet},
  title        = {Design of ASIPs in multi-processor SoCs using the Chess/Checkers retargetable
                  tool suite},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321968},
  doi          = {10.1109/ISSOC.2006.321968},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GoossensLGP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HeikkinenT06,
  author       = {Jari Heikkinen and
                  Jarmo Takala},
  title        = {Programmability in Dictionary-Based Compression},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321994},
  doi          = {10.1109/ISSOC.2006.321994},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HeikkinenT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Heysters06,
  author       = {Paul M. Heysters},
  title        = {{IP} Reuse for Flexible {\&} Efficient {DSP} Platform Chips},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322001},
  doi          = {10.1109/ISSOC.2006.322001},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Heysters06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HolzerKR06,
  author       = {Martin Holzer and
                  Bastian Knerr and
                  Markus Rupp},
  title        = {Structural Verification in Minimal Time},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321989},
  doi          = {10.1109/ISSOC.2006.321989},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HolzerKR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/IancuYKSGNIT06,
  author       = {Daniel Iancu and
                  Hua Ye and
                  Vladimir Kotlyar and
                  Murugappan Senthilvelan and
                  John Glossner and
                  Gary Nacer and
                  Andrei Iancu and
                  Jarmo Takala},
  title        = {Analog Television, WiMAX and {DVB-H} on the Same SoC Platform},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322006},
  doi          = {10.1109/ISSOC.2006.322006},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/IancuYKSGNIT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Indrusiak06,
  author       = {Leandro Soares Indrusiak},
  title        = {Exploring Application-Level Concurrency in SoC Design},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321970},
  doi          = {10.1109/ISSOC.2006.321970},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Indrusiak06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KisslerHKT06,
  author       = {Dmitrij Kissler and
                  Frank Hannig and
                  Alexey Kupriyanov and
                  J{\"{u}}rgen Teich},
  title        = {Hardware Cost Analysis for Weakly Programmable Processor Arrays},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321996},
  doi          = {10.1109/ISSOC.2006.321996},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KisslerHKT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Lahtinen06,
  author       = {Vesa Lahtinen},
  title        = {System Level Design Experiences and the Need for Standardization},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322002},
  doi          = {10.1109/ISSOC.2006.322002},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Lahtinen06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Leibson06,
  author       = {Steve Leibson},
  title        = {The Future of Nanometer {SOC} Design},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321999},
  doi          = {10.1109/ISSOC.2006.321999},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Leibson06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MelloTCM06,
  author       = {Aline Mello and
                  Leonel Tedesco and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {Evaluation of current QoS Mechanisms in Networks on Chip},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321981},
  doi          = {10.1109/ISSOC.2006.321981},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MelloTCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MesquitaBTSRM06,
  author       = {Daniel Mesquita and
                  Beno{\^{\i}}t Badrignans and
                  Lionel Torres and
                  Gilles Sassatelli and
                  Michel Robert and
                  Fernando Gehm Moraes},
  title        = {A Leak Resistant SoC to Counteract Side Channel Attacks},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322005},
  doi          = {10.1109/ISSOC.2006.322005},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MesquitaBTSRM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Mullins06,
  author       = {Robert Mullins},
  title        = {Minimising Dynamic Power Consumption in On-Chip Networks},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321982},
  doi          = {10.1109/ISSOC.2006.321982},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Mullins06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MuraliTAPABM06,
  author       = {Srinivasan Murali and
                  Rutuparna Tamhankar and
                  Federico Angiolini and
                  Antonio Pullini and
                  David Atienza and
                  Luca Benini and
                  Giovanni De Micheli},
  title        = {Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission
                  Mechanism for Networks on Chips},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321983},
  doi          = {10.1109/ISSOC.2006.321983},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MuraliTAPABM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NigussieTPI06,
  author       = {Ethiopia Nigussie and
                  Sampo Tuuna and
                  Juha Plosila and
                  Jouni Isoaho},
  title        = {Analysis of Crosstalk and Process Variations Effects on On-Chip Interconnects},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321992},
  doi          = {10.1109/ISSOC.2006.321992},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NigussieTPI06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NiknahadSNP06,
  author       = {Mahtab Niknahad and
                  Kamran Saleh and
                  Mehrdad Najibi and
                  Hossein Pedram},
  title        = {High-Level Optimization of Asynchronous Systems Utilizing Conditional
                  Restructuring},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321988},
  doi          = {10.1109/ISSOC.2006.321988},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NiknahadSNP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaKSH06,
  author       = {Heikki Orsila and
                  Tero Kangas and
                  Erno Salminen and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Parameterizing Simulated Annealing for Distributing Task Graphs on
                  Multiprocessor SoCs},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321971},
  doi          = {10.1109/ISSOC.2006.321971},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaKSH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/QuSN06,
  author       = {Yang Qu and
                  Juha{-}Pekka Soininen and
                  Jari Nurmi},
  title        = {Using Constraint Programming to Achieve Optimal Prefetch Scheduling
                  for Dependent Tasks on Run-Time Reconfigurable Devices},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321973},
  doi          = {10.1109/ISSOC.2006.321973},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/QuSN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RantalaLIP06,
  author       = {Pekka Rantala and
                  Teijo Lehtonen and
                  Jouni Isoaho and
                  Juha Plosila},
  title        = {Fault-tolerant Routing Approach for Reconfigurable Networks-on-Chip},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321979},
  doi          = {10.1109/ISSOC.2006.321979},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RantalaLIP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RouniojaP06,
  author       = {Kim Rounioja and
                  Kimmo Puusaari},
  title        = {Implementation of an {HSDPA} Receiver with a Customized Vector Processor},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322004},
  doi          = {10.1109/ISSOC.2006.322004},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RouniojaP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RuggieroGABMBA06,
  author       = {Martino Ruggiero and
                  Pari Gioia and
                  Guerri Alessio and
                  Luca Benini and
                  Michela Milano and
                  Davide Bertozzi and
                  Alexandru Andrei},
  title        = {A Cooperative, Accurate Solving Framework for Optimal Allocation,
                  Scheduling and Frequency Selection on Energy-Efficient MPSoCs},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321997},
  doi          = {10.1109/ISSOC.2006.321997},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RuggieroGABMBA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SahaPB06,
  author       = {Sankalita Saha and
                  Sebastian Puthenpurayil and
                  Shuvra S. Bhattacharyya},
  title        = {Dataflow Transformations in High-level {DSP} System Design},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321985},
  doi          = {10.1109/ISSOC.2006.321985},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SahaPB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SakowskiWKP06,
  author       = {Wojciech Sakowski and
                  Wlodzimierz Wrona and
                  Sebastian Kaprowski and
                  Maciej Przybysz},
  title        = {Enhanced legacy 68000 instruction set architecture as a basis for
                  system on chip development},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321969},
  doi          = {10.1109/ISSOC.2006.321969},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SakowskiWKP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalmelaMJT06,
  author       = {Perttu Salmela and
                  Risto M{\"{a}}kinen and
                  Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and
                  Jarmo Takala},
  title        = {Loop Scheduling for Transport Triggered Architecture Processors},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322011},
  doi          = {10.1109/ISSOC.2006.322011},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalmelaMJT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalmelaSBT06,
  author       = {Perttu Salmela and
                  Chung{-}Ching Shen and
                  Shuvra S. Bhattacharyya and
                  Jarmo Takala},
  title        = {Register File Partitioning with Constraint Programming},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321986},
  doi          = {10.1109/ISSOC.2006.321986},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalmelaSBT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalminenKH06,
  author       = {Erno Salminen and
                  Tero Kangas and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {The Impact of Communication on the Scalability of the Data-parallel
                  Video Encoder on MPSoC},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321965},
  doi          = {10.1109/ISSOC.2006.321965},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalminenKH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SaneeiAN06,
  author       = {Mohsen Saneei and
                  Ali Afzali{-}Kusha and
                  Zainalabedin Navabi},
  title        = {Serial Bus Encoding for Low Power Application},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321977},
  doi          = {10.1109/ISSOC.2006.321977},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SaneeiAN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SonmezY06,
  author       = {Nehir S{\"{o}}nmez and
                  Arda Yurdakul},
  title        = {SIxD: {A} Configurable Application-Specific {SISD/SIMD} Microprocessor
                  Soft-Core},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321990},
  doi          = {10.1109/ISSOC.2006.321990},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SonmezY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Synek06,
  author       = {Kamil Synek},
  title        = {Using {SPIRIT} Cores in SonicsStudio},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322000},
  doi          = {10.1109/ISSOC.2006.322000},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Synek06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VassiliadisS06,
  author       = {Stamatis Vassiliadis and
                  Ioannis Sourdis},
  title        = {Reconfigurable Fabric Interconnects},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322010},
  doi          = {10.1109/ISSOC.2006.322010},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VassiliadisS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WesterlundP06,
  author       = {Tomi Westerlund and
                  Juha Plosila},
  title        = {Formal Modelling of Multiclocked SoC Systems},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321987},
  doi          = {10.1109/ISSOC.2006.321987},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WesterlundP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WinterF06,
  author       = {Markus Winter and
                  Gerhard P. Fettweis},
  title        = {Interconnection Generation for System-on-Chip Design},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321975},
  doi          = {10.1109/ISSOC.2006.321975},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WinterF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WolkotteBS06,
  author       = {Pascal T. Wolkotte and
                  Marcel D. van de Burgwal and
                  Gerard J. M. Smit},
  title        = {Non-Power-of-Two FFTs: Exploring the Flexibility of the {MONTIUM}},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321993},
  doi          = {10.1109/ISSOC.2006.321993},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WolkotteBS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Ykman-CouvreurN06,
  author       = {Chantal Ykman{-}Couvreur and
                  Vincent Nollet and
                  Francky Catthoor and
                  Henk Corporaal},
  title        = {Fast Multi-Dimension Multi-Choice Knapsack Heuristic for MP-SoC Run-Time
                  Management},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321966},
  doi          = {10.1109/ISSOC.2006.321966},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Ykman-CouvreurN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2006,
  title        = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4116438/proceeding},
  isbn         = {1-4244-0621-8},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2006.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BarsottiMMP05,
  author       = {Natale Barsotti and
                  Riccardo Mariani and
                  Matteo Martinelli and
                  Mario Pasquariello},
  title        = {Dynamic Verification of OCP-based SoC},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {22},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595634},
  doi          = {10.1109/ISSOC.2005.1595634},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BarsottiMMP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BjerregaardMOS05,
  author       = {Tobias Bjerregaard and
                  Shankar Mahadevan and
                  Rasmus Gr{\o}ndahl Olsen and
                  Jens Spars{\o}},
  title        = {An {OCP} Compliant Network Adapter for GALS-based SoC Design Using
                  the {MANGO} Network-on-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {171--174},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595670},
  doi          = {10.1109/ISSOC.2005.1595670},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BjerregaardMOS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BrunelliGNMCR05,
  author       = {Claudio Brunelli and
                  Fabio Garzia and
                  Jari Nurmi and
                  Claudio Mucci and
                  Fabio Campi and
                  Davide Rossi},
  title        = {A {FPGA} Implementation of An Open-Source Floating-Point Computation
                  System},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595636},
  doi          = {10.1109/ISSOC.2005.1595636},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BrunelliGNMCR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ChangFHZ05,
  author       = {Xiaotao Chang and
                  Dongrui Fan and
                  Yinhe Han and
                  Zhimin Zhang},
  title        = {SoC Leakage Power Reduction Algorithm by Input Vector Control},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {86--89},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595651},
  doi          = {10.1109/ISSOC.2005.1595651},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ChangFHZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Chown05,
  author       = {Bill Chown},
  title        = {System-level modeling and validation increase design productivity
                  and save errors},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {7},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595631},
  doi          = {10.1109/ISSOC.2005.1595631},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Chown05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Forsell05,
  author       = {Martti Forsell},
  title        = {ParLe - {A} Parallel Computing Learning Set for MPSOCs/NOCs},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {90--95},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595652},
  doi          = {10.1109/ISSOC.2005.1595652},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Forsell05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FurberB05,
  author       = {Steve B. Furber and
                  John Bainbridge},
  title        = {Future Trends in SoC Interconnect},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595673},
  doi          = {10.1109/ISSOC.2005.1595673},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FurberB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GogniatWB05,
  author       = {Guy Gogniat and
                  Tilman Wolf and
                  Wayne P. Burleson},
  title        = {Reconfigurable Security Primitive for Embedded Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {23--28},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595635},
  doi          = {10.1109/ISSOC.2005.1595635},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GogniatWB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HamalainenLHH05,
  author       = {Panu H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Ning Liu and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Acceleration of Modular Exponentiation on System-on-a-Programmable-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {14--17},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595632},
  doi          = {10.1109/ISSOC.2005.1595632},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HamalainenLHH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HarjuN05,
  author       = {Lasse Harju and
                  Jari Nurmi},
  title        = {A Synchronization Coprocessor Architecture for {WCDMA/OFDM} Mobile
                  Terminal Implementations},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {141--145},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595664},
  doi          = {10.1109/ISSOC.2005.1595664},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HarjuN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HolzerR05,
  author       = {Martin Holzer and
                  Markus Rupp},
  title        = {Static Estimation of Execution Times for Hardware Accelerators in
                  System-on-Chips},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {62--65},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595645},
  doi          = {10.1109/ISSOC.2005.1595645},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HolzerR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Innamaa05,
  author       = {Antti Innamaa},
  title        = {{FPGA} Prototyping: Untapping Potential within the Multimillion-Gate
                  System-on-Chip Design Space},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595662},
  doi          = {10.1109/ISSOC.2005.1595662},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Innamaa05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/IsomakiA05,
  author       = {Petri Isom{\"{a}}ki and
                  Nastooh Avessta},
  title        = {Rapid Refinable SoC {SDR} Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {120--123},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595659},
  doi          = {10.1109/ISSOC.2005.1595659},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/IsomakiA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JastrzebskiPP05,
  author       = {Rafal P. Jastrzebski and
                  Riku P{\"{o}}ll{\"{a}}nen and
                  Olli Pyrh{\"{o}}nen},
  title        = {Analysis of System Architecture of FPGA-based Embedded Controller
                  for Magnetically Suspended Rotor},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {128--132},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595661},
  doi          = {10.1109/ISSOC.2005.1595661},
  timestamp    = {Tue, 07 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JastrzebskiPP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JayapalSM05,
  author       = {Senthilkumar Jayapal and
                  Shanthi Sudalaiyandi and
                  Yiannos Manoli},
  title        = {Efficiency of Leakage Reduction Techniques on Different Static Logic
                  Styles for Embedded Portable Applications with High Standby to Active
                  Time Ratio},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {151--154},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595666},
  doi          = {10.1109/ISSOC.2005.1595666},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JayapalSM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KleinAA05,
  author       = {Felipe Klein and
                  Rodolfo Azevedo and
                  Guido Araujo},
  title        = {High-Level Switching Activity Prediction Through Sampled Monitored
                  Simulation},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {161--166},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595668},
  doi          = {10.1109/ISSOC.2005.1595668},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KleinAA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KrekuES05,
  author       = {Jari Kreku and
                  Matti Etel{\"{a}}per{\"{a}} and
                  Juha{-}Pekka Soininen},
  title        = {Exploitation of {UML} 2.0 - Based Platform Service Model and SystemC
                  Workload Simulation in {MPEG-4} Partitioning},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {167--170},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595669},
  doi          = {10.1109/ISSOC.2005.1595669},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KrekuES05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KukkalaHH05,
  author       = {Petri Kukkala and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Performance Modeling and Reporting for the {UML} 2.0 Design of Embedded
                  Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {50--53},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595642},
  doi          = {10.1109/ISSOC.2005.1595642},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KukkalaHH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LeeAK05,
  author       = {Jae{-}Gon Lee and
                  Ki{-}Yong Ahn and
                  Chong{-}Min Kyung},
  title        = {Predictive Synchronization Scheme between Simulator And Accelerator
                  Free from Performance Deterioration},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {100--103},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595654},
  doi          = {10.1109/ISSOC.2005.1595654},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LeeAK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LeeKKKC05,
  author       = {SangKyu Lee and
                  JeongEun Kim and
                  Namsub Kim and
                  Jinsang Kim and
                  Won{-}Kyung Cho},
  title        = {Multiplierless Reconfigurable Processing Element And Its Applications
                  to {DSP} Kernels},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595637},
  doi          = {10.1109/ISSOC.2005.1595637},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LeeKKKC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Murali05,
  author       = {Srinivasan Murali},
  title        = {Design Methodologies and {CAD} Tool Flows for Networks on Chips},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595629},
  doi          = {10.1109/ISSOC.2005.1595629},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Murali05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NettoBA05,
  author       = {Eduardo Braulio Wanderley Netto and
                  Eduardo Afonso Billo and
                  Rodolfo Azevedo},
  title        = {Exploiting the Area {X} Performance Trade-off with Code Compression},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {42--45},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595640},
  doi          = {10.1109/ISSOC.2005.1595640},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NettoBA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NigussiePI05,
  author       = {Ethiopia Nigussie and
                  Juha Plosila and
                  Jouni Isoaho},
  title        = {Reliable Asynchronous Links for SoC},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {124--127},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595660},
  doi          = {10.1109/ISSOC.2005.1595660},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NigussiePI05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Nurmi05,
  author       = {Jari Nurmi},
  title        = {Network-on-Chip: {A} New Paradigm for System-on-Chip Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {2--6},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595630},
  doi          = {10.1109/ISSOC.2005.1595630},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Nurmi05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OlugbonAL05,
  author       = {Adeoye Olugbon and
                  Tughrul Arslan and
                  Iain Lindsay},
  title        = {A Formal Approach to Virtualisation and Provisioning in {AMBA} AHB-based
                  Reconfigurable Systems-on-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {175--178},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595671},
  doi          = {10.1109/ISSOC.2005.1595671},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OlugbonAL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OlugbonALM05,
  author       = {Adeoye Olugbon and
                  Tughrul Arslan and
                  Iain Lindsay and
                  Scott MacDougall},
  title        = {Providing Compilers and Application Program Support for Reconfigurable
                  SoCs: Radical but Overdue},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {54--57},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595643},
  doi          = {10.1109/ISSOC.2005.1595643},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OlugbonALM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaKH05,
  author       = {Heikki Orsila and
                  Tero Kangas and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Hybrid Algorithm for Mapping Static Task Graphs on Multiprocessor
                  SoCs},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {146--150},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595665},
  doi          = {10.1109/ISSOC.2005.1595665},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaKH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PapanikolaouSMB05,
  author       = {Antonis Papanikolaou and
                  F. Starzer and
                  Miguel Miranda and
                  Koenraad De Bosschere and
                  Francky Catthoor},
  title        = {Architectural and Physical Design Optimizations for Efficient Intra-tile
                  Communication},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {112--115},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595657},
  doi          = {10.1109/ISSOC.2005.1595657},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/PapanikolaouSMB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Puusaari05,
  author       = {Kimmo Puusaari},
  title        = {Application Specific Instruction Set Processor Microarchitecture for
                  {UTMS-FDD} Cell Search},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {46--49},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595641},
  doi          = {10.1109/ISSOC.2005.1595641},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Puusaari05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RiihimakiKKHH05,
  author       = {Jouni Riihim{\"{a}}ki and
                  Petri Kukkala and
                  Tero Kangas and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Interfacing {UML} 2.0 for Multiprocessor System-on-Chip Design Flow},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {108--111},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595656},
  doi          = {10.1109/ISSOC.2005.1595656},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RiihimakiKKHH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RivatonQZWS05,
  author       = {Arnaud Rivaton and
                  J{\'{e}}r{\^{o}}me Qu{\'{e}}vremont and
                  Qiwei Zhang and
                  Pascal T. Wolkotte and
                  Gerard J. M. Smit},
  title        = {Implementing Non Power-of-Two FFTs on Coarse-Grain Reconfigurable
                  Architectures},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {74--77},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595648},
  doi          = {10.1109/ISSOC.2005.1595648},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RivatonQZWS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RowenDL05,
  author       = {Chris Rowen and
                  Ashish Dixit and
                  Steve Leibson},
  title        = {Low-Power {SOC} Design Using Configurable Processors-The Non-Nuclear
                  Option},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {8--13},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.4659334},
  doi          = {10.1109/ISSOC.2005.4659334},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RowenDL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SanttiP05,
  author       = {Tero S{\"{a}}ntti and
                  Juha Plosila},
  title        = {Instruction Folding for an Asynchronous Java Co-Processor},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {18--21},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595633},
  doi          = {10.1109/ISSOC.2005.1595633},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SanttiP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SarkarSG05,
  author       = {Soujanna Sarkar and
                  Sanjay Shinde and
                  Subash Chandar G.},
  title        = {An Effective {IP} Reuse Methodology for Quality System-on-Chip Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {104--107},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595655},
  doi          = {10.1109/ISSOC.2005.1595655},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SarkarSG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SmitHS05,
  author       = {Lodewijk T. Smit and
                  Johann L. Hurink and
                  Gerard J. M. Smit},
  title        = {Run-time Mapping of Applications to a Heterogeneous SoC},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {78--81},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595649},
  doi          = {10.1109/ISSOC.2005.1595649},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SmitHS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SmitSBQB05,
  author       = {Gerard J. M. Smit and
                  Eberhard Sch{\"{u}}ler and
                  J{\"{u}}rgen Becker and
                  J{\'{e}}r{\^{o}}me Qu{\'{e}}vremont and
                  Werner Brugger},
  title        = {Overview of the 4S Project},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {70--73},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595647},
  doi          = {10.1109/ISSOC.2005.1595647},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SmitSBQB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SugiharaTNIHKHK05,
  author       = {Makoto Sugihara and
                  Taiga Takata and
                  Kenta Nakamura and
                  Ryoichi Inanami and
                  Hiroaki Hayashi and
                  Katsumi Kishimoto and
                  Tetsuya Hasebe and
                  Yukihiro Kawano and
                  Yusuke Matsunaga and
                  Kazuaki J. Murakami and
                  Katsuya Okumura},
  title        = {Cell Library Development Methodology for Throughput Enhancement of
                  Electron Beam Direct-Write Lithography Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {137--140},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595663},
  doi          = {10.1109/ISSOC.2005.1595663},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SugiharaTNIHKHK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TuominenSP05,
  author       = {Johanna Tuominen and
                  Tero S{\"{a}}ntti and
                  Juha Plosila},
  title        = {Towards a Formal Power Estimation Framework for Hardware Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {96--99},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595653},
  doi          = {10.1109/ISSOC.2005.1595653},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TuominenSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VirkHM05,
  author       = {Kashif Virk and
                  Knud Hansen and
                  Jan Madsen},
  title        = {System-level Modeling of Wireless Integrated Sensor Networks},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {179--182},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595672},
  doi          = {10.1109/ISSOC.2005.1595672},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VirkHM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangN05,
  author       = {Xin Wang and
                  Jari Nurmi},
  title        = {An On-Chip {CDMA} Communication Network},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {155--160},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595667},
  doi          = {10.1109/ISSOC.2005.1595667},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WangN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WesterlundP05,
  author       = {Tomi Westerlund and
                  Juha Plosila},
  title        = {Formal Modelling of Synchronous Hardware Components for System-on-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {116--119},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595658},
  doi          = {10.1109/ISSOC.2005.1595658},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WesterlundP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WolkotteSKBB05,
  author       = {Pascal T. Wolkotte and
                  Gerard J. M. Smit and
                  Nikolay Kavaldjiev and
                  Jens E. Becker and
                  J{\"{u}}rgen Becker},
  title        = {Energy Model of Networks-on-Chip and a Bus},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {82--85},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595650},
  doi          = {10.1109/ISSOC.2005.1595650},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WolkotteSKBB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/YeungL05,
  author       = {Ping Yeung and
                  Kenneth Larsen},
  title        = {Practical Assertion-based Formal Verification for SoC Designs},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {58--61},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595644},
  doi          = {10.1109/ISSOC.2005.1595644},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/YeungL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Ykman-CouvreurB05,
  author       = {Chantal Ykman{-}Couvreur and
                  Erik Brockmeyer and
                  Vincent Nollet and
                  Th{\'{e}}odore Marescaux and
                  Francky Catthoor and
                  Henk Corporaal},
  title        = {Design-Time Application Exploration for MP-SoC Customized Run-Time
                  Management},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {66--69},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595646},
  doi          = {10.1109/ISSOC.2005.1595646},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Ykman-CouvreurB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Zammattio05,
  author       = {Stefano Zammattio},
  title        = {{SOPC} Builder, a Novel Design Methodology for {IP} Integration},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {37},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595638},
  doi          = {10.1109/ISSOC.2005.1595638},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Zammattio05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZettermanLA05,
  author       = {Tommi J. Zetterman and
                  Jukka T. Liimatainen and
                  Jyrki T. Alamaunu},
  title        = {Proof of Concept for Low-power Digital Asynchronous {IC} Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {38--41},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595639},
  doi          = {10.1109/ISSOC.2005.1595639},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZettermanLA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2005,
  title        = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/10634/proceeding},
  isbn         = {0-7803-9294-9},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2005.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AhonenN04,
  author       = {Tapani Ahonen and
                  Jari Nurmi},
  title        = {Design reuse and design for reuse, a case study on {HDSL2}},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {129--133},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411165},
  doi          = {10.1109/ISSOC.2004.1411165},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AhonenN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AndrijevicMO04,
  author       = {Goran Andrijevic and
                  H{\aa}kan Magnusson and
                  H{\aa}kan K. Olsson},
  title        = {A fully integrated low-IF {DVB-T} receiver architecture},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {189--192},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411182},
  doi          = {10.1109/ISSOC.2004.1411182},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AndrijevicMO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AngioniL04,
  author       = {Stefano Angioni and
                  Floyd Lazare},
  title        = {Implementing a single-processor cellular modem on an SC1000-family
                  core},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {3--7},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411051},
  doi          = {10.1109/ISSOC.2004.1411051},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AngioniL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AntochiJVL04,
  author       = {Iosif Antochi and
                  Bernardus Juurlink and
                  Stamatis Vassiliadis and
                  Petri Liuha},
  title        = {Efficient tile-aware bounding-box overlap test for tile-based rendering},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411177},
  doi          = {10.1109/ISSOC.2004.1411177},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AntochiJVL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BlaicknerAS04,
  author       = {Alfred Blaickner and
                  Susanne Albl and
                  Wolfgang Scherr},
  title        = {Configurable computing architectures for wireless and software defined
                  radio - a {FPGA} prototyping experience using high level design-tool-chains},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {111--116},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411162},
  doi          = {10.1109/ISSOC.2004.1411162},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BlaicknerAS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Bower04,
  author       = {Jacob Bower},
  title        = {A system-on-a-chip for audio encoding},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {149--155},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411173},
  doi          = {10.1109/ISSOC.2004.1411173},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Bower04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BrunelliCKN04,
  author       = {Claudio Brunelli and
                  Fabio Campi and
                  Juha Kylli{\"{a}}inen and
                  Jari Nurmi},
  title        = {A reconfigurable {FPU} as {IP} component for SoCs},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {103--106},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411160},
  doi          = {10.1109/ISSOC.2004.1411160},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BrunelliCKN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ChanCHC04,
  author       = {Chinhung Chan and
                  Yucheng Chang and
                  Hsichi Ho and
                  Herming Chiueh},
  title        = {A thermal-aware power management soft-IP for platform-based SoC designs},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {181--184},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411180},
  doi          = {10.1109/ISSOC.2004.1411180},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ChanCHC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Chang04,
  author       = {Chun{-}Yen Chang},
  title        = {Development of NSoC program in Taiwan},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411171},
  doi          = {10.1109/ISSOC.2004.1411171},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Chang04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CoppolaLMPS04,
  author       = {Marcello Coppola and
                  Riccardo Locatelli and
                  Giuseppe Maruccia and
                  Lorenzo Pieralisi and
                  Alberto Scandurra},
  title        = {Spidergon: a novel on-chip communication network},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411133},
  doi          = {10.1109/ISSOC.2004.1411133},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CoppolaLMPS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Dielacher04,
  author       = {Franz Dielacher},
  title        = {SoC-Mobinet: broadband transceiver design challenges},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411157},
  doi          = {10.1109/ISSOC.2004.1411157},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Dielacher04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Erez04,
  author       = {Mattan Erez},
  title        = {Stream architectures - efficiency and programmability},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411141},
  doi          = {10.1109/ISSOC.2004.1411141},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Erez04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Forsell04,
  author       = {Martti Forsell},
  title        = {Efficient barrier synchronization mechanism for emulated shared memory
                  NOCs},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411139},
  doi          = {10.1109/ISSOC.2004.1411139},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Forsell04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Forsell04a,
  author       = {Martti Forsell},
  title        = {Ec - a compiler for the e-language {[NOC} applications]},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {157--160},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411175},
  doi          = {10.1109/ISSOC.2004.1411175},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Forsell04a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GomiNISOM04,
  author       = {Shinichiro Gomi and
                  Kohichi Nakamura and
                  Hiroyuki Ito and
                  Hideyuki Sugita and
                  Kenichi Okada and
                  Kazuya Masu},
  title        = {High speed and low power on-chip micro network circuit with differential
                  transmission line},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {173--176},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411178},
  doi          = {10.1109/ISSOC.2004.1411178},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GomiNISOM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HabibiGT04,
  author       = {Ali Habibi and
                  Amjad Gawanmeh and
                  Sofi{\`{e}}ne Tahar},
  title        = {Assertion based verification of {PSL} for SystemC designs},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411179},
  doi          = {10.1109/ISSOC.2004.1411179},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HabibiGT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HarmanciELI04,
  author       = {Mehmet Derin Harmanci and
                  Nuria Pazos Escudero and
                  Yusuf Leblebici and
                  Paolo Ienne},
  title        = {Providing QoS to connection-less packet-switched NoC by implementing
                  DiffServ functionalities},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {37--40},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411140},
  doi          = {10.1109/ISSOC.2004.1411140},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HarmanciELI04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/InoueTMM04,
  author       = {Koji Inoue and
                  Hidekazu Tanaka and
                  Vasily G. Moshnyaga and
                  Kazuaki J. Murakami},
  title        = {A low-power I-cache design with tag-comparison reuse},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {61--67},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411147},
  doi          = {10.1109/ISSOC.2004.1411147},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/InoueTMM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Kadim04,
  author       = {H. J. Kadim},
  title        = {Estimation of a maximum bound of uncertain parameter fluctuations
                  with applications to analogue IP-cores},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {161--164},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411176},
  doi          = {10.1109/ISSOC.2004.1411176},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Kadim04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KariniemiN04,
  author       = {Heikki Kariniemi and
                  Jari Nurmi},
  title        = {Reusable {XGFT} interconnect {IP} for network-on-chip implementations},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {95--102},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411159},
  doi          = {10.1109/ISSOC.2004.1411159},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KariniemiN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KasankoN04,
  author       = {Tuukka Kasanko and
                  Jari Nurmi},
  title        = {Verification of a 32-bit {RISC} processor core},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {107--110},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411161},
  doi          = {10.1109/ISSOC.2004.1411161},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KasankoN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KrekuKS04,
  author       = {Jari Kreku and
                  Tarja Kauppi and
                  Juha{-}Pekka Soininen},
  title        = {Evaluation of platform architecture performance using abstract instruction-level
                  workload models},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {43--48},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411143},
  doi          = {10.1109/ISSOC.2004.1411143},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KrekuKS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LiuZT04,
  author       = {Jian Liu and
                  Li{-}Rong Zheng and
                  Hannu Tenhunen},
  title        = {Global routing for multicast-supporting {TDM} network-on-chip},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {17--20},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411135},
  doi          = {10.1109/ISSOC.2004.1411135},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LiuZT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LuJ04,
  author       = {Zhonghai Lu and
                  Axel Jantsch},
  title        = {Flit admission in on-chip wormhole-switched networks with virtual
                  channels},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411136},
  doi          = {10.1109/ISSOC.2004.1411136},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LuJ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LuoMBP04,
  author       = {Yong Luo and
                  Anatoly Moskalev and
                  Laurence E. Bays and
                  Brian J. Petryna},
  title        = {A high linearity analog front end for multiprocessor {SOC} integration},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411130},
  doi          = {10.1109/ISSOC.2004.1411130},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LuoMBP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Metzgen04,
  author       = {Paul Metzgen},
  title        = {Optimizing a high performance 32-bit processor for programmable logic},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411131},
  doi          = {10.1109/ISSOC.2004.1411131},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Metzgen04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Meyr04,
  author       = {Heinrich Meyr},
  title        = {Application specific instruction-set processors (ASIP's) for wireless
                  communications: design, cost, and energy efficiency vs. flexibility},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411050},
  doi          = {10.1109/ISSOC.2004.1411050},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Meyr04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MorgenshteinCKG04,
  author       = {Arkadiy Morgenshtein and
                  Israel Cidon and
                  Avinoam Kolodny and
                  Ran Ginosar},
  title        = {Comparative analysis of serial vs parallel links in NoC},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {185--188},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411181},
  doi          = {10.1109/ISSOC.2004.1411181},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MorgenshteinCKG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NigussieTI04,
  author       = {Ethiopia Nigussie and
                  Johanna Tuominen and
                  Jouni Isoaho},
  title        = {Analyses of signaling techniques for self-timed systems},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411156},
  doi          = {10.1109/ISSOC.2004.1411156},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NigussieTI04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OfnerNMIT04,
  author       = {Erwin Ofner and
                  Jari Nurmi and
                  Jan Madsen and
                  Jouni Isoaho and
                  Hannu Tenhunen},
  title        = {SoC-Mobinet, R{\&}D and education in system-on-chip design},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {77--80},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411153},
  doi          = {10.1109/ISSOC.2004.1411153},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OfnerNMIT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OumalouHT04,
  author       = {Karim Oumalou and
                  Ali Habibi and
                  Sofi{\`{e}}ne Tahar},
  title        = {Design for verification of a {PCI} bus in SystemC},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411185},
  doi          = {10.1109/ISSOC.2004.1411185},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OumalouHT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PanisHFKLLN04,
  author       = {Christian Panis and
                  Ulrich Hirnschrott and
                  Stefan Farfeleder and
                  Andreas Krall and
                  Gunther Laure and
                  Wolfgang Lazian and
                  Jari Nurmi},
  title        = {A scalable embedded {DSP} core for SoC applications},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {85--88},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411155},
  doi          = {10.1109/ISSOC.2004.1411155},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PanisHFKLLN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PelliconiCSMM04,
  author       = {R. Pelliconi and
                  Fabio Campi and
                  L. Salsa and
                  Claudio Mucci and
                  S. Macchiavelli},
  title        = {An in-circuit debug environment for multiprocessor SOCs based on a
                  {HDL} {RISC} soft-core},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {193--196},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411183},
  doi          = {10.1109/ISSOC.2004.1411183},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PelliconiCSMM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PlosilaLI04,
  author       = {Juha Plosila and
                  Pasi Liljeberg and
                  Jouni Isoaho},
  title        = {Refinement of on-chip communication channels},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {197--200},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411184},
  doi          = {10.1109/ISSOC.2004.1411184},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PlosilaLI04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RiihimakiKSHKH04,
  author       = {Jouni Riihim{\"{a}}ki and
                  Petri Kukkala and
                  Erno Salminen and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Kimmo Kuusilinna and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Practical distributed simulation of a network of wireless terminals},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411144},
  doi          = {10.1109/ISSOC.2004.1411144},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RiihimakiKSHKH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RintakoskiKN04,
  author       = {Timo Rintakoski and
                  Mika Kuulusa and
                  Jari Nurmi},
  title        = {Hardware unit for OVSF/Walsh/Hadamard code generation {[3G} mobile
                  communication applications]},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {143--145},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411169},
  doi          = {10.1109/ISSOC.2004.1411169},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RintakoskiKN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RissaL04,
  author       = {Tero Rissa and
                  Wayne Luk},
  title        = {Reduction of design complexity using virtual hardware platforms},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411151},
  doi          = {10.1109/ISSOC.2004.1411151},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RissaL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RistimakiN04,
  author       = {Tapio Ristim{\"{a}}ki and
                  Jari Nurmi},
  title        = {Reconfigurable {IP} blocks: a survey [SoC]},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {117--122},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411163},
  doi          = {10.1109/ISSOC.2004.1411163},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RistimakiN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Rusu04,
  author       = {Stefan Rusu},
  title        = {Clock generation and distribution in high-performance processors},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411188},
  doi          = {10.1109/ISSOC.2004.1411188},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Rusu04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalminenKH04,
  author       = {Erno Salminen and
                  Kimmo Kuusilinna and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Comparison of hardware {IP} components for system-on-chip},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {69--73},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411149},
  doi          = {10.1109/ISSOC.2004.1411149},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalminenKH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SatheWL04,
  author       = {Sumant Sathe and
                  Daniel Wiklund and
                  Dake Liu},
  title        = {Design of a guaranteed throughput router for on-chip networks},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411137},
  doi          = {10.1109/ISSOC.2004.1411137},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SatheWL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Siguenza-Tortosa04,
  author       = {David A. Sig{\"{u}}enza{-}Tortosa and
                  Jari Nurmi},
  title        = {Topology design for global link optimization in application specific
                  network-on-chips},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {135--138},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411167},
  doi          = {10.1109/ISSOC.2004.1411167},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Siguenza-Tortosa04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SmitHRM04,
  author       = {Gerard J. M. Smit and
                  Paul M. Heysters and
                  Mich{\`{e}}l A. J. Rosien and
                  Bert Molenkamp},
  title        = {Lessons learned from designing the {MONTIUM} - a coarse-grained reconfigurable
                  processing tile},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411138},
  doi          = {10.1109/ISSOC.2004.1411138},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SmitHRM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VirkM04,
  author       = {Kashif Virk and
                  Jan Madsen},
  title        = {A system-level multiprocessor system-on-chip modeling framework},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {81--84},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411154},
  doi          = {10.1109/ISSOC.2004.1411154},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VirkM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangAN04,
  author       = {Xin Wang and
                  Tapani Ahonen and
                  Jari Nurmi},
  title        = {A synthesizable {RTL} design of asynchronous {FIFO}},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {123--128},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411164},
  doi          = {10.1109/ISSOC.2004.1411164},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WangAN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WeerasekeraZPT04,
  author       = {Roshan Weerasekera and
                  Li{-}Rong Zheng and
                  Dinesh Pamunuwa and
                  Hannu Tenhunen},
  title        = {Crosstalk immune interconnect driver design},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {139--142},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411168},
  doi          = {10.1109/ISSOC.2004.1411168},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WeerasekeraZPT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WellsDR04,
  author       = {Cade C. Wells and
                  Ed Duncan and
                  David Renshaw},
  title        = {A model for imaging system-on-chip manufacturing costs},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411145},
  doi          = {10.1109/ISSOC.2004.1411145},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WellsDR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Woo04,
  author       = {Ramchan Woo},
  title        = {3D graphics circuits for 3G multimedia terminals},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411186},
  doi          = {10.1109/ISSOC.2004.1411186},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Woo04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Wu04,
  author       = {Shaojun Wu},
  title        = {A low-noise fast-settling {PLL} frequency synthesizer for {CDMA} receivers},
  booktitle    = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISSOC.2004.1411146},
  doi          = {10.1109/ISSOC.2004.1411146},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Wu04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2004,
  title        = {Proceedings of the 2004 International Symposium on System-on-Chip,
                  Tampere, Finland, November 16-18, 2004},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/9673/proceeding},
  isbn         = {0-7803-8558-6},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2004.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AgarwalSB03,
  author       = {Kanak Agarwal and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Dynamic clamping: on-chip dynamic shielding and termination for high-speed
                  {RLC} buses},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {97--100},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267726},
  doi          = {10.1109/ISSOC.2003.1267726},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AgarwalSB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Ariyamparambath03,
  author       = {Manoj Ariyamparambath and
                  Denis Bussaglia and
                  Bernd Reinkemeier and
                  Tim Kogel and
                  Torsten Kempf},
  title        = {A highly efficient modeling style for heterogeneous bus architectures},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {83--87},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267723},
  doi          = {10.1109/ISSOC.2003.1267723},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Ariyamparambath03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BaiS03,
  author       = {Robert Bai and
                  Dennis Sylvester},
  title        = {Analysis and design of level-converting flip-flops for dual-V\({}_{\mbox{dd}}\)/V\({}_{\mbox{th}}\)
                  integrated circuits},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {151--154},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267743},
  doi          = {10.1109/ISSOC.2003.1267743},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BaiS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BarticMNMVVL03,
  author       = {T. Andrei Bartic and
                  Jean{-}Yves Mignolet and
                  Vincent Nollet and
                  Th{\'{e}}odore Marescaux and
                  Diederik Verkest and
                  Serge Vernalde and
                  Rudy Lauwereins},
  title        = {Highly scalable network on chip for reconfigurable systems},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {79--82},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267722},
  doi          = {10.1109/ISSOC.2003.1267722},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BarticMNMVVL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BluthgenGRR03,
  author       = {Hans{-}Martin Bl{\"{u}}thgen and
                  Cyprian Grassmann and
                  Wolfgang Raab and
                  Ulrich Ramacher},
  title        = {A programmable platform for software-defined radio},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267706},
  doi          = {10.1109/ISSOC.2003.1267706},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BluthgenGRR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BocchiBBMC03,
  author       = {Massimo Bocchi and
                  Claudio Brunelli and
                  Claudia De Bartolomeis and
                  Luca Magagni and
                  Fabio Campi},
  title        = {A system level {IP} integration methodology for fast {SOC} design},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {127--130},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267734},
  doi          = {10.1109/ISSOC.2003.1267734},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BocchiBBMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Dabrowski03,
  author       = {Jerzy J. D{\k{a}}browski},
  title        = {Lookback BiST for {RF} front-ends in digital transceivers},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {143--146},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267738},
  doi          = {10.1109/ISSOC.2003.1267738},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Dabrowski03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Dalay03,
  author       = {Brian Dalay},
  title        = {Accelerating system performance using {SOPC} builder},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {3--5},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267702},
  doi          = {10.1109/ISSOC.2003.1267702},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Dalay03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GhattasMBS03,
  author       = {Hany Ghattas and
                  M. Mbaye and
                  J. Pepga Bissou and
                  Yvon Savaria},
  title        = {SoC platform architecture for a network processor},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267715},
  doi          = {10.1109/ISSOC.2003.1267715},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GhattasMBS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HasanAT03,
  author       = {Mohd. Hasan and
                  Tughrul Arslan and
                  John S. Thompson},
  title        = {A delay spread based low power reconfigurable {FFT} processor architecture
                  for wireless receiver},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {135--138},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267736},
  doi          = {10.1109/ISSOC.2003.1267736},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HasanAT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HatirnazL03,
  author       = {Ilhan Hatirnaz and
                  Yusuf Leblebici},
  title        = {Twisted differential on-chip interconnect architecture for inductive/capacitive
                  crosstalk noise cancellation},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {93--96},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267725},
  doi          = {10.1109/ISSOC.2003.1267725},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HatirnazL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HeikkinenRCTC03,
  author       = {Jari Heikkinen and
                  Tommi Rantanen and
                  Andrea G. M. Cilio and
                  Jarmo Takala and
                  Henk Corporaal},
  title        = {Immediate optimization for compressed transport triggered architecture
                  instructions},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {65--68},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267719},
  doi          = {10.1109/ISSOC.2003.1267719},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HeikkinenRCTC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HirnschrottK03,
  author       = {Ulrich Hirnschrott and
                  Andreas Krall},
  title        = {{VLIW} operation refinement for reducing energy consumption},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {131--134},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267735},
  doi          = {10.1109/ISSOC.2003.1267735},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HirnschrottK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HoffmannLM03,
  author       = {Andreas Hoffmann and
                  Richard Langridge and
                  Dave Machin},
  title        = {SoC integration of programmable cores},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267704},
  doi          = {10.1109/ISSOC.2003.1267704},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HoffmannLM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JeeP03,
  author       = {Sunghyun Jee and
                  Kannappan Palaniappan},
  title        = {Performance of dynamically scheduling {VLIW} instructions},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {7--10},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267703},
  doi          = {10.1109/ISSOC.2003.1267703},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JeeP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KangasRSKH03,
  author       = {Tero Kangas and
                  Jouni Riihim{\"{a}}ki and
                  Erno Salminen and
                  Kimmo Kuusilinna and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Using a communication generator in SoC architecture exploration},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267728},
  doi          = {10.1109/ISSOC.2003.1267728},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KangasRSKH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KariniemiN03,
  author       = {Heikki Kariniemi and
                  Jari Nurmi},
  title        = {New adaptive routing algorithm for extended generalized fat trees
                  on-chip},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {113--118},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267730},
  doi          = {10.1109/ISSOC.2003.1267730},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KariniemiN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KastrupW03,
  author       = {Bernardo Kastrup and
                  Antoine van Wel},
  title        = {Moustique: smaller than an {ASIC} and fully programmable},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267701},
  doi          = {10.1109/ISSOC.2003.1267701},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KastrupW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KillatSBBS03,
  author       = {Dirk Killat and
                  Joachim Schmidt and
                  Andreas Baumgaertner and
                  Robert Baraniecki and
                  Oliver Salzmann},
  title        = {One-chip solution in 0.35 {\(\mu\)}m standard {CMOS} for electronic
                  ballasts for fluorescent lamps},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {23--26},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267708},
  doi          = {10.1109/ISSOC.2003.1267708},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KillatSBBS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KimPK03,
  author       = {Youngwoo Kim and
                  Kyoung Park and
                  Myungjoon Kim},
  title        = {{AMBA} based multiprocessor system},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {41--42},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267712},
  doi          = {10.1109/ISSOC.2003.1267712},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KimPK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KirkhamAWC03,
  author       = {Tony Kirkham and
                  Tughrul Arslan and
                  Fred Westall and
                  David H. Crawford},
  title        = {A low power datapath for algebraic codebook search targeting a generic
                  {GSM} system-on-chip platform},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267716},
  doi          = {10.1109/ISSOC.2003.1267716},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KirkhamAWC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KrekuS03,
  author       = {Jari Kreku and
                  Juha{-}Pekka Soininen},
  title        = {Mappability estimate: a measure of the goodness of a processor-algorithm
                  pair},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {119--122},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267731},
  doi          = {10.1109/ISSOC.2003.1267731},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KrekuS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KylliainenNK03,
  author       = {Juha Kylli{\"{a}}inen and
                  Jari Nurmi and
                  Mika Kuulusa},
  title        = {{COFFEE} - a core for free},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {17--22},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267707},
  doi          = {10.1109/ISSOC.2003.1267707},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KylliainenNK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LeeHVY03,
  author       = {Jaeseo Lee and
                  Geoff Hatcher and
                  Lieven Vandenberghe and
                  Chih{-}Kong Ken Yang},
  title        = {Evaluation of fully-integrated switching regulators for {CMOS} process
                  technologies},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {155--158},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267744},
  doi          = {10.1109/ISSOC.2003.1267744},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LeeHVY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LehtorantaH03,
  author       = {Olli Lehtoranta and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Complexity analysis of spatially scalable {MPEG-4} encoder},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267717},
  doi          = {10.1109/ISSOC.2003.1267717},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LehtorantaH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LeijtenBHWW03,
  author       = {Jeroen A. J. Leijten and
                  Geoffrey Burns and
                  Jos Huisken and
                  Erwin Waterlander and
                  Antoine van Wel},
  title        = {{AVISPA:} a massively parallel reconfigurable accelerator},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267747},
  doi          = {10.1109/ISSOC.2003.1267747},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LeijtenBHWW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LiuZT03,
  author       = {Jian Liu and
                  Li{-}Rong Zheng and
                  Hannu Tenhunen},
  title        = {A guaranteed-throughput switch for network-on-chip},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {31--34},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267710},
  doi          = {10.1109/ISSOC.2003.1267710},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LiuZT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MadsenVG03,
  author       = {Jan Madsen and
                  Kashif Virk and
                  Mercury Gonzales},
  title        = {Abstract {RTOS} modeling for multiprocessor system-on-chip},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {147--150},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267741},
  doi          = {10.1109/ISSOC.2003.1267741},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MadsenVG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MeklerR03,
  author       = {Andrei Mekler and
                  Jaan Raik},
  title        = {Multiple-objective backtrace for solving test generation constraints},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {123--126},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267732},
  doi          = {10.1109/ISSOC.2003.1267732},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MeklerR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MucciCLTC03,
  author       = {Claudio Mucci and
                  Carlo Chiesa and
                  Andrea Lodi and
                  Mario Toma and
                  Fabio Campi},
  title        = {A C-based algorithm development flow for a reconfigurable processor
                  architecture},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {69--73},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267720},
  doi          = {10.1109/ISSOC.2003.1267720},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MucciCLTC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NettoACA03,
  author       = {Eduardo Braulio Wanderley Netto and
                  Rodolfo Azevedo and
                  Paulo Centoducatte and
                  Guido Araujo},
  title        = {Mixed static/dynamic profiling for dictionary based code compression},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {159--163},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267745},
  doi          = {10.1109/ISSOC.2003.1267745},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NettoACA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NikolovaMCN03,
  author       = {E. G. Nikolova and
                  David J. Mulvaney and
                  Vassilios A. Chouliaras and
                  Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez},
  title        = {A code compression scheme for improving SoC performance},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {35--40},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267711},
  doi          = {10.1109/ISSOC.2003.1267711},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NikolovaMCN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PanisHGN03,
  author       = {Christian Panis and
                  J. Hohl and
                  Herbert Gr{\"{u}}nbacher and
                  Jari Nurmi},
  title        = {xICU - in interrupt control unit for a configurable {DSP} core},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {75--78},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267721},
  doi          = {10.1109/ISSOC.2003.1267721},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PanisHGN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RistimakiN03,
  author       = {Tapio Ristim{\"{a}}ki and
                  Jari Nurmi},
  title        = {Implementing user and application specific algorithms within IP-methodology:
                  a coarse-grain-approach},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {61--64},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267718},
  doi          = {10.1109/ISSOC.2003.1267718},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RistimakiN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RouniojaP03,
  author       = {Kim Rounioja and
                  Jari A. Parviainen},
  title        = {Arithmetic processing unit for reciprocal operations},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {109--112},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267729},
  doi          = {10.1109/ISSOC.2003.1267729},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RouniojaP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RowenL03,
  author       = {Chris Rowen and
                  Steve Leibson},
  title        = {{SOC} logic development using configurable, application-specific processors},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267705},
  doi          = {10.1109/ISSOC.2003.1267705},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RowenL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalminenS03,
  author       = {Tommi Salminen and
                  Juha{-}Pekka Soininen},
  title        = {Evaluating application mapping using network simulation},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {27--30},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267709},
  doi          = {10.1109/ISSOC.2003.1267709},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalminenS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SeceleanuP03,
  author       = {Tiberiu Seceleanu and
                  Juha Plosila},
  title        = {Modeling on-chip communication},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267724},
  doi          = {10.1109/ISSOC.2003.1267724},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SeceleanuP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TahedlP03,
  author       = {Markus Tahedl and
                  Hans{-}J{\"{o}}rg Pfleiderer},
  title        = {A driver load model for capacitive coupled on-chip interconnect buses},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {101--104},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267727},
  doi          = {10.1109/ISSOC.2003.1267727},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TahedlP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Talluto03,
  author       = {Salvatore Talluto},
  title        = {{CTL} based {DFT} solution to accelerate design to test development
                  for system on chip devices},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267713},
  doi          = {10.1109/ISSOC.2003.1267713},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Talluto03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/YlinenBT03,
  author       = {Mikko Ylinen and
                  Adrian Burian and
                  Jarmo Takala},
  title        = {Updating matrix inverse in fixed-point representation: direct versus
                  iterative methods},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {45--48},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267714},
  doi          = {10.1109/ISSOC.2003.1267714},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/YlinenBT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZamoraCS03,
  author       = {Jos{\'{e}} Antonio Moreno Zamora and
                  Pedro Jos{\'{e}} Rodriguez Corrales and
                  Juan Manuel S{\'{a}}nchez{-}P{\'{e}}rez},
  title        = {Design of a parametrizable low cost Ethernet {MAC} core for SoC solutions},
  booktitle    = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  pages        = {139--142},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISSOC.2003.1267737},
  doi          = {10.1109/ISSOC.2003.1267737},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZamoraCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2003,
  title        = {Proceedings of the 2003 International Symposium on System-on-Chip,
                  Tampere, Finland, November 19-21, 2003},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8954/proceeding},
  isbn         = {0-7803-8160-2},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2003.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics