callback( { "result":{ "query":":facetid:toc:\"db/conf/isqed/isqed2008.bht\"", "status":{ "@code":"200", "text":"OK" }, "time":{ "@unit":"msecs", "text":"196.85" }, "completions":{ "@total":"1", "@computed":"1", "@sent":"1", "c":{ "@sc":"171", "@dc":"171", "@oc":"171", "@id":"43409678", "text":":facetid:toc:db/conf/isqed/isqed2008.bht" } }, "hits":{ "@total":"171", "@computed":"171", "@sent":"171", "@first":"0", "hit":[{ "@score":"1", "@id":"5388605", "info":{"authors":{"author":[{"@pid":"50/745","text":"Shinya Abe"},{"@pid":"81/317","text":"Masanori Hashimoto"},{"@pid":"39/5945","text":"Takao Onoye"}]},"title":"Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution.","venue":"ISQED","pages":"520-525","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/AbeHO08","doi":"10.1109/ISQED.2008.4479789","ee":"https://doi.org/10.1109/ISQED.2008.4479789","url":"https://dblp.org/rec/conf/isqed/AbeHO08"}, "url":"URL#5388605" }, { "@score":"1", "@id":"5388606", "info":{"authors":{"author":[{"@pid":"86/851","text":"Rajsekhar Adapa"},{"@pid":"24/2211","text":"Edward Flanigan"},{"@pid":"70/5489","text":"Spyros Tragoudas"}]},"title":"A Novel Test Generation Methodology for Adaptive Diagnosis.","venue":"ISQED","pages":"242-245","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/AdapaFT08","doi":"10.1109/ISQED.2008.4479733","ee":"https://doi.org/10.1109/ISQED.2008.4479733","url":"https://dblp.org/rec/conf/isqed/AdapaFT08"}, "url":"URL#5388606" }, { "@score":"1", "@id":"5388607", "info":{"authors":{"author":[{"@pid":"78/225","text":"Seyed-Abdollah Aftabjahani"},{"@pid":"m/LindaSMilor","text":"Linda S. Milor"}]},"title":"Compact Variation-Aware Standard Cell Models for Timing Analysis - Complexity and Accuracy Analysis.","venue":"ISQED","pages":"148-151","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/AftabjahaniM08","doi":"10.1109/ISQED.2008.4479716","ee":"https://doi.org/10.1109/ISQED.2008.4479716","url":"https://dblp.org/rec/conf/isqed/AftabjahaniM08"}, "url":"URL#5388607" }, { "@score":"1", "@id":"5388608", "info":{"authors":{"author":[{"@pid":"30/2087","text":"Charbel J. Akl"},{"@pid":"b/MagdyABayoumi","text":"Magdy A. Bayoumi"}]},"title":"Feedback-Switch Logic (FSL): A High-Speed Low-Power Differential Dynamic-Like Static CMOS Circuit Family.","venue":"ISQED","pages":"385-390","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/AklB08","doi":"10.1109/ISQED.2008.4479762","ee":"https://doi.org/10.1109/ISQED.2008.4479762","url":"https://dblp.org/rec/conf/isqed/AklB08"}, "url":"URL#5388608" }, { "@score":"1", "@id":"5388609", "info":{"authors":{"author":[{"@pid":"42/3124","text":"Uthman Alsaiari"},{"@pid":"s/ResveASaleh","text":"Resve A. Saleh"}]},"title":"Partitioning for Selective Flip-Flop Redundancy in Sequential Circuits.","venue":"ISQED","pages":"798-803","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/AlsaiariS08","doi":"10.1109/ISQED.2008.4479840","ee":"https://doi.org/10.1109/ISQED.2008.4479840","url":"https://dblp.org/rec/conf/isqed/AlsaiariS08"}, "url":"URL#5388609" }, { "@score":"1", "@id":"5388610", "info":{"authors":{"author":[{"@pid":"07/36","text":"Daniel A. Andersson"},{"@pid":"28/5698","text":"Simon Kristiansson"},{"@pid":"79/2048","text":"Lars J. Svensson"},{"@pid":"80/3712","text":"Per Larsson-Edefors"},{"@pid":"30/5568","text":"Kjell O. Jeppson"}]},"title":"Noise Interaction Between Power Distribution Grids and Substrate.","venue":"ISQED","pages":"84-89","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/AnderssonKSLJ08","doi":"10.1109/ISQED.2008.4479703","ee":"https://doi.org/10.1109/ISQED.2008.4479703","url":"https://dblp.org/rec/conf/isqed/AnderssonKSLJ08"}, "url":"URL#5388610" }, { "@score":"1", "@id":"5388611", "info":{"authors":{"author":[{"@pid":"07/36","text":"Daniel A. Andersson"},{"@pid":"79/2048","text":"Lars J. Svensson"},{"@pid":"80/3712","text":"Per Larsson-Edefors"}]},"title":"Noise-Aware On-Chip Power Grid Considerations Using a Statistical Approach.","venue":"ISQED","pages":"663-669","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/AnderssonSL08","doi":"10.1109/ISQED.2008.4479816","ee":"https://doi.org/10.1109/ISQED.2008.4479816","url":"https://dblp.org/rec/conf/isqed/AnderssonSL08"}, "url":"URL#5388611" }, { "@score":"1", "@id":"5388612", "info":{"authors":{"author":{"@pid":"124/1902","text":"Nathaniel J. August"}},"title":"A Robust and Efficient Pre-Silicon Validation Environment for Mixed-Signal Circuits on Intel's Test Chips.","venue":"ISQED","pages":"423-428","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/August08","doi":"10.1109/ISQED.2008.4479769","ee":"https://doi.org/10.1109/ISQED.2008.4479769","url":"https://dblp.org/rec/conf/isqed/August08"}, "url":"URL#5388612" }, { "@score":"1", "@id":"5388613", "info":{"authors":{"author":[{"@pid":"41/763","text":"Shubhankar Basu"},{"@pid":"81/5095","text":"Balaji Kommineni"},{"@pid":"81/2940","text":"Ranga Vemuri"}]},"title":"Variation Aware Spline Center and Range Modeling for Analog Circuit Performance.","venue":"ISQED","pages":"162-167","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/BasuKV08","doi":"10.1109/ISQED.2008.4479719","ee":"https://doi.org/10.1109/ISQED.2008.4479719","url":"https://dblp.org/rec/conf/isqed/BasuKV08"}, "url":"URL#5388613" }, { "@score":"1", "@id":"5388614", "info":{"authors":{"author":[{"@pid":"00/4317","text":"Shabbir H. Batterywala"},{"@pid":"15/6045","text":"Sambuddha Bhattacharya"},{"@pid":"98/6839","text":"Subramanian Rajagopalan"},{"@pid":"00/6007","text":"Hi-Keung Tony Ma"},{"@pid":"13/6915","text":"Narendra V. Shenoy"}]},"title":"Cell Swapping Based Migration Methodology for Analog and Custom Layouts.","venue":"ISQED","pages":"450-455","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/BatterywalaBRMS08","doi":"10.1109/ISQED.2008.4479775","ee":"https://doi.org/10.1109/ISQED.2008.4479775","url":"https://dblp.org/rec/conf/isqed/BatterywalaBRMS08"}, "url":"URL#5388614" }, { "@score":"1", "@id":"5388615", "info":{"authors":{"author":[{"@pid":"15/6045","text":"Sambuddha Bhattacharya"},{"@pid":"00/4317","text":"Shabbir H. Batterywala"},{"@pid":"98/6839","text":"Subramanian Rajagopalan"},{"@pid":"00/6007","text":"Hi-Keung Tony Ma"},{"@pid":"13/6915","text":"Narendra V. Shenoy"}]},"title":"On Efficient and Robust Constraint Generation for Practical Layout Legalization.","venue":"ISQED","pages":"379-384","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/BhattacharyaBRMS08","doi":"10.1109/ISQED.2008.4479761","ee":"https://doi.org/10.1109/ISQED.2008.4479761","url":"https://dblp.org/rec/conf/isqed/BhattacharyaBRMS08"}, "url":"URL#5388615" }, { "@score":"1", "@id":"5388616", "info":{"authors":{"author":[{"@pid":"29/2569","text":"Jason G. Brown"},{"@pid":"b/RDShawnBlanton","text":"R. D. (Shawn) Blanton"}]},"title":"Automated Standard Cell Library Analysis for Improved Defect Modeling.","venue":"ISQED","pages":"643-648","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/BrownB08","doi":"10.1109/ISQED.2008.4479813","ee":"https://doi.org/10.1109/ISQED.2008.4479813","url":"https://dblp.org/rec/conf/isqed/BrownB08"}, "url":"URL#5388616" }, { "@score":"1", "@id":"5388617", "info":{"authors":{"author":[{"@pid":"94/6532","text":"Tiago Muller Gil Cardoso"},{"@pid":"16/5173","text":"Leomar S. da Rosa Jr."},{"@pid":"28/3459","text":"Felipe de Souza Marques"},{"@pid":"75/4916","text":"Renato P. Ribas"},{"@pid":"29/1197","text":"André Inácio Reis"}]},"title":"Speed-Up of ASICs Derived from FPGAs by Transistor Network Synthesis Including Reordering.","venue":"ISQED","pages":"47-52","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/CardosoRMRR08","doi":"10.1109/ISQED.2008.4479696","ee":"https://doi.org/10.1109/ISQED.2008.4479696","url":"https://dblp.org/rec/conf/isqed/CardosoRMRR08"}, "url":"URL#5388617" }, { "@score":"1", "@id":"5388618", "info":{"authors":{"author":[{"@pid":"05/1579","text":"Rajat Subhra Chakraborty"},{"@pid":"75/4629","text":"Swarup Bhunia"}]},"title":"Micropipeline-Based Asynchronous Design Methodology for Robust System Design Using Nanoscale Crossbar.","venue":"ISQED","pages":"697-701","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ChakrabortyB08","doi":"10.1109/ISQED.2008.4479822","ee":"https://doi.org/10.1109/ISQED.2008.4479822","url":"https://dblp.org/rec/conf/isqed/ChakrabortyB08"}, "url":"URL#5388618" }, { "@score":"1", "@id":"5388619", "info":{"authors":{"author":[{"@pid":"68/3663","text":"Anshuman Chandra"},{"@pid":"96/2404","text":"Rohit Kapur"}]},"title":"Interval Based X-Masking for Scan Compression Architectures.","venue":"ISQED","pages":"821-826","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ChandraK08","doi":"10.1109/ISQED.2008.4479844","ee":"https://doi.org/10.1109/ISQED.2008.4479844","url":"https://dblp.org/rec/conf/isqed/ChandraK08"}, "url":"URL#5388619" }, { "@score":"1", "@id":"5388620", "info":{"authors":{"author":[{"@pid":"04/4971","text":"Tsu-Shuan Chang"},{"@pid":"35/4332","text":"Manish Kumar"},{"@pid":"36/705","text":"Teng-Sheng Moh"},{"@pid":"69/5712","text":"Chung-Li Tseng"}]},"title":"On the Feasibility of Obtaining a Globally Optimal Floorplanning for an L-shaped Layout Problem.","venue":"ISQED","pages":"277-282","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ChangKMT08","doi":"10.1109/ISQED.2008.4479739","ee":"https://doi.org/10.1109/ISQED.2008.4479739","url":"https://dblp.org/rec/conf/isqed/ChangKMT08"}, "url":"URL#5388620" }, { "@score":"1", "@id":"5388621", "info":{"authors":{"author":[{"@pid":"08/2232","text":"Kaiyu Chen"},{"@pid":"79/6934","text":"Sharad Malik"},{"@pid":"45/3532","text":"Priyadarsan Patra"}]},"title":"Runtime Validation of Transactional Memory Systems.","venue":"ISQED","pages":"750-756","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ChenMP08","doi":"10.1109/ISQED.2008.4479832","ee":"https://doi.org/10.1109/ISQED.2008.4479832","url":"https://dblp.org/rec/conf/isqed/ChenMP08"}, "url":"URL#5388621" }, { "@score":"1", "@id":"5388622", "info":{"authors":{"author":[{"@pid":"80/1641","text":"Yiran Chen 0001"},{"@pid":"17/5812","text":"Xiaobin Wang"},{"@pid":"30/5330-1","text":"Hai Li 0001"},{"@pid":"62/180","text":"Harry Liu"},{"@pid":"51/2162","text":"Dimitar V. Dimitrov"}]},"title":"Design Margin Exploration of Spin-Torque Transfer RAM (SPRAM).","venue":"ISQED","pages":"684-690","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ChenWLLD08","doi":"10.1109/ISQED.2008.4479820","ee":"https://doi.org/10.1109/ISQED.2008.4479820","url":"https://dblp.org/rec/conf/isqed/ChenWLLD08"}, "url":"URL#5388622" }, { "@score":"1", "@id":"5388623", "info":{"authors":{"author":{"@pid":"67/5492","text":"Paul Pao-Fang Cheng"}},"title":"A Knowledge-Based Tool for Generating and Verifying Hardware-Ready Embedded Memory Models.","venue":"ISQED","pages":"456-459","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Cheng08","doi":"10.1109/ISQED.2008.4479776","ee":"https://doi.org/10.1109/ISQED.2008.4479776","url":"https://dblp.org/rec/conf/isqed/Cheng08"}, "url":"URL#5388623" }, { "@score":"1", "@id":"5388624", "info":{"authors":{"author":{"@pid":"12/124","text":"Allen C. Cheng"}},"title":"Amplifying Embedded System Efficiency via Automatic Instruction Fusion on a Post-Manufacturing Reconfigurable Architecture Platform.","venue":"ISQED","pages":"744-749","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Cheng08a","doi":"10.1109/ISQED.2008.4479831","ee":"https://doi.org/10.1109/ISQED.2008.4479831","url":"https://dblp.org/rec/conf/isqed/Cheng08a"}, "url":"URL#5388624" }, { "@score":"1", "@id":"5388625", "info":{"authors":{"author":[{"@pid":"35/4862","text":"Chuang-Chi Chiou"},{"@pid":"24/3981","text":"Chun-Yao Wang"},{"@pid":"83/2711","text":"Yung-Chih Chen"}]},"title":"A Statistic-Based Approach to Testability Analysis.","venue":"ISQED","pages":"267-270","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ChiouWC08","doi":"10.1109/ISQED.2008.4479737","ee":"https://doi.org/10.1109/ISQED.2008.4479737","url":"https://dblp.org/rec/conf/isqed/ChiouWC08"}, "url":"URL#5388625" }, { "@score":"1", "@id":"5388626", "info":{"authors":{"author":[{"@pid":"56/3290","text":"Ricky Yiu-kee Choi"},{"@pid":"26/1737","text":"Chi-Ying Tsui"}]},"title":"A Low Energy Two-Step Successive Approximation Algorithm for ADC Design.","venue":"ISQED","pages":"317-320","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ChoiT08","doi":"10.1109/ISQED.2008.4479747","ee":"https://doi.org/10.1109/ISQED.2008.4479747","url":"https://dblp.org/rec/conf/isqed/ChoiT08"}, "url":"URL#5388626" }, { "@score":"1", "@id":"5388627", "info":{"authors":{"author":[{"@pid":"25/6676","text":"Foad Dabiri"},{"@pid":"62/3573","text":"Navid Amini"},{"@pid":"95/694","text":"Mahsan Rofouei"},{"@pid":"s/MajidSarrafzadeh","text":"Majid Sarrafzadeh"}]},"title":"Reliability-Aware Optimization for DVS-Enabled Real-Time Embedded Systems.","venue":"ISQED","pages":"780-783","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/DabiriARS08","doi":"10.1109/ISQED.2008.4479837","ee":"https://doi.org/10.1109/ISQED.2008.4479837","url":"https://dblp.org/rec/conf/isqed/DabiriARS08"}, "url":"URL#5388627" }, { "@score":"1", "@id":"5388628", "info":{"authors":{"author":{"@pid":"31/1452","text":"Anil Deshpande"}},"title":"Verification of IP-Core Based SoC's.","venue":"ISQED","pages":"433-436","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Deshpande08","doi":"10.1109/ISQED.2008.4479771","ee":"https://doi.org/10.1109/ISQED.2008.4479771","url":"https://dblp.org/rec/conf/isqed/Deshpande08"}, "url":"URL#5388628" }, { "@score":"1", "@id":"5388629", "info":{"authors":{"author":[{"@pid":"97/4217","text":"Qian Ding"},{"@pid":"w/YuWang2","text":"Yu Wang 0002"},{"@pid":"39/721-4","text":"Hui Wang 0004"},{"@pid":"82/1107","text":"Rong Luo"},{"@pid":"94/1128","text":"Huazhong Yang"}]},"title":"Output Remapping Technique for Soft-Error Rate Reduction in Critical Paths.","venue":"ISQED","pages":"74-77","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/DingWWLY08","doi":"10.1109/ISQED.2008.4479701","ee":"https://doi.org/10.1109/ISQED.2008.4479701","url":"https://dblp.org/rec/conf/isqed/DingWWLY08"}, "url":"URL#5388629" }, { "@score":"1", "@id":"5388630", "info":{"authors":{"author":{"@pid":"05/968","text":"Antun Domic"}},"title":"Luncheon Keynote Speech.","venue":"ISQED","pages":"90-91","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Domic08","doi":"10.1109/ISQED.2008.4479704","ee":"https://doi.org/10.1109/ISQED.2008.4479704","url":"https://dblp.org/rec/conf/isqed/Domic08"}, "url":"URL#5388630" }, { "@score":"1", "@id":"5388631", "info":{"authors":{"author":[{"@pid":"36/2250","text":"Avijit Dutta"},{"@pid":"16/452","text":"Abhijit Jas"}]},"title":"Combinational Logic Circuit Protection Using Customized Error Detecting and Correcting Codes.","venue":"ISQED","pages":"68-73","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/DuttaJ08","doi":"10.1109/ISQED.2008.4479700","ee":"https://doi.org/10.1109/ISQED.2008.4479700","url":"https://dblp.org/rec/conf/isqed/DuttaJ08"}, "url":"URL#5388631" }, { "@score":"1", "@id":"5388632", "info":{"authors":{"author":[{"@pid":"69/2053","text":"Zahra Sadat Ebadi"},{"@pid":"s/ResveASaleh","text":"Resve A. Saleh"}]},"title":"A Fully-Integrated 2.4 GHz Mismatch-Controllable RF Front-end Test Platform in 0.18µm CMOS.","venue":"ISQED","pages":"411-416","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/EbadiS08","doi":"10.1109/ISQED.2008.4479767","ee":"https://doi.org/10.1109/ISQED.2008.4479767","url":"https://dblp.org/rec/conf/isqed/EbadiS08"}, "url":"URL#5388632" }, { "@score":"1", "@id":"5388633", "info":{"authors":{"author":{"@pid":"95/426","text":"Praveen Elakkumanan"}},"title":"Tutorial 6: Enhancing Yield through Design for Manufacturability (DFM).","venue":"ISQED","pages":"8-9","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Elakkumanan08","doi":"10.1109/ISQED.2008.4479689","ee":"https://doi.org/10.1109/ISQED.2008.4479689","url":"https://dblp.org/rec/conf/isqed/Elakkumanan08"}, "url":"URL#5388633" }, { "@score":"1", "@id":"5388634", "info":{"authors":{"author":[{"@pid":"14/821","text":"John Ferguson"},{"@pid":"15/2389","text":"Robert Todd"}]},"title":"Architecting for Physical Verification Performance and Scaling.","venue":"ISQED","pages":"283-288","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/FergusonT08","doi":"10.1109/ISQED.2008.4479740","ee":"https://doi.org/10.1109/ISQED.2008.4479740","url":"https://dblp.org/rec/conf/isqed/FergusonT08"}, "url":"URL#5388634" }, { "@score":"1", "@id":"5388635", "info":{"authors":{"author":[{"@pid":"20/4776","text":"Görschwin Fey"},{"@pid":"d/RolfDrechsler","text":"Rolf Drechsler"}]},"title":"A Basis for Formal Robustness Checking.","venue":"ISQED","pages":"784-789","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/FeyD08","doi":"10.1109/ISQED.2008.4479838","ee":"https://doi.org/10.1109/ISQED.2008.4479838","url":"https://dblp.org/rec/conf/isqed/FeyD08"}, "url":"URL#5388635" }, { "@score":"1", "@id":"5388636", "info":{"authors":{"author":[{"@pid":"24/2211","text":"Edward Flanigan"},{"@pid":"73/2737","text":"Arkan Abdulrahman"},{"@pid":"70/5489","text":"Spyros Tragoudas"}]},"title":"Sequential Path Delay Fault Identification Using Encoded Delay Propagation Signatures.","venue":"ISQED","pages":"633-636","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/FlaniganAT08","doi":"10.1109/ISQED.2008.4479811","ee":"https://doi.org/10.1109/ISQED.2008.4479811","url":"https://dblp.org/rec/conf/isqed/FlaniganAT08"}, "url":"URL#5388636" }, { "@score":"1", "@id":"5388637", "info":{"authors":{"author":{"@pid":"88/1550","text":"Anna Fontanelli"}},"title":"System-in-Package Technology: Opportunities and Challenges.","venue":"ISQED","pages":"589-593","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Fontanelli08","doi":"10.1109/ISQED.2008.4479803","ee":"https://doi.org/10.1109/ISQED.2008.4479803","url":"https://dblp.org/rec/conf/isqed/Fontanelli08"}, "url":"URL#5388637" }, { "@score":"1", "@id":"5388638", "info":{"authors":{"author":[{"@pid":"54/944","text":"Yue Fu"},{"@pid":"96/1681-3","text":"Jin He 0003"},{"@pid":"77/1318","text":"Feng Liu"},{"@pid":"24/7003","text":"Jie Feng"},{"@pid":"58/85","text":"Chenyue Ma"},{"@pid":"07/3349","text":"Lining Zhang"}]},"title":"Study on the Si-Ge Nanowire MOSFETs with the Core-Shell Structure.","venue":"ISQED","pages":"531-536","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/FuHLFMZ08","doi":"10.1109/ISQED.2008.4479791","ee":"https://doi.org/10.1109/ISQED.2008.4479791","url":"https://dblp.org/rec/conf/isqed/FuHLFMZ08"}, "url":"URL#5388638" }, { "@score":"1", "@id":"5388639", "info":{"authors":{"author":[{"@pid":"17/1352","text":"Qiang Fu"},{"@pid":"09/3973","text":"Wai-Shing Luk"},{"@pid":"35/5170-1","text":"Jun Tao 0001"},{"@pid":"79/6099","text":"Changhao Yan"},{"@pid":"58/5418-1","text":"Xuan Zeng 0001"}]},"title":"Characterizing Intra-Die Spatial Correlation Using Spectral Density Method.","venue":"ISQED","pages":"718-723","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/FuLTYZ08","doi":"10.1109/ISQED.2008.4479826","ee":"https://doi.org/10.1109/ISQED.2008.4479826","url":"https://dblp.org/rec/conf/isqed/FuLTYZ08"}, "url":"URL#5388639" }, { "@score":"1", "@id":"5388640", "info":{"authors":{"author":[{"@pid":"71/5072","text":"Hidehiro Fujiwara"},{"@pid":"55/5034","text":"Shunsuke Okumura"},{"@pid":"27/2664","text":"Yusuke Iguchi"},{"@pid":"33/1484","text":"Hiroki Noguchi"},{"@pid":"04/2645","text":"Yasuhiro Morita"},{"@pid":"00/945","text":"Hiroshi Kawaguchi 0001"},{"@pid":"22/2856","text":"Masahiko Yoshimoto"}]},"title":"Quality of a Bit (QoB): A New Concept in Dependable SRAM.","venue":"ISQED","pages":"98-102","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/FujiwaraOINMKY08","doi":"10.1109/ISQED.2008.4479706","ee":"https://doi.org/10.1109/ISQED.2008.4479706","url":"https://dblp.org/rec/conf/isqed/FujiwaraOINMKY08"}, "url":"URL#5388640" }, { "@score":"1", "@id":"5388641", "info":{"authors":{"author":[{"@pid":"58/4312","text":"Srinivasa R. S. T. G"},{"@pid":"148/5089","text":"Srivatsava Jandhyala"},{"@pid":"56/4214","text":"Narahari Tondamuthuru R"}]},"title":"Process Variability Analysis in DSM Through Statistical Simulations and its Implications to Design Methodologies.","venue":"ISQED","pages":"325-329","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GSR08","doi":"10.1109/ISQED.2008.4479749","ee":"https://doi.org/10.1109/ISQED.2008.4479749","url":"https://dblp.org/rec/conf/isqed/GSR08"}, "url":"URL#5388641" }, { "@score":"1", "@id":"5388642", "info":{"authors":{"author":[{"@pid":"49/4584","text":"Kamesh V. Gadepally"},{"@pid":"48/5170","text":"Mark Young"},{"@pid":"54/5881","text":"James Lin"},{"@pid":"45/6804","text":"Andy Franklin"},{"@pid":"57/2884","text":"Ravi Perumal"},{"@pid":"81/4608","text":"Sridhar Joshi"}]},"title":"Strategies for Quality CAD PDKs.","venue":"ISQED","pages":"484-487","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GadepallyYLFPJ08","doi":"10.1109/ISQED.2008.4479782","ee":"https://doi.org/10.1109/ISQED.2008.4479782","url":"https://dblp.org/rec/conf/isqed/GadepallyYLFPJ08"}, "url":"URL#5388642" }, { "@score":"1", "@id":"5388643", "info":{"authors":{"author":[{"@pid":"93/3832","text":"Dinesh Ganesan"},{"@pid":"92/2690","text":"Alexander V. Mitev"},{"@pid":"w/JanetMeilingWang","text":"Janet Meiling Wang"},{"@pid":"68/6563","text":"Yu Cao"}]},"title":"Finite-Point Gate Model for Fast Timing and Power Analysis.","venue":"ISQED","pages":"657-662","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GanesanMWC08","doi":"10.1109/ISQED.2008.4479815","ee":"https://doi.org/10.1109/ISQED.2008.4479815","url":"https://dblp.org/rec/conf/isqed/GanesanMWC08"}, "url":"URL#5388643" }, { "@score":"1", "@id":"5388644", "info":{"authors":{"author":[{"@pid":"15/4429","text":"Akhil Garg 0001"},{"@pid":"93/2439","text":"Prashant Dubey"}]},"title":"On Chip Jitter Measurement through a High Accuracy TDC.","venue":"ISQED","pages":"844-847","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GargD08","doi":"10.1109/ISQED.2008.4479848","ee":"https://doi.org/10.1109/ISQED.2008.4479848","url":"https://dblp.org/rec/conf/isqed/GargD08"}, "url":"URL#5388644" }, { "@score":"1", "@id":"5388645", "info":{"authors":{"author":[{"@pid":"11/6322","text":"Dhruva Ghai"},{"@pid":"99/4567","text":"Saraju P. Mohanty"},{"@pid":"16/3758","text":"Elias Kougianos"}]},"title":"A Dual Oxide CMOS Universal Voltage Converter for Power Management in Multi-VDD SoCs.","venue":"ISQED","pages":"257-260","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GhaiMK08","doi":"10.1109/ISQED.2008.4479735","ee":"https://doi.org/10.1109/ISQED.2008.4479735","url":"https://dblp.org/rec/conf/isqed/GhaiMK08"}, "url":"URL#5388645" }, { "@score":"1", "@id":"5388646", "info":{"authors":{"author":[{"@pid":"11/6322","text":"Dhruva Ghai"},{"@pid":"99/4567","text":"Saraju P. Mohanty"},{"@pid":"16/3758","text":"Elias Kougianos"}]},"title":"Parasitic Aware Process Variation Tolerant Voltage Controlled Oscillator (VCO) Design.","venue":"ISQED","pages":"330-333","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GhaiMK08a","doi":"10.1109/ISQED.2008.4479750","ee":"https://doi.org/10.1109/ISQED.2008.4479750","url":"https://dblp.org/rec/conf/isqed/GhaiMK08a"}, "url":"URL#5388646" }, { "@score":"1", "@id":"5388647", "info":{"authors":{"author":[{"@pid":"43/5216","text":"Amlan Ghosh"},{"@pid":"83/6874","text":"Rahul M. Rao"},{"@pid":"98/239","text":"Ching-Te Chuang"},{"@pid":"61/2613","text":"Richard B. Brown"}]},"title":"On-Chip Process Variation Detection and Compensation Using Delay and Slew-Rate Monitoring Circuits.","venue":"ISQED","pages":"815-820","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GhoshRCB08","doi":"10.1109/ISQED.2008.4479843","ee":"https://doi.org/10.1109/ISQED.2008.4479843","url":"https://dblp.org/rec/conf/isqed/GhoshRCB08"}, "url":"URL#5388647" }, { "@score":"1", "@id":"5388648", "info":{"authors":{"author":[{"@pid":"68/6702","text":"Amit Goel"},{"@pid":"60/2447","text":"Sarma B. K. Vrudhula"},{"@pid":"78/2808","text":"Feroze Taraporevala"},{"@pid":"73/5928","text":"Praveen Ghanta"}]},"title":"A Methodology for Characterization of Large Macro Cells and IP Blocks Considering Process Variations.","venue":"ISQED","pages":"200-206","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GoelVTG08","doi":"10.1109/ISQED.2008.4479726","ee":"https://doi.org/10.1109/ISQED.2008.4479726","url":"https://dblp.org/rec/conf/isqed/GoelVTG08"}, "url":"URL#5388648" }, { "@score":"1", "@id":"5388649", "info":{"authors":{"author":{"@pid":"57/3001","text":"Rich Goldman"}},"title":"Plenary Speech 2P3: The Greening of The SoC - How Electrical Engineers Will Save The World.","venue":"ISQED","pages":"587-588","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Goldman08","doi":"10.1109/ISQED.2008.4479802","ee":"https://doi.org/10.1109/ISQED.2008.4479802","url":"https://dblp.org/rec/conf/isqed/Goldman08"}, "url":"URL#5388649" }, { "@score":"1", "@id":"5388650", "info":{"authors":{"author":[{"@pid":"08/523","text":"Ratnakar Goyal"},{"@pid":"19/1544","text":"Harindranath Parameswaran"},{"@pid":"61/1381","text":"Sachin Shrivastava"}]},"title":"Computation of Waveform Sensitivity Using Geometric Transforms for SSTA.","venue":"ISQED","pages":"373-378","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GoyalPS08","doi":"10.1109/ISQED.2008.4479760","ee":"https://doi.org/10.1109/ISQED.2008.4479760","url":"https://dblp.org/rec/conf/isqed/GoyalPS08"}, "url":"URL#5388650" }, { "@score":"1", "@id":"5388651", "info":{"authors":{"author":{"@pid":"58/6611","text":"Drew Gude"}},"title":"Plenary Speech 1P1: Shrinking time-to-market through global value chain integration.","venue":"ISQED","pages":"15","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Gude08","doi":"10.1109/ISQED.2008.4479690","ee":"https://doi.org/10.1109/ISQED.2008.4479690","url":"https://dblp.org/rec/conf/isqed/Gude08"}, "url":"URL#5388651" }, { "@score":"1", "@id":"5388652", "info":{"authors":{"author":[{"@pid":"80/5173","text":"Aseem Gupta"},{"@pid":"d/NikilDDutt","text":"Nikil D. Dutt"},{"@pid":"19/5115","text":"Fadi J. Kurdahi"},{"@pid":"90/811","text":"Kamal S. Khouri"},{"@pid":"85/1962","text":"Magdy S. Abadir"}]},"title":"Thermal Aware Global Routing of VLSI Chips for Enhanced Reliability.","venue":"ISQED","pages":"470-475","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GuptaDKKA08","doi":"10.1109/ISQED.2008.4479779","ee":"https://doi.org/10.1109/ISQED.2008.4479779","url":"https://dblp.org/rec/conf/isqed/GuptaDKKA08"}, "url":"URL#5388652" }, { "@score":"1", "@id":"5388653", "info":{"authors":{"author":[{"@pid":"62/3849","text":"Sandeep Gupta"},{"@pid":"61/2327","text":"Jaya Singh"},{"@pid":"62/5506","text":"Abhijit Roy"}]},"title":"A Novel Cell-Based Heuristic Method for Leakage Reduction in Multi-Million Gate VLSI Designs.","venue":"ISQED","pages":"526-530","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/GuptaSR08","doi":"10.1109/ISQED.2008.4479790","ee":"https://doi.org/10.1109/ISQED.2008.4479790","url":"https://dblp.org/rec/conf/isqed/GuptaSR08"}, "url":"URL#5388653" }, { "@score":"1", "@id":"5388654", "info":{"authors":{"author":[{"@pid":"65/3051","text":"James Helton"},{"@pid":"51/4437","text":"Chien-In Henry Chen"},{"@pid":"89/3713","text":"David M. Lin"},{"@pid":"20/720","text":"James B. Y. Tsui"}]},"title":"FPGA-Based 1.2 GHz Bandwidth Digital Instantaneous Frequency Measurement Receiver.","venue":"ISQED","pages":"568-571","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/HeltonCLT08","doi":"10.1109/ISQED.2008.4479798","ee":"https://doi.org/10.1109/ISQED.2008.4479798","url":"https://dblp.org/rec/conf/isqed/HeltonCLT08"}, "url":"URL#5388654" }, { "@score":"1", "@id":"5388655", "info":{"authors":{"author":{"@pid":"62/4907","text":"Robert Hum"}},"title":"Plenary Speech 1P2: Bounding the Endless Verification Loop.","venue":"ISQED","pages":"16-17","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Hum08","doi":"10.1109/ISQED.2008.4479691","ee":"https://doi.org/10.1109/ISQED.2008.4479691","url":"https://dblp.org/rec/conf/isqed/Hum08"}, "url":"URL#5388655" }, { "@score":"1", "@id":"5388656", "info":{"authors":{"author":[{"@pid":"61/2664","text":"Peng-Yang Hung"},{"@pid":"29/3113","text":"Ying-Shu Lou"},{"@pid":"28/915","text":"Yih-Lang Li"}]},"title":"Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing.","venue":"ISQED","pages":"514-519","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/HungLL08","doi":"10.1109/ISQED.2008.4479788","ee":"https://doi.org/10.1109/ISQED.2008.4479788","url":"https://dblp.org/rec/conf/isqed/HungLL08"}, "url":"URL#5388656" }, { "@score":"1", "@id":"5388657", "info":{"authors":{"author":{"@pid":"25/5914","text":"Hillary Hunter"}},"title":"Tutorial 5: Caches in the Many-Core Era: What Purpose Might eDRAM Serve?","venue":"ISQED","pages":"7","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Hunter08","doi":"10.1109/ISQED.2008.4479688","ee":"https://doi.org/10.1109/ISQED.2008.4479688","url":"https://dblp.org/rec/conf/isqed/Hunter08"}, "url":"URL#5388657" }, { "@score":"1", "@id":"5388658", "info":{"authors":{"author":[{"@pid":"04/1032","text":"Shah M. Jahinuzzaman"},{"@pid":"56/546","text":"Mohammad Sharifkhani"},{"@pid":"85/2923","text":"Manoj Sachdev"}]},"title":"Investigation of Process Impact on Soft Error Susceptibility of Nanometric SRAMs Using a Compact Critical Charge Model.","venue":"ISQED","pages":"207-212","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JahinuzzamanSS08","doi":"10.1109/ISQED.2008.4479727","ee":"https://doi.org/10.1109/ISQED.2008.4479727","url":"https://dblp.org/rec/conf/isqed/JahinuzzamanSS08"}, "url":"URL#5388658" }, { "@score":"1", "@id":"5388659", "info":{"authors":{"author":[{"@pid":"76/2869","text":"Vinay Jain"},{"@pid":"30/4983","text":"Payman Zarkesh-Ha"}]},"title":"Analytical Noise-Rejection Model Based on Short Channel MOSFET.","venue":"ISQED","pages":"401-406","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JainZ08","doi":"10.1109/ISQED.2008.4479765","ee":"https://doi.org/10.1109/ISQED.2008.4479765","url":"https://dblp.org/rec/conf/isqed/JainZ08"}, "url":"URL#5388659" }, { "@score":"1", "@id":"5388660", "info":{"authors":{"author":[{"@pid":"20/189","text":"Sukumar Jairam"},{"@pid":"51/2353","text":"S. M. Stalin"},{"@pid":"20/4153","text":"Jean-Yves Oberle"},{"@pid":"42/2055","text":"H. Udayakumar"}]},"title":"An SSO Based Methodology for EM Emission Estimation from SoCs.","venue":"ISQED","pages":"297-300","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JairamSOU08","doi":"10.1109/ISQED.2008.4479743","ee":"https://doi.org/10.1109/ISQED.2008.4479743","url":"https://dblp.org/rec/conf/isqed/JairamSOU08"}, "url":"URL#5388660" }, { "@score":"1", "@id":"5388661", "info":{"authors":{"author":[{"@pid":"93/6681","text":"Neehar Jandhyala"},{"@pid":"39/4772-1","text":"Lili He 0001"},{"@pid":"79/3390","text":"Morris Jones"}]},"title":"CMOS Based Low Cost Temperature Sensor.","venue":"ISQED","pages":"293-296","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JandhyalaHJ08","doi":"10.1109/ISQED.2008.4479742","ee":"https://doi.org/10.1109/ISQED.2008.4479742","url":"https://dblp.org/rec/conf/isqed/JandhyalaHJ08"}, "url":"URL#5388661" }, { "@score":"1", "@id":"5388662", "info":{"authors":{"author":[{"@pid":"68/2765","text":"Kwangok Jeong"},{"@pid":"k/AndrewBKahng","text":"Andrew B. Kahng"},{"@pid":"87/3499","text":"Kambiz Samadi"}]},"title":"Quantified Impacts of Guardband Reduction on Design Process Outcomes.","venue":"ISQED","pages":"790-797","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JeongKS08","doi":"10.1109/ISQED.2008.4479839","ee":"https://doi.org/10.1109/ISQED.2008.4479839","url":"https://dblp.org/rec/conf/isqed/JeongKS08"}, "url":"URL#5388662" }, { "@score":"1", "@id":"5388663", "info":{"authors":{"author":[{"@pid":"57/1627","text":"Hailong Jiao"},{"@pid":"97/499","text":"Lan Chen"}]},"title":"Cellwise OPC Based on Reduced Standard Cell Library.","venue":"ISQED","pages":"810-814","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JiaoC08","doi":"10.1109/ISQED.2008.4479842","ee":"https://doi.org/10.1109/ISQED.2008.4479842","url":"https://dblp.org/rec/conf/isqed/JiaoC08"}, "url":"URL#5388663" }, { "@score":"1", "@id":"5388664", "info":{"authors":{"author":{"@pid":"16/2453","text":"Robert E. Jones"}},"title":"Tutorial 3: Process Technology Development and New Design Opportunities in 3D Integration Technology.","venue":"ISQED","pages":"5","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Jones08","doi":"10.1109/ISQED.2008.4479686","ee":"https://doi.org/10.1109/ISQED.2008.4479686","url":"https://dblp.org/rec/conf/isqed/Jones08"}, "url":"URL#5388664" }, { "@score":"1", "@id":"5388665", "info":{"authors":{"author":[{"@pid":"81/4608","text":"Sridhar Joshi"},{"@pid":"57/2884","text":"Ravi Perumal"},{"@pid":"49/4584","text":"Kamesh V. Gadepally"},{"@pid":"48/5170","text":"Mark Young"}]},"title":"An Approach for a Comprehensive QA Methodology for the PDKs.","venue":"ISQED","pages":"480-483","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JoshiPGY08","doi":"10.1109/ISQED.2008.4479781","ee":"https://doi.org/10.1109/ISQED.2008.4479781","url":"https://dblp.org/rec/conf/isqed/JoshiPGY08"}, "url":"URL#5388665" }, { "@score":"1", "@id":"5388666", "info":{"authors":{"author":[{"@pid":"07/5030","text":"Lech Józwiak"},{"@pid":"84/2679","text":"Artur Chojnacki"},{"@pid":"25/80","text":"Aleksander Slusarczyk"}]},"title":"High-Quality Circuit Synthesis for Modern Technologies.","venue":"ISQED","pages":"168-173","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JozwiakCS08","doi":"10.1109/ISQED.2008.4479720","ee":"https://doi.org/10.1109/ISQED.2008.4479720","url":"https://dblp.org/rec/conf/isqed/JozwiakCS08"}, "url":"URL#5388666" }, { "@score":"1", "@id":"5388667", "info":{"authors":{"author":[{"@pid":"83/182","text":"Hwisung Jung"},{"@pid":"p/MassoudPedram","text":"Massoud Pedram"}]},"title":"Improving the Efficiency of Power Management Techniques by Using Bayesian Classification.","venue":"ISQED","pages":"178-183","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/JungP08","doi":"10.1109/ISQED.2008.4479722","ee":"https://doi.org/10.1109/ISQED.2008.4479722","url":"https://dblp.org/rec/conf/isqed/JungP08"}, "url":"URL#5388667" }, { "@score":"1", "@id":"5388668", "info":{"authors":{"author":[{"@pid":"87/4994","text":"Mohammad Reza Kakoee"},{"@pid":"40/5359","text":"Mohammad Riazati"},{"@pid":"00/1192","text":"Siamak Mohammadi"}]},"title":"Enhancing the Testability of RTL Designs Using Efficiently Synthesized Assertions.","venue":"ISQED","pages":"230-235","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KakoeeRM08","doi":"10.1109/ISQED.2008.4479731","ee":"https://doi.org/10.1109/ISQED.2008.4479731","url":"https://dblp.org/rec/conf/isqed/KakoeeRM08"}, "url":"URL#5388668" }, { "@score":"1", "@id":"5388669", "info":{"authors":{"author":[{"@pid":"56/6072","text":"Jian Kang"},{"@pid":"15/3372","text":"Sharad C. Seth"},{"@pid":"33/3685","text":"Yi-Shing Chang"},{"@pid":"22/1918","text":"Vijay Gangaram"}]},"title":"Efficient Selection of Observation Points for Functional Tests.","venue":"ISQED","pages":"236-241","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KangSCG08","doi":"10.1109/ISQED.2008.4479732","ee":"https://doi.org/10.1109/ISQED.2008.4479732","url":"https://dblp.org/rec/conf/isqed/KangSCG08"}, "url":"URL#5388669" }, { "@score":"1", "@id":"5388670", "info":{"authors":{"author":[{"@pid":"13/2746","text":"Rouwaida Kanj"},{"@pid":"42/5976","text":"Rajiv V. Joshi"},{"@pid":"39/5279","text":"Keunwoo Kim"},{"@pid":"77/1859","text":"Richard Williams"},{"@pid":"47/2849","text":"Sani R. Nassif"}]},"title":"Statistical Evaluation of Split Gate Opportunities for Improved 8T/6T Column-Decoupled SRAM Cell Yield.","venue":"ISQED","pages":"702-707","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KanjJKWN08","doi":"10.1109/ISQED.2008.4479823","ee":"https://doi.org/10.1109/ISQED.2008.4479823","url":"https://dblp.org/rec/conf/isqed/KanjJKWN08"}, "url":"URL#5388670" }, { "@score":"1", "@id":"5388671", "info":{"authors":{"author":[{"@pid":"13/2746","text":"Rouwaida Kanj"},{"@pid":"51/4015-1","text":"Zhuo Li 0001"},{"@pid":"42/5976","text":"Rajiv V. Joshi"},{"@pid":"18/2008","text":"Frank Liu 0001"},{"@pid":"47/2849","text":"Sani R. Nassif"}]},"title":"A Root-Finding Method for Assessing SRAM Stability.","venue":"ISQED","pages":"804-809","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KanjLJLN08","doi":"10.1109/ISQED.2008.4479841","ee":"https://doi.org/10.1109/ISQED.2008.4479841","url":"https://dblp.org/rec/conf/isqed/KanjLJLN08"}, "url":"URL#5388671" }, { "@score":"1", "@id":"5388672", "info":{"authors":{"author":[{"@pid":"86/1470","text":"Eric Karl"},{"@pid":"83/6040","text":"Dennis Sylvester"},{"@pid":"b/DBlaauw","text":"David T. Blaauw"}]},"title":"Analysis of System-Level Reliability Factors and Implications on Real-Time Monitoring Methods for Oxide Breakdown Device Failures.","venue":"ISQED","pages":"391-395","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KarlSB08","doi":"10.1109/ISQED.2008.4479763","ee":"https://doi.org/10.1109/ISQED.2008.4479763","url":"https://dblp.org/rec/conf/isqed/KarlSB08"}, "url":"URL#5388672" }, { "@score":"1", "@id":"5388673", "info":{"authors":{"author":[{"@pid":"75/3076","text":"DiaaEldin Khalil"},{"@pid":"i/YeheaIIsmail","text":"Yehea I. Ismail"},{"@pid":"61/3008","text":"Muhammad M. Khellah"},{"@pid":"06/4638","text":"Tanay Karnik"},{"@pid":"97/3334","text":"Vivek De"}]},"title":"Analytical Model for the Propagation Delay of Through Silicon Vias.","venue":"ISQED","pages":"553-556","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KhalilIKKD08","doi":"10.1109/ISQED.2008.4479795","ee":"https://doi.org/10.1109/ISQED.2008.4479795","url":"https://dblp.org/rec/conf/isqed/KhalilIKKD08"}, "url":"URL#5388673" }, { "@score":"1", "@id":"5388674", "info":{"authors":{"author":{"@pid":"31/4424","text":"Chris H. Kim"}},"title":"Tutorial 2: Low Voltage Circuit Design Techniques for Sub-32nm Technologies.","venue":"ISQED","pages":"4","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Kim08","doi":"10.1109/ISQED.2008.4479685","ee":"https://doi.org/10.1109/ISQED.2008.4479685","url":"https://dblp.org/rec/conf/isqed/Kim08"}, "url":"URL#5388674" }, { "@score":"1", "@id":"5388675", "info":{"authors":{"author":[{"@pid":"55/2508","text":"Young-Gu Kim"},{"@pid":"55/6380","text":"Soo-Hwan Kim"},{"@pid":"34/1070","text":"Hoon Lim"},{"@pid":"58/6214","text":"Sanghoon Lee"},{"@pid":"23/6924","text":"Keun-Ho Lee"},{"@pid":"59/3509","text":"Young-Kwan Park"},{"@pid":"34/5303","text":"Moon-Hyun Yoo"}]},"title":"The Statistical Failure Analysis for the Design of Robust SRAM in Nano-Scale Era.","venue":"ISQED","pages":"369-372","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KimKLLLPY08","doi":"10.1109/ISQED.2008.4479759","ee":"https://doi.org/10.1109/ISQED.2008.4479759","url":"https://dblp.org/rec/conf/isqed/KimKLLLPY08"}, "url":"URL#5388675" }, { "@score":"1", "@id":"5388676", "info":{"authors":{"author":[{"@pid":"01/1064","text":"Jae Wook Kim"},{"@pid":"94/4508","text":"Boris Murmann"},{"@pid":"35/2701","text":"Robert W. Dutton"}]},"title":"Hybrid Integration of Bandgap Reference Circuits Using Silicon ICs and Germanium Devices.","venue":"ISQED","pages":"429-432","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KimMD08","doi":"10.1109/ISQED.2008.4479770","ee":"https://doi.org/10.1109/ISQED.2008.4479770","url":"https://dblp.org/rec/conf/isqed/KimMD08"}, "url":"URL#5388676" }, { "@score":"1", "@id":"5388677", "info":{"authors":{"author":[{"@pid":"42/1949","text":"Adam B. Kinsman"},{"@pid":"20/5950","text":"Nicola Nicolici"}]},"title":"Embedded Deterministic Test Exploiting Care Bit Clustering and Seed Borrowing.","venue":"ISQED","pages":"832-837","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KinsmanN08","doi":"10.1109/ISQED.2008.4479846","ee":"https://doi.org/10.1109/ISQED.2008.4479846","url":"https://dblp.org/rec/conf/isqed/KinsmanN08"}, "url":"URL#5388677" }, { "@score":"1", "@id":"5388678", "info":{"authors":{"author":[{"@pid":"39/2010","text":"Ho Fai Ko"},{"@pid":"20/5950","text":"Nicola Nicolici"}]},"title":"A Novel Automated Scan Chain Division Method for Shift and Capture Power Reduction in Broadside At-Speed Test.","venue":"ISQED","pages":"649-654","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KoN08","doi":"10.1109/ISQED.2008.4479814","ee":"https://doi.org/10.1109/ISQED.2008.4479814","url":"https://dblp.org/rec/conf/isqed/KoN08"}, "url":"URL#5388678" }, { "@score":"1", "@id":"5388679", "info":{"authors":{"author":[{"@pid":"90/1994","text":"Animesh Kumar"},{"@pid":"10/4213","text":"Huifang Qin"},{"@pid":"61/5637","text":"Prakash Ishwar"},{"@pid":"r/JMRabaey","text":"Jan M. Rabaey"},{"@pid":"53/5765","text":"Kannan Ramchandran"}]},"title":"Fundamental Data Retention Limits in SRAM Standby Experimental Results.","venue":"ISQED","pages":"92-97","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/KumarQIRR08","doi":"10.1109/ISQED.2008.4479705","ee":"https://doi.org/10.1109/ISQED.2008.4479705","url":"https://dblp.org/rec/conf/isqed/KumarQIRR08"}, "url":"URL#5388679" }, { "@score":"1", "@id":"5388680", "info":{"authors":{"author":[{"@pid":"91/5524","text":"Ming-Fang Lai"},{"@pid":"70/3994","text":"Hung-Ming Chen"}]},"title":"An Implementation of Performance-Driven Block and I/O Placement for Chip-Package Codesign.","venue":"ISQED","pages":"604-607","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LaiC08","doi":"10.1109/ISQED.2008.4479806","ee":"https://doi.org/10.1109/ISQED.2008.4479806","url":"https://dblp.org/rec/conf/isqed/LaiC08"}, "url":"URL#5388680" }, { "@score":"1", "@id":"5388681", "info":{"authors":{"author":[{"@pid":"66/3149","text":"Hai Lan"},{"@pid":"19/2119","text":"Ralf Schmitt"},{"@pid":"28/11226","text":"Chuck Yuan"}]},"title":"Simulation and Measurement of On-Chip Supply Noise in Multi-Gigabit I/O Interfaces.","venue":"ISQED","pages":"670-675","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LanSY08","doi":"10.1109/ISQED.2008.4479817","ee":"https://doi.org/10.1109/ISQED.2008.4479817","url":"https://dblp.org/rec/conf/isqed/LanSY08"}, "url":"URL#5388681" }, { "@score":"1", "@id":"5388682", "info":{"authors":{"author":[{"@pid":"88/3262","text":"Jason D. Lee"},{"@pid":"49/6983-4","text":"Nikhil Gupta 0004"},{"@pid":"38/5637","text":"Praveen Bhojwani"},{"@pid":"01/3441","text":"Rabi N. Mahapatra"}]},"title":"An On-Demand Test Triggering Mechanism for NoC-Based Safety-Critical Systems.","venue":"ISQED","pages":"184-189","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LeeGBM08","doi":"10.1109/ISQED.2008.4479723","ee":"https://doi.org/10.1109/ISQED.2008.4479723","url":"https://dblp.org/rec/conf/isqed/LeeGBM08"}, "url":"URL#5388682" }, { "@score":"1", "@id":"5388683", "info":{"authors":{"author":[{"@pid":"09/1365-1","text":"Xin Li 0001"},{"@pid":"68/6563-1","text":"Yu Cao 0001"}]},"title":"Projection-Based Piecewise-Linear Response Surface Modeling for Strongly Nonlinear VLSI Performance Variations.","venue":"ISQED","pages":"108-113","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LiC08","doi":"10.1109/ISQED.2008.4479708","ee":"https://doi.org/10.1109/ISQED.2008.4479708","url":"https://dblp.org/rec/conf/isqed/LiC08"}, "url":"URL#5388683" }, { "@score":"1", "@id":"5388684", "info":{"authors":{"author":[{"@pid":"75/4601","text":"Tao Li"},{"@pid":"12/4802","text":"Zhiping Yu"}]},"title":"Full-Chip Leakage Verification for Manufacturing Considering Process Variations.","venue":"ISQED","pages":"220-223","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LiY08","doi":"10.1109/ISQED.2008.4479729","ee":"https://doi.org/10.1109/ISQED.2008.4479729","url":"https://dblp.org/rec/conf/isqed/LiY08"}, "url":"URL#5388684" }, { "@score":"1", "@id":"5388685", "info":{"authors":{"author":[{"@pid":"18/2008","text":"Frank Liu 0001"},{"@pid":"77/1553","text":"Peter Feldmann"}]},"title":"MAISE: An Interconnect Simulation Engine for Timing and Noise Analysis.","venue":"ISQED","pages":"621-626","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LiuF08","doi":"10.1109/ISQED.2008.4479809","ee":"https://doi.org/10.1109/ISQED.2008.4479809","url":"https://dblp.org/rec/conf/isqed/LiuF08"}, "url":"URL#5388685" }, { "@score":"1", "@id":"5388686", "info":{"authors":{"author":[{"@pid":"77/1318","text":"Feng Liu"},{"@pid":"96/1681-3","text":"Jin He 0003"},{"@pid":"54/944","text":"Yue Fu"},{"@pid":"69/114","text":"Jinhua Hu"},{"@pid":"77/3270","text":"Wei Bian"},{"@pid":"09/1398","text":"Yan Song"},{"@pid":"52/5264-2","text":"Xing Zhang 0002"},{"@pid":"75/713","text":"Mansun Chan"}]},"title":"Generic Carrier-Based Core Model for Four-Terminal Double-Gate MOSFET Valid for Symmetric, Asymmetric, SOI, and Independent Gate Operation Modes.","venue":"ISQED","pages":"271-276","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LiuHFHBSZC08","doi":"10.1109/ISQED.2008.4479738","ee":"https://doi.org/10.1109/ISQED.2008.4479738","url":"https://dblp.org/rec/conf/isqed/LiuHFHBSZC08"}, "url":"URL#5388686" }, { "@score":"1", "@id":"5388687", "info":{"authors":{"author":[{"@pid":"70/3297","text":"Zhiyu Liu"},{"@pid":"24/5998","text":"Sherif A. Tawfik"},{"@pid":"70/355","text":"Volkan Kursun"}]},"title":"Statistical Data Stability and Leakage Evaluation of FinFET SRAM Cells with Dynamic Threshold Voltage Tuning under Process Parameter Fluctuations.","venue":"ISQED","pages":"305-310","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LiuTK08","doi":"10.1109/ISQED.2008.4479745","ee":"https://doi.org/10.1109/ISQED.2008.4479745","url":"https://dblp.org/rec/conf/isqed/LiuTK08"}, "url":"URL#5388687" }, { "@score":"1", "@id":"5388688", "info":{"authors":{"author":[{"@pid":"61/1475","text":"Jui-Hsiang Liu"},{"@pid":"38/143","text":"Jun-Kuei Zeng"},{"@pid":"55/746","text":"Ai-Syuan Hong"},{"@pid":"05/603","text":"Lumdo Chen"},{"@pid":"c/CharlieChungPingChen","text":"Charlie Chung-Ping Chen"}]},"title":"Process-Variation Statistical Modeling for VLSI Timing Analysis.","venue":"ISQED","pages":"730-733","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LiuZHCC08","doi":"10.1109/ISQED.2008.4479828","ee":"https://doi.org/10.1109/ISQED.2008.4479828","url":"https://dblp.org/rec/conf/isqed/LiuZHCC08"}, "url":"URL#5388688" }, { "@score":"1", "@id":"5388689", "info":{"authors":{"author":{"@pid":"29/2864","text":"Ning Lu"}},"title":"Statistical Models and Frequency-Dependent Corner Models for Passive Devices.","venue":"ISQED","pages":"543-548","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Lu08","doi":"10.1109/ISQED.2008.4479793","ee":"https://doi.org/10.1109/ISQED.2008.4479793","url":"https://dblp.org/rec/conf/isqed/Lu08"}, "url":"URL#5388689" }, { "@score":"1", "@id":"5388690", "info":{"authors":{"author":[{"@pid":"95/4597","text":"Zuying Luo"},{"@pid":"t/SXDTan","text":"Sheldon X.-D. Tan"}]},"title":"Statistic Analysis of Power/Ground Networks Using Single-Node SOR Method.","venue":"ISQED","pages":"867-872","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LuoT08","doi":"10.1109/ISQED.2008.4479852","ee":"https://doi.org/10.1109/ISQED.2008.4479852","url":"https://dblp.org/rec/conf/isqed/LuoT08"}, "url":"URL#5388690" }, { "@score":"1", "@id":"5388691", "info":{"authors":{"author":[{"@pid":"87/1776","text":"Mark Lysinger"},{"@pid":"86/3215","text":"François Jacquet"},{"@pid":"35/289","text":"Mehdi Zamanian"},{"@pid":"14/6777","text":"David McClure"},{"@pid":"17/3699","text":"Philippe Roche"},{"@pid":"09/3453","text":"Naren Sahoo"},{"@pid":"34/2793","text":"John Russell"}]},"title":"A Radiation Hardened Nano-Power 8Mb SRAM in 130nm CMOS.","venue":"ISQED","pages":"23-29","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/LysingerJZMRSR08","doi":"10.1109/ISQED.2008.4479692","ee":"https://doi.org/10.1109/ISQED.2008.4479692","url":"https://dblp.org/rec/conf/isqed/LysingerJZMRSR08"}, "url":"URL#5388691" }, { "@score":"1", "@id":"5388692", "info":{"authors":{"author":{"@pid":"59/4898","text":"K. Maitra"}},"title":"Tutorial 1: The Promise of High-Metal Gates-From Electronic Transport Phenomena to Emerging Device/Circuit Applications.","venue":"ISQED","pages":"3","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Maitra08","doi":"10.1109/ISQED.2008.4479684","ee":"https://doi.org/10.1109/ISQED.2008.4479684","url":"https://dblp.org/rec/conf/isqed/Maitra08"}, "url":"URL#5388692" }, { "@score":"1", "@id":"5388693", "info":{"authors":{"author":{"@pid":"74/125","text":"Salam D. Marougi"}},"title":"Sampling Error Estimation in High-Speed Sampling Systems Introduced by the Presence of Phase Noise in the Sampling Clock.","venue":"ISQED","pages":"557-563","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Marougi08","doi":"10.1109/ISQED.2008.4479796","ee":"https://doi.org/10.1109/ISQED.2008.4479796","url":"https://dblp.org/rec/conf/isqed/Marougi08"}, "url":"URL#5388693" }, { "@score":"1", "@id":"5388694", "info":{"authors":{"author":[{"@pid":"41/6819","text":"David Matschulat"},{"@pid":"13/6510","text":"César A. M. Marcon"},{"@pid":"33/5648","text":"Fabiano Hessel"}]},"title":"A QoS Scheduler for Real-Time Embedded Systems.","venue":"ISQED","pages":"564-567","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/MatschulatMH08","doi":"10.1109/ISQED.2008.4479797","ee":"https://doi.org/10.1109/ISQED.2008.4479797","url":"https://dblp.org/rec/conf/isqed/MatschulatMH08"}, "url":"URL#5388694" }, { "@score":"1", "@id":"5388695", "info":{"authors":{"author":[{"@pid":"10/4941","text":"Vishal J. Mehta"},{"@pid":"05/1100","text":"Malgorzata Marek-Sadowska"},{"@pid":"52/1504","text":"Kun-Han Tsai"},{"@pid":"53/6555","text":"Janusz Rajski"}]},"title":"Timing-Aware Multiple-Delay-Fault Diagnosis.","venue":"ISQED","pages":"246-253","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/MehtaMTR08","doi":"10.1109/ISQED.2008.4479734","ee":"https://doi.org/10.1109/ISQED.2008.4479734","url":"https://dblp.org/rec/conf/isqed/MehtaMTR08"}, "url":"URL#5388695" }, { "@score":"1", "@id":"5388696", "info":{"authors":{"author":{"@pid":"30/4561","text":"Subhasish Mitra"}},"title":"Tutorial 4: Robust System Design in Scaled CMOS.","venue":"ISQED","pages":"6","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Mitra08","doi":"10.1109/ISQED.2008.4479687","ee":"https://doi.org/10.1109/ISQED.2008.4479687","url":"https://dblp.org/rec/conf/isqed/Mitra08"}, "url":"URL#5388696" }, { "@score":"1", "@id":"5388697", "info":{"authors":{"author":[{"@pid":"54/3227","text":"Baker Mohammad"},{"@pid":"20/1014","text":"Martin Saint-Laurent"},{"@pid":"78/6992","text":"Paul Bassett"},{"@pid":"a/JacobAAbraham","text":"Jacob A. Abraham"}]},"title":"Cache Design for Low Power and High Yield.","venue":"ISQED","pages":"103-107","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/MohammadSBA08","doi":"10.1109/ISQED.2008.4479707","ee":"https://doi.org/10.1109/ISQED.2008.4479707","url":"https://dblp.org/rec/conf/isqed/MohammadSBA08"}, "url":"URL#5388697" }, { "@score":"1", "@id":"5388698", "info":{"authors":{"author":{"@pid":"99/4567","text":"Saraju P. Mohanty"}},"title":"ILP Based Gate Leakage Optimization Using DKCMOS Library during RTL Synthesis.","venue":"ISQED","pages":"174-177","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Mohanty08","doi":"10.1109/ISQED.2008.4479721","ee":"https://doi.org/10.1109/ISQED.2008.4479721","url":"https://dblp.org/rec/conf/isqed/Mohanty08"}, "url":"URL#5388698" }, { "@score":"1", "@id":"5388699", "info":{"authors":{"author":{"@pid":"95/1146","text":"Zhen Mu"}},"title":"Power Delivery System: Sufficiency, Efficiency, and Stability.","venue":"ISQED","pages":"465-469","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Mu08","doi":"10.1109/ISQED.2008.4479778","ee":"https://doi.org/10.1109/ISQED.2008.4479778","url":"https://dblp.org/rec/conf/isqed/Mu08"}, "url":"URL#5388699" }, { "@score":"1", "@id":"5388700", "info":{"authors":{"author":[{"@pid":"86/6585","text":"Jeff Mueller"},{"@pid":"s/ResveASaleh","text":"Resve A. Saleh"}]},"title":"A Tunable Clock Buffer for Intra-die PVT Compensation in Single-Edge Clock (SEC) Distribution Networks.","venue":"ISQED","pages":"572-577","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/MuellerS08","doi":"10.1109/ISQED.2008.4479799","ee":"https://doi.org/10.1109/ISQED.2008.4479799","url":"https://dblp.org/rec/conf/isqed/MuellerS08"}, "url":"URL#5388700" }, { "@score":"1", "@id":"5388701", "info":{"authors":{"author":[{"@pid":"08/1068","text":"Maharaj Mukherjee"},{"@pid":"96/3591","text":"Kanad Chakraborty"}]},"title":"A Randomized Greedy Algorithm for the Pattern Fill Problem for DFM Applications.","venue":"ISQED","pages":"344-347","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/MukherjeeC08","doi":"10.1109/ISQED.2008.4479753","ee":"https://doi.org/10.1109/ISQED.2008.4479753","url":"https://dblp.org/rec/conf/isqed/MukherjeeC08"}, "url":"URL#5388701" }, { "@score":"1", "@id":"5388702", "info":{"authors":{"author":[{"@pid":"66/1210","text":"Saibal Mukhopadhyay"},{"@pid":"42/5976","text":"Rajiv V. Joshi"},{"@pid":"39/5279","text":"Keunwoo Kim"},{"@pid":"98/239","text":"Ching-Te Chuang"}]},"title":"Variability Analysis for sub-100nm PD/SOI Sense-Amplifier.","venue":"ISQED","pages":"488-491","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/MukhopadhyayJKC08","doi":"10.1109/ISQED.2008.4479783","ee":"https://doi.org/10.1109/ISQED.2008.4479783","url":"https://dblp.org/rec/conf/isqed/MukhopadhyayJKC08"}, "url":"URL#5388702" }, { "@score":"1", "@id":"5388703", "info":{"authors":{"author":{"@pid":"97/5390","text":"Enric Musoll"}},"title":"A Thermal-Friendly Load-Balancing Technique for Multi-Core Processors.","venue":"ISQED","pages":"549-552","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Musoll08","doi":"10.1109/ISQED.2008.4479794","ee":"https://doi.org/10.1109/ISQED.2008.4479794","url":"https://dblp.org/rec/conf/isqed/Musoll08"}, "url":"URL#5388703" }, { "@score":"1", "@id":"5388704", "info":{"authors":{"author":[{"@pid":"92/2052","text":"Stelios Neophytou"},{"@pid":"10/2633","text":"Maria K. Michael"}]},"title":"Two New Methods for Accurate Test Set Relaxation via Test Set Replacement.","venue":"ISQED","pages":"827-831","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/NeophytouM08","doi":"10.1109/ISQED.2008.4479845","ee":"https://doi.org/10.1109/ISQED.2008.4479845","url":"https://dblp.org/rec/conf/isqed/NeophytouM08"}, "url":"URL#5388704" }, { "@score":"1", "@id":"5388705", "info":{"authors":{"author":[{"@pid":"77/2922","text":"Thao Nguyen"},{"@pid":"57/2029","text":"Navid Rezvani"}]},"title":"Printed Circuit Board Assembly Test Process and Design for Testability.","venue":"ISQED","pages":"594-599","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/NguyenR08","doi":"10.1109/ISQED.2008.4479804","ee":"https://doi.org/10.1109/ISQED.2008.4479804","url":"https://dblp.org/rec/conf/isqed/NguyenR08"}, "url":"URL#5388705" }, { "@score":"1", "@id":"5388706", "info":{"authors":{"author":[{"@pid":"08/5038","text":"Arthur Nieuwoudt"},{"@pid":"84/2837","text":"Jamil Kawa"},{"@pid":"27/4166","text":"Yehia Massoud"}]},"title":"Investigating the Impact of Fill Metal on Crosstalk-Induced Delay and Noise.","venue":"ISQED","pages":"724-729","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/NieuwoudtKM08","doi":"10.1109/ISQED.2008.4479827","ee":"https://doi.org/10.1109/ISQED.2008.4479827","url":"https://dblp.org/rec/conf/isqed/NieuwoudtKM08"}, "url":"URL#5388706" }, { "@score":"1", "@id":"5388707", "info":{"authors":{"author":[{"@pid":"08/5038","text":"Arthur Nieuwoudt"},{"@pid":"27/4166","text":"Yehia Massoud"}]},"title":"Investigating the Design, Performance, and Reliability of Multi-Walled Carbon Nanotube Interconnect.","venue":"ISQED","pages":"691-696","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/NieuwoudtM08","doi":"10.1109/ISQED.2008.4479821","ee":"https://doi.org/10.1109/ISQED.2008.4479821","url":"https://dblp.org/rec/conf/isqed/NieuwoudtM08"}, "url":"URL#5388707" }, { "@score":"1", "@id":"5388708", "info":{"authors":{"author":[{"@pid":"57/2791","text":"Taro Niiyama"},{"@pid":"23/5825","text":"Piao Zhe"},{"@pid":"00/4236","text":"Koichi Ishida"},{"@pid":"21/1739","text":"Masami Murakata"},{"@pid":"93/2018","text":"Makoto Takamiya"},{"@pid":"37/6335","text":"Takayasu Sakurai"}]},"title":"Dependence of Minimum Operating Voltage (VDDmin) on Block Size of 90-nm CMOS Ring Oscillators and its Implications in Low Power DFM.","venue":"ISQED","pages":"133-136","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/NiiyamaZIMTS08","doi":"10.1109/ISQED.2008.4479713","ee":"https://doi.org/10.1109/ISQED.2008.4479713","url":"https://dblp.org/rec/conf/isqed/NiiyamaZIMTS08"}, "url":"URL#5388708" }, { "@score":"1", "@id":"5388709", "info":{"authors":{"author":[{"@pid":"17/2979","text":"Parastoo Nikaeen"},{"@pid":"94/4508","text":"Boris Murmann"},{"@pid":"35/2701","text":"Robert W. Dutton"}]},"title":"Characterizing the Impact of Substrate Noise on High-Speed Flash ADCs.","venue":"ISQED","pages":"396-400","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/NikaeenMD08","doi":"10.1109/ISQED.2008.4479764","ee":"https://doi.org/10.1109/ISQED.2008.4479764","url":"https://dblp.org/rec/conf/isqed/NikaeenMD08"}, "url":"URL#5388709" }, { "@score":"1", "@id":"5388710", "info":{"authors":{"author":{"@pid":"59/2601","text":"Hyunok Oh"}},"title":"Constant Rate Dataflow Model with Intermediate Ports for Efficient Code Synthesis with Top-Down Design and Dynamic Behavior.","venue":"ISQED","pages":"190-193","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Oh08","doi":"10.1109/ISQED.2008.4479724","ee":"https://doi.org/10.1109/ISQED.2008.4479724","url":"https://dblp.org/rec/conf/isqed/Oh08"}, "url":"URL#5388710" }, { "@score":"1", "@id":"5388711", "info":{"authors":{"author":[{"@pid":"89/1795-1","text":"Ozcan Ozturk 0001"},{"@pid":"k/MahmutTKandemir","text":"Mahmut T. Kandemir"},{"@pid":"60/858","text":"Sri Hari Krishna Narayanan"}]},"title":"A Scratch-Pad Memory Aware Dynamic Loop Scheduling Algorithm.","venue":"ISQED","pages":"738-743","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/OzturkKN08","doi":"10.1109/ISQED.2008.4479830","ee":"https://doi.org/10.1109/ISQED.2008.4479830","url":"https://dblp.org/rec/conf/isqed/OzturkKN08"}, "url":"URL#5388711" }, { "@score":"1", "@id":"5388712", "info":{"authors":{"author":[{"@pid":"76/6754","text":"Murthy Palla"},{"@pid":"13/2600","text":"Jens Bargfrede"},{"@pid":"51/1550","text":"Klaus Koch"},{"@pid":"87/4485","text":"Walter Anheier"},{"@pid":"d/RolfDrechsler","text":"Rolf Drechsler"}]},"title":"Adaptive Branch and Bound Using SAT to Estimate False Crosstalk.","venue":"ISQED","pages":"508-513","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/PallaBKAD08","doi":"10.1109/ISQED.2008.4479787","ee":"https://doi.org/10.1109/ISQED.2008.4479787","url":"https://dblp.org/rec/conf/isqed/PallaBKAD08"}, "url":"URL#5388712" }, { "@score":"1", "@id":"5388713", "info":{"authors":{"author":[{"@pid":"29/1427","text":"José Carlos S. Palma"},{"@pid":"13/6510","text":"César A. M. Marcon"},{"@pid":"33/5648","text":"Fabiano Hessel"},{"@pid":"86/4958","text":"Eduardo A. Bezerra"},{"@pid":"07/984","text":"Guilherme Rohde"},{"@pid":"85/4793","text":"Luciano Azevedo"},{"@pid":"88/1614","text":"Carlos Eduardo Reif"},{"@pid":"65/4965","text":"Carolina Metzler"}]},"title":"A Passive 915 MHz UHF RFID Tag.","venue":"ISQED","pages":"348-351","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/PalmaMHBRARM08","doi":"10.1109/ISQED.2008.4479754","ee":"https://doi.org/10.1109/ISQED.2008.4479754","url":"https://dblp.org/rec/conf/isqed/PalmaMHBRARM08"}, "url":"URL#5388713" }, { "@score":"1", "@id":"5388714", "info":{"authors":{"author":[{"@pid":"00/6818","text":"Je-Hyoung Park"},{"@pid":"07/2154","text":"Ali Shakouri"},{"@pid":"57/2381","text":"Sung-Mo Kang"}]},"title":"Fast Evaluation Method for Transient Hot Spots in VLSI ICs in Packages.","venue":"ISQED","pages":"600-603","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ParkSK08","doi":"10.1109/ISQED.2008.4479805","ee":"https://doi.org/10.1109/ISQED.2008.4479805","url":"https://dblp.org/rec/conf/isqed/ParkSK08"}, "url":"URL#5388714" }, { "@score":"1", "@id":"5388715", "info":{"authors":{"author":[{"@pid":"10/4213","text":"Huifang Qin"},{"@pid":"90/1994","text":"Animesh Kumar"},{"@pid":"53/5765","text":"Kannan Ramchandran"},{"@pid":"r/JMRabaey","text":"Jan M. Rabaey"},{"@pid":"61/5637","text":"Prakash Ishwar"}]},"title":"Error-Tolerant SRAM Design for Ultra-Low Power Standby Operation.","venue":"ISQED","pages":"30-34","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/QinKRRI08","doi":"10.1109/ISQED.2008.4479693","ee":"https://doi.org/10.1109/ISQED.2008.4479693","url":"https://dblp.org/rec/conf/isqed/QinKRRI08"}, "url":"URL#5388715" }, { "@score":"1", "@id":"5388716", "info":{"authors":{"author":[{"@pid":"64/5449","text":"Xiang Qiu"},{"@pid":"41/3765","text":"Yuchun Ma"},{"@pid":"90/2754","text":"Xiangqing He"},{"@pid":"49/3541","text":"Xianlong Hong"}]},"title":"IPOSA: A Novel Slack Distribution Algorithm for Interconnect Power Optimization.","venue":"ISQED","pages":"873-876","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/QiuMHH08","doi":"10.1109/ISQED.2008.4479853","ee":"https://doi.org/10.1109/ISQED.2008.4479853","url":"https://dblp.org/rec/conf/isqed/QiuMHH08"}, "url":"URL#5388716" }, { "@score":"1", "@id":"5388717", "info":{"authors":{"author":[{"@pid":"14/5174","text":"Chittarsu Raghunandan"},{"@pid":"05/671","text":"K. S. Sainarayanan"},{"@pid":"38/5326","text":"M. B. Srinivas"}]},"title":"Process Variation Aware Bus-Coding Scheme for Delay Minimization in VLSI Interconnects.","venue":"ISQED","pages":"43-46","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/RaghunandanSS08","doi":"10.1109/ISQED.2008.4479695","ee":"https://doi.org/10.1109/ISQED.2008.4479695","url":"https://dblp.org/rec/conf/isqed/RaghunandanSS08"}, "url":"URL#5388717" }, { "@score":"1", "@id":"5388718", "info":{"authors":{"author":[{"@pid":"35/4830","text":"Anand Rajaram"},{"@pid":"23/5273","text":"Raguram Damodaran"},{"@pid":"64/3409","text":"Arjun Rajagopal"}]},"title":"Practical Clock Tree Robustness Signoff Metrics.","venue":"ISQED","pages":"676-679","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/RajaramDR08","doi":"10.1109/ISQED.2008.4479818","ee":"https://doi.org/10.1109/ISQED.2008.4479818","url":"https://dblp.org/rec/conf/isqed/RajaramDR08"}, "url":"URL#5388718" }, { "@score":"1", "@id":"5388719", "info":{"authors":{"author":[{"@pid":"07/5337","text":"Krishnan Ramakrishnan"},{"@pid":"56/1509","text":"R. Rajaraman"},{"@pid":"v/NarayananVijaykrishnan","text":"Narayanan Vijaykrishnan"},{"@pid":"x/YuanXie","text":"Yuan Xie 0001"},{"@pid":"i/MaryJaneIrwin","text":"Mary Jane Irwin"},{"@pid":"65/7583","text":"Kenan Unlu"}]},"title":"Hierarchical Soft Error Estimation Tool (HSEET).","venue":"ISQED","pages":"680-683","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/RamakrishnanRVXIU08","doi":"10.1109/ISQED.2008.4479819","ee":"https://doi.org/10.1109/ISQED.2008.4479819","url":"https://dblp.org/rec/conf/isqed/RamakrishnanRVXIU08"}, "url":"URL#5388719" }, { "@score":"1", "@id":"5388720", "info":{"authors":{"author":[{"@pid":"14/2736","text":"Saravanan Ramamoorthy"},{"@pid":"71/3583-5","text":"Haibo Wang 0005"},{"@pid":"60/2447","text":"Sarma B. K. Vrudhula"}]},"title":"A Low-Power Double-Edge-Triggered Address Pointer Circuit for FIFO Memory Design.","venue":"ISQED","pages":"123-126","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/RamamoorthyWV08","doi":"10.1109/ISQED.2008.4479711","ee":"https://doi.org/10.1109/ISQED.2008.4479711","url":"https://dblp.org/rec/conf/isqed/RamamoorthyWV08"}, "url":"URL#5388720" }, { "@score":"1", "@id":"5388721", "info":{"authors":{"author":[{"@pid":"55/2873","text":"Norma Rodriguez"},{"@pid":"20/872","text":"Li Song"},{"@pid":"66/4823","text":"Shishir Shroff"},{"@pid":"32/6000","text":"Kuang Han Chen"},{"@pid":"63/1917","text":"Taber Smith"},{"@pid":"92/4055","text":"Wilbur Luo"}]},"title":"Hotspot Prevention Using CMP Model in Design Implementation Flow.","venue":"ISQED","pages":"365-368","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/RodriguezSSCSL08","doi":"10.1109/ISQED.2008.4479758","ee":"https://doi.org/10.1109/ISQED.2008.4479758","url":"https://dblp.org/rec/conf/isqed/RodriguezSSCSL08"}, "url":"URL#5388721" }, { "@score":"1", "@id":"5388722", "info":{"authors":{"author":[{"@pid":"25/5832-2","text":"Joseph F. Ryan 0002"},{"@pid":"15/4871","text":"Benton H. Calhoun"}]},"title":"Minimizing Offset for Latching Voltage-Mode Sense Amplifiers for Sub-Threshold Operation.","venue":"ISQED","pages":"127-132","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/RyanC08","doi":"10.1109/ISQED.2008.4479712","ee":"https://doi.org/10.1109/ISQED.2008.4479712","url":"https://dblp.org/rec/conf/isqed/RyanC08"}, "url":"URL#5388722" }, { "@score":"1", "@id":"5388723", "info":{"authors":{"author":[{"@pid":"48/1796","text":"Emre Salman"},{"@pid":"65/1497","text":"Eby G. Friedman"},{"@pid":"68/5641","text":"Radu M. Secareanu"},{"@pid":"69/10","text":"Olin L. Hartin"}]},"title":"Dominant Substrate Noise Coupling Mechanism for Multiple Switching Gates.","venue":"ISQED","pages":"261-266","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SalmanFSH08","doi":"10.1109/ISQED.2008.4479736","ee":"https://doi.org/10.1109/ISQED.2008.4479736","url":"https://dblp.org/rec/conf/isqed/SalmanFSH08"}, "url":"URL#5388723" }, { "@score":"1", "@id":"5388724", "info":{"authors":{"author":[{"@pid":"29/129","text":"Rupak Samanta"},{"@pid":"05/6909","text":"Ganesh Venkataraman"},{"@pid":"49/3654","text":"Nimay Shah"},{"@pid":"20/5455","text":"Jiang Hu"}]},"title":"Elastic Timing Scheme for Energy-Efficient and Robust Performance.","venue":"ISQED","pages":"537-542","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SamantaVSH08","doi":"10.1109/ISQED.2008.4479792","ee":"https://doi.org/10.1109/ISQED.2008.4479792","url":"https://dblp.org/rec/conf/isqed/SamantaVSH08"}, "url":"URL#5388724" }, { "@score":"1", "@id":"5388725", "info":{"authors":{"author":[{"@pid":"39/5951","text":"Alodeep Sanyal"},{"@pid":"84/1396","text":"Sandip Kundu"}]},"title":"A Built-in Test and Characterization Method for Circuit Marginality Related Failures.","venue":"ISQED","pages":"838-843","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SanyalK08","doi":"10.1109/ISQED.2008.4479847","ee":"https://doi.org/10.1109/ISQED.2008.4479847","url":"https://dblp.org/rec/conf/isqed/SanyalK08"}, "url":"URL#5388725" }, { "@score":"1", "@id":"5388726", "info":{"authors":{"author":[{"@pid":"71/108","text":"Toshinori Sato"},{"@pid":"31/2998","text":"Shingo Watanabe"}]},"title":"Instruction Scheduling for Variation-Originated Variable Latencies.","venue":"ISQED","pages":"361-364","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SatoW08","doi":"10.1109/ISQED.2008.4479757","ee":"https://doi.org/10.1109/ISQED.2008.4479757","url":"https://dblp.org/rec/conf/isqed/SatoW08"}, "url":"URL#5388726" }, { "@score":"1", "@id":"5388727", "info":{"authors":{"author":[{"@pid":"55/553","text":"Manuel Sellier"},{"@pid":"08/4890","text":"Jean-Michel Portal"},{"@pid":"80/2284","text":"Bertrand Borot"},{"@pid":"87/534","text":"Steve Colquhoun"},{"@pid":"08/4405","text":"Richard Ferrant"},{"@pid":"44/3685","text":"Frédéric Boeuf"},{"@pid":"29/2715","text":"Alexis Farcy"}]},"title":"Predictive Delay Evaluation on Emerging CMOS Technologies: A Simulation Framework.","venue":"ISQED","pages":"492-497","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SellierPBCFBF08","doi":"10.1109/ISQED.2008.4479784","ee":"https://doi.org/10.1109/ISQED.2008.4479784","url":"https://dblp.org/rec/conf/isqed/SellierPBCFBF08"}, "url":"URL#5388727" }, { "@score":"1", "@id":"5388728", "info":{"authors":{"author":[{"@pid":"58/3403","text":"Ganesh R. Shamnur"},{"@pid":"42/5864","text":"Rajesh R. Berigei"}]},"title":"XStatic: A Simulation Based ESD Verification and Debug Environment.","venue":"ISQED","pages":"441-444","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ShamnurB08","doi":"10.1109/ISQED.2008.4479773","ee":"https://doi.org/10.1109/ISQED.2008.4479773","url":"https://dblp.org/rec/conf/isqed/ShamnurB08"}, "url":"URL#5388728" }, { "@score":"1", "@id":"5388729", "info":{"authors":{"author":{"@pid":"67/1638","text":"Muzhou Shao"}},"title":"Fast Timing Update under the Effect of IR Drop.","venue":"ISQED","pages":"301-304","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Shao08","doi":"10.1109/ISQED.2008.4479744","ee":"https://doi.org/10.1109/ISQED.2008.4479744","url":"https://dblp.org/rec/conf/isqed/Shao08"}, "url":"URL#5388729" }, { "@score":"1", "@id":"5388730", "info":{"authors":{"author":[{"@pid":"67/6398","text":"Shervin Sharifi"},{"@pid":"24/2238","text":"Chunchen Liu"},{"@pid":"s/TajanaSimunic","text":"Tajana Simunic Rosing"}]},"title":"Accurate Temperature Estimation for Efficient Thermal Management.","venue":"ISQED","pages":"137-142","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SharifiLR08","doi":"10.1109/ISQED.2008.4479714","ee":"https://doi.org/10.1109/ISQED.2008.4479714","url":"https://dblp.org/rec/conf/isqed/SharifiLR08"}, "url":"URL#5388730" }, { "@score":"1", "@id":"5388731", "info":{"authors":{"author":[{"@pid":"14/3608","text":"Yin Shen"},{"@pid":"09/2775","text":"Yici Cai"},{"@pid":"43/3182-1","text":"Qiang Zhou 0001"},{"@pid":"49/3541","text":"Xianlong Hong"}]},"title":"DFM Based Detailed Routing Algorithm for ECP and CMP.","venue":"ISQED","pages":"357-360","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ShenCZH08","doi":"10.1109/ISQED.2008.4479756","ee":"https://doi.org/10.1109/ISQED.2008.4479756","url":"https://dblp.org/rec/conf/isqed/ShenCZH08"}, "url":"URL#5388731" }, { "@score":"1", "@id":"5388732", "info":{"authors":{"author":[{"@pid":"61/1381","text":"Sachin Shrivastava"},{"@pid":"19/1544","text":"Harindranath Parameswaran"}]},"title":"Statistical Crosstalk Noise Analysis Using First Order Parameterized Approach for Aggressor Grouping.","venue":"ISQED","pages":"445-449","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ShrivastavaP08","doi":"10.1109/ISQED.2008.4479774","ee":"https://doi.org/10.1109/ISQED.2008.4479774","url":"https://dblp.org/rec/conf/isqed/ShrivastavaP08"}, "url":"URL#5388732" }, { "@score":"1", "@id":"5388733", "info":{"authors":{"author":[{"@pid":"13/1472","text":"Saurabh Sinha"},{"@pid":"69/4615","text":"Asha Balijepalli"},{"@pid":"68/6563","text":"Yu Cao"}]},"title":"A Simplified Model of Carbon Nanotube Transistor with Applications to Analog and Digital Design.","venue":"ISQED","pages":"502-507","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SinhaBC08","doi":"10.1109/ISQED.2008.4479786","ee":"https://doi.org/10.1109/ISQED.2008.4479786","url":"https://dblp.org/rec/conf/isqed/SinhaBC08"}, "url":"URL#5388733" }, { "@score":"1", "@id":"5388734", "info":{"authors":{"author":[{"@pid":"40/4062","text":"Maurizio Skerlj"},{"@pid":"i/PIenne","text":"Paolo Ienne"}]},"title":"Error Protected Data Bus Inversion Using Standard DRAM Components.","venue":"ISQED","pages":"35-42","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SkerljI08","doi":"10.1109/ISQED.2008.4479694","ee":"https://doi.org/10.1109/ISQED.2008.4479694","url":"https://dblp.org/rec/conf/isqed/SkerljI08"}, "url":"URL#5388734" }, { "@score":"1", "@id":"5388735", "info":{"authors":{"author":[{"@pid":"14/144","text":"Michael N. Skoufis"},{"@pid":"32/6742","text":"Kedar Karmarkar"},{"@pid":"h/ThHaniotakis","text":"Themistoklis Haniotakis"},{"@pid":"70/5489","text":"Spyros Tragoudas"}]},"title":"A High-Performance Bus Architecture for Strongly Coupled Interconnects.","venue":"ISQED","pages":"407-410","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SkoufisKHT08","doi":"10.1109/ISQED.2008.4479766","ee":"https://doi.org/10.1109/ISQED.2008.4479766","url":"https://dblp.org/rec/conf/isqed/SkoufisKHT08"}, "url":"URL#5388735" }, { "@score":"1", "@id":"5388736", "info":{"authors":{"author":[{"@pid":"93/3803","text":"Udo Sobe"},{"@pid":"37/1419","text":"Karl-Heinz Rooch"},{"@pid":"22/6280","text":"Andreas Ripp"},{"@pid":"17/1258","text":"Michael Pronath"}]},"title":"Robust Analog Design for Automotive Applications by Design Centering with Safe Operating Areas.","venue":"ISQED","pages":"848-854","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SobeRRP08","doi":"10.1109/ISQED.2008.4479849","ee":"https://doi.org/10.1109/ISQED.2008.4479849","url":"https://dblp.org/rec/conf/isqed/SobeRRP08"}, "url":"URL#5388736" }, { "@score":"1", "@id":"5388737", "info":{"authors":{"author":[{"@pid":"90/7034","text":"Matthew G. Stout"},{"@pid":"36/1495","text":"Kenneth P. Tumin"}]},"title":"Innovative Test Solutions for Pin-Limited Microcontrollers.","venue":"ISQED","pages":"437-440","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/StoutT08","doi":"10.1109/ISQED.2008.4479772","ee":"https://doi.org/10.1109/ISQED.2008.4479772","url":"https://dblp.org/rec/conf/isqed/StoutT08"}, "url":"URL#5388737" }, { "@score":"1", "@id":"5388738", "info":{"authors":{"author":[{"@pid":"49/529","text":"Alicia Strang"},{"@pid":"99/4331","text":"David Potts"},{"@pid":"49/2635","text":"Shankar Hemmady"}]},"title":"A Holistic Approach to SoC Verification.","venue":"ISQED","pages":"417-422","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/StrangPH08","doi":"10.1109/ISQED.2008.4479768","ee":"https://doi.org/10.1109/ISQED.2008.4479768","url":"https://dblp.org/rec/conf/isqed/StrangPH08"}, "url":"URL#5388738" }, { "@score":"1", "@id":"5388739", "info":{"authors":{"author":[{"@pid":"81/4659","text":"Qing Su"},{"@pid":"144/4589","text":"Charles C. Chiang"},{"@pid":"84/2837","text":"Jamil Kawa"}]},"title":"Hotspot Based Yield Prediction with Consideration of Correlations.","venue":"ISQED","pages":"338-343","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SuCK08","doi":"10.1109/ISQED.2008.4479752","ee":"https://doi.org/10.1109/ISQED.2008.4479752","url":"https://dblp.org/rec/conf/isqed/SuCK08"}, "url":"URL#5388739" }, { "@score":"1", "@id":"5388740", "info":{"authors":{"author":[{"@pid":"38/4031","text":"Sangeetha Sudhakrishnan"},{"@pid":"48/6192","text":"Liying Su"},{"@pid":"81/6097","text":"Jose Renau"}]},"title":"Processor Verification with hwBugHunt.","venue":"ISQED","pages":"224-229","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SudhakrishnanSR08","doi":"10.1109/ISQED.2008.4479730","ee":"https://doi.org/10.1109/ISQED.2008.4479730","url":"https://dblp.org/rec/conf/isqed/SudhakrishnanSR08"}, "url":"URL#5388740" }, { "@score":"1", "@id":"5388741", "info":{"authors":{"author":{"@pid":"10/5556","text":"Makoto Sugihara"}},"title":"SEU Vulnerability of Multiprocessor Systems and Task Scheduling for Heterogeneous Multiprocessor Systems.","venue":"ISQED","pages":"757-762","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Sugihara08","doi":"10.1109/ISQED.2008.4479833","ee":"https://doi.org/10.1109/ISQED.2008.4479833","url":"https://dblp.org/rec/conf/isqed/Sugihara08"}, "url":"URL#5388741" }, { "@score":"1", "@id":"5388742", "info":{"authors":{"author":[{"@pid":"55/4671","text":"Krishnan Sundaresan"},{"@pid":"36/722","text":"Nihar R. Mahapatra"}]},"title":"Interconnect Signaling and Layout Optimization to Manage Thermal Effects Due to Self Heating in On-Chip Signal Buses.","venue":"ISQED","pages":"118-122","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SundaresanM08","doi":"10.1109/ISQED.2008.4479710","ee":"https://doi.org/10.1109/ISQED.2008.4479710","url":"https://dblp.org/rec/conf/isqed/SundaresanM08"}, "url":"URL#5388742" }, { "@score":"1", "@id":"5388743", "info":{"authors":{"author":[{"@pid":"86/6980","text":"Savithri Sundareswaran"},{"@pid":"a/JacobAAbraham","text":"Jacob A. Abraham"},{"@pid":"56/2732","text":"Alexandre Ardelea"},{"@pid":"49/6971","text":"Rajendran Panda"}]},"title":"Characterization of Standard Cells for Intra-Cell Mismatch Variations.","venue":"ISQED","pages":"213-219","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/SundareswaranAAP08","doi":"10.1109/ISQED.2008.4479728","ee":"https://doi.org/10.1109/ISQED.2008.4479728","url":"https://dblp.org/rec/conf/isqed/SundareswaranAAP08"}, "url":"URL#5388743" }, { "@score":"1", "@id":"5388744", "info":{"authors":{"author":{"@pid":"00/6926","text":"Ravi Surepeddi"}},"title":"System Verilog for Quality of Results (QoR).","venue":"ISQED","pages":"460-464","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Surepeddi08","doi":"10.1109/ISQED.2008.4479777","ee":"https://doi.org/10.1109/ISQED.2008.4479777","url":"https://dblp.org/rec/conf/isqed/Surepeddi08"}, "url":"URL#5388744" }, { "@score":"1", "@id":"5388745", "info":{"authors":{"author":{"@pid":"85/5043","text":"Sanjiv Taneja"}},"title":"Plenary Speech 2P1: Consumerization of Electronics and Nanometer Technologies: Implications for Manufacturing Test.","venue":"ISQED","pages":"585","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Taneja08","doi":"10.1109/ISQED.2008.4479800","ee":"https://doi.org/10.1109/ISQED.2008.4479800","url":"https://dblp.org/rec/conf/isqed/Taneja08"}, "url":"URL#5388745" }, { "@score":"1", "@id":"5388746", "info":{"authors":{"author":[{"@pid":"62/1708","text":"Chin-Khai Tang"},{"@pid":"63/4295","text":"Chun-Yen Lin"},{"@pid":"79/5056","text":"Yi-Chang Lu"}]},"title":"An Asynchronous Circuit Design with Fast Forwarding Technique at Advanced Technology Node.","venue":"ISQED","pages":"769-773","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/TangLL08","doi":"10.1109/ISQED.2008.4479835","ee":"https://doi.org/10.1109/ISQED.2008.4479835","url":"https://dblp.org/rec/conf/isqed/TangLL08"}, "url":"URL#5388746" }, { "@score":"1", "@id":"5388747", "info":{"authors":{"author":[{"@pid":"24/5998","text":"Sherif A. Tawfik"},{"@pid":"70/355","text":"Volkan Kursun"}]},"title":"Characterization of New Static Independent-Gate-Biased FinFET Latches and Flip-Flops under Process Variations.","venue":"ISQED","pages":"311-316","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/TawfikK08","doi":"10.1109/ISQED.2008.4479746","ee":"https://doi.org/10.1109/ISQED.2008.4479746","url":"https://dblp.org/rec/conf/isqed/TawfikK08"}, "url":"URL#5388747" }, { "@score":"1", "@id":"5388748", "info":{"authors":{"author":[{"@pid":"24/5998","text":"Sherif A. Tawfik"},{"@pid":"70/355","text":"Volkan Kursun"}]},"title":"Compact FinFET Memory Circuits with P-Type Data Access Transistors for Low Leakage and Robust Operation.","venue":"ISQED","pages":"855-860","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/TawfikK08a","doi":"10.1109/ISQED.2008.4479850","ee":"https://doi.org/10.1109/ISQED.2008.4479850","url":"https://dblp.org/rec/conf/isqed/TawfikK08a"}, "url":"URL#5388748" }, { "@score":"1", "@id":"5388749", "info":{"authors":{"author":{"@pid":"75/6468","text":"Rasit Onur Topaloglu"}},"title":"Process Variation Characterization and Modeling of Nanoparticle Interconnects for Foldable Electronics.","venue":"ISQED","pages":"498-501","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Topaloglu08","doi":"10.1109/ISQED.2008.4479785","ee":"https://doi.org/10.1109/ISQED.2008.4479785","url":"https://dblp.org/rec/conf/isqed/Topaloglu08"}, "url":"URL#5388749" }, { "@score":"1", "@id":"5388750", "info":{"authors":{"author":[{"@pid":"31/6799","text":"Santhosh Coimbatore Vaidyanathan"},{"@pid":"18/1088","text":"Amit Mangesh Brahme"},{"@pid":"20/189","text":"Sukumar Jairam"}]},"title":"Techniques for Early Package Closure in System-in-Packages.","venue":"ISQED","pages":"608-613","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/VaidyanathanBS08","doi":"10.1109/ISQED.2008.4479807","ee":"https://doi.org/10.1109/ISQED.2008.4479807","url":"https://dblp.org/rec/conf/isqed/VaidyanathanBS08"}, "url":"URL#5388750" }, { "@score":"1", "@id":"5388751", "info":{"authors":{"author":[{"@pid":"72/751","text":"Vineeth Veetil"},{"@pid":"83/6040","text":"Dennis Sylvester"},{"@pid":"b/DBlaauw","text":"David T. Blaauw"}]},"title":"Fast and Accurate Waveform Analysis with Current Source Models.","venue":"ISQED","pages":"53-56","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/VeetilSB08","doi":"10.1109/ISQED.2008.4479697","ee":"https://doi.org/10.1109/ISQED.2008.4479697","url":"https://dblp.org/rec/conf/isqed/VeetilSB08"}, "url":"URL#5388751" }, { "@score":"1", "@id":"5388752", "info":{"authors":{"author":[{"@pid":"84/4471","text":"C. R. Venugopal"},{"@pid":"41/5304","text":"Prasanth Soraiyur"},{"@pid":"65/4132","text":"Jagannath Rao"}]},"title":"Evaluation of the PTSI Crosstalk Noise Analysis Tool and Development of an Automated Spice Correlation Suite to Enable Accuracy Validation.","venue":"ISQED","pages":"334-337","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/VenugopalSR08","doi":"10.1109/ISQED.2008.4479751","ee":"https://doi.org/10.1109/ISQED.2008.4479751","url":"https://dblp.org/rec/conf/isqed/VenugopalSR08"}, "url":"URL#5388752" }, { "@score":"1", "@id":"5388753", "info":{"authors":{"author":[{"@pid":"64/512","text":"Daniela De Venuto"},{"@pid":"75/170","text":"Bruno Riccò"}]},"title":"High Resolution Read-Out Circuit for DNA Label-Free Detection System.","venue":"ISQED","pages":"708-711","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/VenutoR08","doi":"10.1109/ISQED.2008.4479824","ee":"https://doi.org/10.1109/ISQED.2008.4479824","url":"https://dblp.org/rec/conf/isqed/VenutoR08"}, "url":"URL#5388753" }, { "@score":"1", "@id":"5388754", "info":{"authors":{"author":{"@pid":"83/5771","text":"Chandu Visweswariah"}},"title":"Plenary Speech 2P2: Statistical Techniques to Achieve Robustness and Quality.","venue":"ISQED","pages":"586","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/Visweswariah08","doi":"10.1109/ISQED.2008.4479801","ee":"https://doi.org/10.1109/ISQED.2008.4479801","url":"https://dblp.org/rec/conf/isqed/Visweswariah08"}, "url":"URL#5388754" }, { "@score":"1", "@id":"5388755", "info":{"authors":{"author":[{"@pid":"34/2863","text":"Victoria Wang"},{"@pid":"29/42","text":"Kanak Agarwal"},{"@pid":"47/2849","text":"Sani R. Nassif"},{"@pid":"27/1884","text":"Kevin J. Nowka"},{"@pid":"17/1368","text":"Dejan Markovic"}]},"title":"A Design Model for Random Process Variability.","venue":"ISQED","pages":"734-737","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/WangANNM08","doi":"10.1109/ISQED.2008.4479829","ee":"https://doi.org/10.1109/ISQED.2008.4479829","url":"https://dblp.org/rec/conf/isqed/WangANNM08"}, "url":"URL#5388755" }, { "@score":"1", "@id":"5388756", "info":{"authors":{"author":[{"@pid":"10/5630","text":"Xin Wang"},{"@pid":"96/5618","text":"Alireza Kasnavi"},{"@pid":"37/5388","text":"Harold Levy"}]},"title":"An Efficient Method for Fast Delay and SI Calculation Using Current Source Models.","venue":"ISQED","pages":"57-61","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/WangKL08","doi":"10.1109/ISQED.2008.4479698","ee":"https://doi.org/10.1109/ISQED.2008.4479698","url":"https://dblp.org/rec/conf/isqed/WangKL08"}, "url":"URL#5388756" }, { "@score":"1", "@id":"5388757", "info":{"authors":{"author":[{"@pid":"49/4096","text":"Wenping Wang"},{"@pid":"11/4785","text":"Shengqi Yang"},{"@pid":"68/6563-1","text":"Yu Cao 0001"}]},"title":"Node Criticality Computation for Circuit Timing Analysis and Optimization under NBTI Effect.","venue":"ISQED","pages":"763-768","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/WangYC08","doi":"10.1109/ISQED.2008.4479834","ee":"https://doi.org/10.1109/ISQED.2008.4479834","url":"https://dblp.org/rec/conf/isqed/WangYC08"}, "url":"URL#5388757" }, { "@score":"1", "@id":"5388758", "info":{"authors":{"author":[{"@pid":"17/221","text":"Yi Wang"},{"@pid":"58/5418-1","text":"Xuan Zeng 0001"},{"@pid":"35/5170-1","text":"Jun Tao 0001"},{"@pid":"12/1249","text":"Hengliang Zhu"},{"@pid":"06/2622","text":"Xu Luo"},{"@pid":"79/6099","text":"Changhao Yan"},{"@pid":"52/2830-3","text":"Wei Cai 0003"}]},"title":"Adaptive Stochastic Collocation Method (ASCM) for Parameterized Statistical Timing Analysis with Quadratic Delay Model.","venue":"ISQED","pages":"62-67","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/WangZTZLYC08","doi":"10.1109/ISQED.2008.4479699","ee":"https://doi.org/10.1109/ISQED.2008.4479699","url":"https://dblp.org/rec/conf/isqed/WangZTZLYC08"}, "url":"URL#5388758" }, { "@score":"1", "@id":"5388759", "info":{"authors":{"author":[{"@pid":"12/2205","text":"Jiun-Kuan Wu"},{"@pid":"57/6905","text":"Tsung-Yi Wu"},{"@pid":"83/1275","text":"Liang-Ying Lu"},{"@pid":"26/4063","text":"Kuang-Yao Chen"}]},"title":"IR Drop Reduction via a Flip-Flop Resynthesis Technique.","venue":"ISQED","pages":"78-83","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/WuWLC08","doi":"10.1109/ISQED.2008.4479702","ee":"https://doi.org/10.1109/ISQED.2008.4479702","url":"https://dblp.org/rec/conf/isqed/WuWLC08"}, "url":"URL#5388759" }, { "@score":"1", "@id":"5388760", "info":{"authors":{"author":[{"@pid":"90/4765","text":"Tian Xia"},{"@pid":"59/5972","text":"Stephen Wyatt"}]},"title":"High Output Resistance and Wide Swing Voltage Charge Pump Circuit.","venue":"ISQED","pages":"114-117","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/XiaW08","doi":"10.1109/ISQED.2008.4479709","ee":"https://doi.org/10.1109/ISQED.2008.4479709","url":"https://dblp.org/rec/conf/isqed/XiaW08"}, "url":"URL#5388760" }, { "@score":"1", "@id":"5388761", "info":{"authors":{"author":[{"@pid":"49/1677","text":"Lin Xie"},{"@pid":"67/2433","text":"Azadeh Davoodi"}]},"title":"Robust Estimation of Timing Yield with Partial Statistical Information on Process Variations.","venue":"ISQED","pages":"156-161","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/XieD08","doi":"10.1109/ISQED.2008.4479718","ee":"https://doi.org/10.1109/ISQED.2008.4479718","url":"https://dblp.org/rec/conf/isqed/XieD08"}, "url":"URL#5388761" }, { "@score":"1", "@id":"5388762", "info":{"authors":{"author":[{"@pid":"49/1677","text":"Lin Xie"},{"@pid":"67/2433","text":"Azadeh Davoodi"}]},"title":"Fast and Accurate Statistical Static Timing Analysis with Skewed Process Parameter Variation.","venue":"ISQED","pages":"712-717","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/XieD08a","doi":"10.1109/ISQED.2008.4479825","ee":"https://doi.org/10.1109/ISQED.2008.4479825","url":"https://dblp.org/rec/conf/isqed/XieD08a"}, "url":"URL#5388762" }, { "@score":"1", "@id":"5388763", "info":{"authors":{"author":[{"@pid":"31/933","text":"Haixia Yan"},{"@pid":"43/3182-1","text":"Qiang Zhou 0001"},{"@pid":"49/3541","text":"Xianlong Hong"}]},"title":"Efficient Thermal Aware Placement Approach Integrated with 3D DCT Placement Algorithm.","venue":"ISQED","pages":"289-292","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/YanZH08","doi":"10.1109/ISQED.2008.4479741","ee":"https://doi.org/10.1109/ISQED.2008.4479741","url":"https://dblp.org/rec/conf/isqed/YanZH08"}, "url":"URL#5388763" }, { "@score":"1", "@id":"5388764", "info":{"authors":{"author":[{"@pid":"64/6512","text":"Jae-Seok Yang"},{"@pid":"27/123","text":"Andrew R. Neureuther"}]},"title":"Crosstalk Noise Variation Assessment and Analysis for the Worst Process Corner.","venue":"ISQED","pages":"352-356","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/YangN08","doi":"10.1109/ISQED.2008.4479755","ee":"https://doi.org/10.1109/ISQED.2008.4479755","url":"https://dblp.org/rec/conf/isqed/YangN08"}, "url":"URL#5388764" }, { "@score":"1", "@id":"5388765", "info":{"authors":{"author":[{"@pid":"46/999-4","text":"Bo Yang 0004"},{"@pid":"42/5028","text":"Shigetoshi Nakatake"},{"@pid":"87/2289","text":"Hiroshi Murata"}]},"title":"Fast Shape Optimization of Metallization Patterns for DMOS Based Driver.","venue":"ISQED","pages":"617-620","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/YangNM08","doi":"10.1109/ISQED.2008.4479808","ee":"https://doi.org/10.1109/ISQED.2008.4479808","url":"https://dblp.org/rec/conf/isqed/YangNM08"}, "url":"URL#5388765" }, { "@score":"1", "@id":"5388766", "info":{"authors":{"author":[{"@pid":"55/783","text":"Xiaoji Ye"},{"@pid":"67/1336-1","text":"Min Zhao 0001"},{"@pid":"49/6971","text":"Rajendran Panda"},{"@pid":"83/6353-1","text":"Peng Li 0001"},{"@pid":"20/5455","text":"Jiang Hu"}]},"title":"Accelerating Clock Mesh Simulation Using Matrix-Level Macromodels and Dynamic Time Step Rounding.","venue":"ISQED","pages":"627-632","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/YeZPLH08","doi":"10.1109/ISQED.2008.4479810","ee":"https://doi.org/10.1109/ISQED.2008.4479810","url":"https://dblp.org/rec/conf/isqed/YeZPLH08"}, "url":"URL#5388766" }, { "@score":"1", "@id":"5388767", "info":{"authors":{"author":[{"@pid":"57/5794","text":"Kumar Yelamarthi"},{"@pid":"51/4437","text":"Chien-In Henry Chen"}]},"title":"Process Variation Aware Timing Optimization through Transistor Sizing in Dynamic CMOS Logic.","venue":"ISQED","pages":"143-147","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/YelamarthiC08","doi":"10.1109/ISQED.2008.4479715","ee":"https://doi.org/10.1109/ISQED.2008.4479715","url":"https://dblp.org/rec/conf/isqed/YelamarthiC08"}, "url":"URL#5388767" }, { "@score":"1", "@id":"5388768", "info":{"authors":{"author":[{"@pid":"13/5236-11","text":"Bin Zhang 0011"},{"@pid":"38/3999","text":"Michael Orshansky"}]},"title":"Modeling of NBTI-Induced PMOS Degradation under Arbitrary Dynamic Temperature Variation.","venue":"ISQED","pages":"774-779","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ZhangO08","doi":"10.1109/ISQED.2008.4479836","ee":"https://doi.org/10.1109/ISQED.2008.4479836","url":"https://dblp.org/rec/conf/isqed/ZhangO08"}, "url":"URL#5388768" }, { "@score":"1", "@id":"5388769", "info":{"authors":{"author":[{"@pid":"64/5666-33","text":"Lei Zhang 0033"},{"@pid":"12/4802","text":"Zhiping Yu"},{"@pid":"90/2754","text":"Xiangqing He"}]},"title":"A Statistical Characterization of CMOS Process Fluctuations in Subthreshold Current Mirrors.","venue":"ISQED","pages":"152-155","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ZhangYH08","doi":"10.1109/ISQED.2008.4479717","ee":"https://doi.org/10.1109/ISQED.2008.4479717","url":"https://dblp.org/rec/conf/isqed/ZhangYH08"}, "url":"URL#5388769" }, { "@score":"1", "@id":"5388770", "info":{"authors":{"author":[{"@pid":"76/5973","text":"Ling Zhang"},{"@pid":"03/6174","text":"Wenjian Yu"},{"@pid":"62/6140","text":"Haikun Zhu"},{"@pid":"59/1463","text":"Wanping Zhang"},{"@pid":"c/ChungKuanCheng","text":"Chung-Kuan Cheng"}]},"title":"Clock Skew Analysis via Vector Fitting in Frequency Domain.","venue":"ISQED","pages":"476-479","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ZhangYZZC08","doi":"10.1109/ISQED.2008.4479780","ee":"https://doi.org/10.1109/ISQED.2008.4479780","url":"https://dblp.org/rec/conf/isqed/ZhangYZZC08"}, "url":"URL#5388770" }, { "@score":"1", "@id":"5388771", "info":{"authors":{"author":[{"@pid":"74/5365","text":"Kang Zhao"},{"@pid":"32/5098","text":"Jinian Bian"},{"@pid":"03/4680","text":"Sheqin Dong"},{"@pid":"24/4470-2","text":"Yang Song 0002"},{"@pid":"75/5246","text":"Satoshi Goto"}]},"title":"Automated Specific Instruction Customization Methodology for Multimedia Processor Acceleration.","venue":"ISQED","pages":"321-324","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ZhaoBDSG08","doi":"10.1109/ISQED.2008.4479748","ee":"https://doi.org/10.1109/ISQED.2008.4479748","url":"https://dblp.org/rec/conf/isqed/ZhaoBDSG08"}, "url":"URL#5388771" }, { "@score":"1", "@id":"5388772", "info":{"authors":{"author":[{"@pid":"84/4962","text":"Yu Zhong"},{"@pid":"w/MartinDFWong","text":"Martin D. F. Wong"}]},"title":"Thermal-Aware IR Drop Analysis in Large Power Grid.","venue":"ISQED","pages":"194-199","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ZhongW08","doi":"10.1109/ISQED.2008.4479725","ee":"https://doi.org/10.1109/ISQED.2008.4479725","url":"https://dblp.org/rec/conf/isqed/ZhongW08"}, "url":"URL#5388772" }, { "@score":"1", "@id":"5388773", "info":{"authors":{"author":[{"@pid":"36/2728","text":"Yu Zhou"},{"@pid":"38/739","text":"Somnath Paul"},{"@pid":"75/4629","text":"Swarup Bhunia"}]},"title":"Towards Uniform Temperature Distribution in SOI Circuits Using Carbon Nanotube Based Thermal Interconnect.","venue":"ISQED","pages":"861-866","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ZhouPB08","doi":"10.1109/ISQED.2008.4479851","ee":"https://doi.org/10.1109/ISQED.2008.4479851","url":"https://dblp.org/rec/conf/isqed/ZhouPB08"}, "url":"URL#5388773" }, { "@score":"1", "@id":"5388774", "info":{"authors":{"author":[{"@pid":"50/6054","text":"Dan Zhu"},{"@pid":"08/5261","text":"Tun Li"},{"@pid":"73/1810-3","text":"Yang Guo 0003"},{"@pid":"51/3577","text":"Sikun Li"}]},"title":"2D Decomposition Sequential Equivalence Checking of System Level and RTL Descriptions.","venue":"ISQED","pages":"637-642","year":"2008","type":"Conference and Workshop Papers","access":"closed","key":"conf/isqed/ZhuLGL08","doi":"10.1109/ISQED.2008.4479812","ee":"https://doi.org/10.1109/ISQED.2008.4479812","url":"https://dblp.org/rec/conf/isqed/ZhuLGL08"}, "url":"URL#5388774" }, { "@score":"1", "@id":"5430478", "info":{"title":"9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA","venue":"ISQED","publisher":"IEEE Computer Society","year":"2008","type":"Editorship","key":"conf/isqed/2008","ee":"https://ieeexplore.ieee.org/xpl/conhome/4479672/proceeding","url":"https://dblp.org/rec/conf/isqed/2008"}, "url":"URL#5430478" } ] } } } )