:facetid:toc:\"db/conf/islped/islped2003.bht\"OK:facetid:toc:db/conf/islped/islped2003.bhtEmrah AcarAnirudh DevganRahul M. RaoYing LiuHaihua SuSani R. NassifJeffrey L. BurnsLeakage and leakage sensitivity computation for combinational circuits.ISLPED96-992003Conference and Workshop Papersclosedconf/islped/AcarDRLSNB0310.1145/871506.871532https://doi.org/10.1145/871506.871532https://dblp.org/rec/conf/islped/AcarDRLSNB03URL#6188727Amit Agarwal 0001Kaushik Roy 0001A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime.ISLPED18-212003Conference and Workshop Papersclosedconf/islped/AgarwalR0310.1145/871506.871514https://doi.org/10.1145/871506.871514https://dblp.org/rec/conf/islped/AgarwalR03URL#6188728Mohammad M. AhmadiReza LotfiA new architecture for rail-to-rail input constant-gm CMOS operational transconductance amplifiers.ISLPED353-3582003Conference and Workshop Papersclosedconf/islped/AhmadiL0310.1145/871506.871594https://doi.org/10.1145/871506.871594https://dblp.org/rec/conf/islped/AhmadiL03URL#6188729Hesam Amir AslanzadehSaeid MehrmaneshMohammad B. VahidfarAmin Quasem SafarianReza LotfiA 1-V 1-mW high-speed class AB operational amplifier for high-speed low power pipelined A/D converters using "Slew Boost" technique.ISLPED340-3442003Conference and Workshop Papersclosedconf/islped/AslanzadehMVSL0310.1145/871506.871591https://doi.org/10.1145/871506.871591https://dblp.org/rec/conf/islped/AslanzadehMVSL03URL#6188730Luca BeniniAngelo GalatiAlberto MaciiEnrico MaciiMassimo PoncinoEnergy-efficient data scrambling on memory-processor interfaces.ISLPED26-292003Conference and Workshop Papersclosedconf/islped/BeniniGMMP0310.1145/871506.871517https://doi.org/10.1145/871506.871517https://dblp.org/rec/conf/islped/BeniniGMMP03URL#6188731Kavel M. BüyüksahinPriyadarsan PatraFarid N. NajmESTIMA: an architectural-level power estimator for multi-ported pipelined register files.ISLPED294-2972003Conference and Workshop Papersclosedconf/islped/BuyuksahinPN0310.1145/871506.871579https://doi.org/10.1145/871506.871579https://dblp.org/rec/conf/islped/BuyuksahinPN03URL#6188732Benton H. CalhounFrank HonoréAnantha P. ChandrakasanDesign methodology for fine-grained leakage control in MTCMOS.ISLPED104-1092003Conference and Workshop Papersclosedconf/islped/CalhounHC0310.1145/871506.871535https://doi.org/10.1145/871506.871535https://dblp.org/rec/conf/islped/CalhounHC03URL#6188733Juan Antonio CarballoJeffrey L. BurnsSeung-Moon YooIvan VoV. Robert NormanA semi-custom voltage-island technique and its application to high-speed serial links.ISLPED60-652003Conference and Workshop Papersclosedconf/islped/CarballoBYVN0310.1145/871506.871525https://doi.org/10.1145/871506.871525https://dblp.org/rec/conf/islped/CarballoBYVN03URL#6188734Yen-Jen ChangChia-Lin YangFeipei LaiA power-aware SWDR cell for reducing cache write power.ISLPED14-172003Conference and Workshop Papersclosedconf/islped/ChangYL0310.1145/871506.871513https://doi.org/10.1145/871506.871513https://dblp.org/rec/conf/islped/ChangYL03URL#6188735Bhaskar ChatterjeeManoj SachdevSteven HsuRam Krishnamurthy 0001Shekhar BorkarEffectiveness and scaling trends of leakage control techniques for sub-130nm CMOS technologies.ISLPED122-1272003Conference and Workshop Papersclosedconf/islped/ChatterjeeSHKB0310.1145/871506.871538https://doi.org/10.1145/871506.871538https://dblp.org/rec/conf/islped/ChatterjeeSHKB03URL#6188736Daniel ChaverLuis PiñuelManuel Prieto 0001Francisco TiradoMichael C. Huang 0001Branch prediction on demand: an energy-efficient solution.ISLPED390-3952003Conference and Workshop Papersclosedconf/islped/ChaverPPTH0310.1145/871506.871603https://doi.org/10.1145/871506.871603https://dblp.org/rec/conf/islped/ChaverPPTH03URL#6188737Deming ChenJason CongYiping FanLow-power high-level synthesis for FPGA architectures.ISLPED134-1392003Conference and Workshop Papersclosedconf/islped/ChenCF0310.1145/871506.871541https://doi.org/10.1145/871506.871541https://dblp.org/rec/conf/islped/ChenCF03URL#6188738Xuning ChenLi-Shiuan PehLeakage power modeling and optimization in interconnection networks.ISLPED90-952003Conference and Workshop Papersclosedconf/islped/ChenP0310.1145/871506.871531https://doi.org/10.1145/871506.871531https://dblp.org/rec/conf/islped/ChenP03URL#6188739Yiran Chen 0001Kaushik Roy 0001Cheng-Kok KohIntegrated architectural/physical planning approach for minimization of current surge in high performance clock-gated microprocessors.ISLPED229-2342003Conference and Workshop Papersclosedconf/islped/ChenRK0310.1145/871506.871563https://doi.org/10.1145/871506.871563https://dblp.org/rec/conf/islped/ChenRK03URL#6188740Kyu-won ChoiAbhijit ChatterjeeUDSM (ultra-deep sub-micron)-aware post-layout power optimization for ultra low-power CMOS VLSI.ISLPED72-772003Conference and Workshop Papersclosedconf/islped/ChoiC0310.1145/871506.871527https://doi.org/10.1145/871506.871527https://dblp.org/rec/conf/islped/ChoiC03URL#6188741Inseok ChoiHyung Soo KimHeonshik ShinNaehyuck ChangLPBP: low-power basis profile of the Java 2 Micro Edition.ISLPED36-392003Conference and Workshop Papersclosedconf/islped/ChoiKSC0310.1145/871506.871519https://doi.org/10.1145/871506.871519https://dblp.org/rec/conf/islped/ChoiKSC03URL#6188742Woo Young ChoiJong Duk LeeByung-Gook ParkReverse-order source/drain formation with double offset spacer (RODOS) for CMOS low-power, high-speed and low-noise amplifiers.ISLPED189-1922003Conference and Workshop Papersclosedconf/islped/ChoiLP0310.1145/871506.871554https://doi.org/10.1145/871506.871554https://dblp.org/rec/conf/islped/ChoiLP03URL#6188743Pai H. ChouChulsung ParkJae ParkKien PhamJinfeng Liu 0006B#: a battery emulator and power profiling instrument.ISLPED288-2932003Conference and Workshop Papersclosedconf/islped/ChouPPPL0310.1145/871506.871578https://doi.org/10.1145/871506.871578https://dblp.org/rec/conf/islped/ChouPPPL03URL#6188744Lawrence T. ClarkByungwoo ChoiMichael W. WilkersonReducing translation lookaside buffer active power.ISLPED10-132003Conference and Workshop Papersclosedconf/islped/ClarkCW0310.1145/871506.871512https://doi.org/10.1145/871506.871512https://dblp.org/rec/conf/islped/ClarkCW03URL#6188745Matthew CookeHamid Mahmoodi-MeimandKaushik Roy 0001Energy recovery clocking scheme and flip-flops for ultra low-energy applications.ISLPED54-592003Conference and Workshop Papersclosedconf/islped/CookeMR0310.1145/871506.871524https://doi.org/10.1145/871506.871524https://dblp.org/rec/conf/islped/CookeMR03URL#6188746Koushik K. DasRajiv V. JoshiChing-Te ChuangPeter W. CookRichard B. BrownNew optimal design strategies and analysis of ultra-low leakage circuits for nano-scale SOI technology.ISLPED168-1712003Conference and Workshop Papersclosedconf/islped/DasJCCB0310.1145/871506.871548https://doi.org/10.1145/871506.871548https://dblp.org/rec/conf/islped/DasJCCB03URL#6188747Azadeh DavoodiAnkur Srivastava 0001Effective graph theoretic techniques for the generalized low power binding problem.ISLPED152-1572003Conference and Workshop Papersclosedconf/islped/DavoodiS0310.1145/871506.871544https://doi.org/10.1145/871506.871544https://dblp.org/rec/conf/islped/DavoodiS03URL#6188748Azadeh DavoodiAnkur Srivastava 0001Voltage scheduling under unpredictabilities: a risk management paradigm.ISLPED302-3052003Conference and Workshop Papersclosedconf/islped/DavoodiS03a10.1145/871506.871581https://doi.org/10.1145/871506.871581https://dblp.org/rec/conf/islped/DavoodiS03aURL#6188749Quoc-Hoang DuongTrung-Kien NguyenSang-Gug Lee 0001Low-voltage low-power high dB-linear CMOS exponential function generator using highly-linear V-I converter.ISLPED349-3522003Conference and Workshop Papersclosedconf/islped/DuongNL0310.1145/871506.871593https://doi.org/10.1145/871506.871593https://dblp.org/rec/conf/islped/DuongNL03URL#6188750Feng Gao 0017John P. HayesILP-based optimization of sequential circuits for low power.ISLPED140-1452003Conference and Workshop Papersclosedconf/islped/GaoH0310.1145/871506.871542https://doi.org/10.1145/871506.871542https://dblp.org/rec/conf/islped/GaoH03URL#6188751Flavius GruianKrzysztof KuchcinskiUncertainty-based scheduling: energy-efficient ordering for tasks with variable execution time.ISLPED465-4682003Conference and Workshop Papersclosedconf/islped/GruianK0310.1145/871506.871621https://doi.org/10.1145/871506.871621https://dblp.org/rec/conf/islped/GruianK03URL#6188752Drew GuckenbergerKevin T. KornegayIntegrated DC-DC converter design for improved WCDMA power amplifier efficiency in SiGe BiCMOS technology.ISLPED449-4542003Conference and Workshop Papersclosedconf/islped/GuckenbergerK0310.1145/871506.871617https://doi.org/10.1145/871506.871617https://dblp.org/rec/conf/islped/GuckenbergerK03URL#6188753James R. HeathA systems approach to molecular electronics.ISLPED3592003Conference and Workshop Papersclosedconf/islped/Heath0310.1145/871506.871596https://doi.org/10.1145/871506.871596https://dblp.org/rec/conf/islped/Heath03URL#6188754Seongmoo HeoKenneth C. BarrKrste AsanovicReducing power density through activity migration.ISLPED217-2222003Conference and Workshop Papersclosedconf/islped/HeoBA0310.1145/871506.871561https://doi.org/10.1145/871506.871561https://dblp.org/rec/conf/islped/HeoBA03URL#6188755Payam HeydariYing ZhangA novel high frequency, high-efficiency, differential class-E power amplifier in 0.18mum CMOS.ISLPED455-4582003Conference and Workshop Papersclosedconf/islped/HeydariZ0310.1145/871506.871618https://doi.org/10.1145/871506.871618https://dblp.org/rec/conf/islped/HeydariZ03URL#6188756Jie S. HuA. NadgirNarayanan VijaykrishnanMary Jane IrwinMahmut T. KandemirExploiting program hotspots and code sequentiality for instruction cache leakage management.ISLPED402-4072003Conference and Workshop Papersclosedconf/islped/HuNVIK0310.1145/871506.871606https://doi.org/10.1145/871506.871606https://dblp.org/rec/conf/islped/HuNVIK03URL#6188757Fujio IshiharaFarhana SheikhBorivoje NikolicLevel conversion for dual-supply systems.ISLPED164-1672003Conference and Workshop Papersclosedconf/islped/IshiharaSN0310.1145/871506.871547https://doi.org/10.1145/871506.871547https://dblp.org/rec/conf/islped/IshiharaSN03URL#6188758Nikhil JayakumarSunil P. KhatriAn ASIC design methodology with predictably low leakage, using leakage-immune standard cells.ISLPED128-1332003Conference and Workshop Papersclosedconf/islped/JayakumarK0310.1145/871506.871539https://doi.org/10.1145/871506.871539https://dblp.org/rec/conf/islped/JayakumarK03URL#6188759Sung-Mo KangElements of low power design for integrated systems.ISLPED205-2102003Conference and Workshop Papersclosedconf/islped/Kang0310.1145/871506.871558https://doi.org/10.1145/871506.871558https://dblp.org/rec/conf/islped/Kang03URL#6188760Aman KansalMani B. SrivastavaAn environmental energy harvesting framework for sensor networks.ISLPED481-4862003Conference and Workshop Papersclosedconf/islped/KansalS0310.1145/871506.871624https://doi.org/10.1145/871506.871624https://dblp.org/rec/conf/islped/KansalS03URL#6188761Qadeer Ahmad KhanSanjay Kumar WadhwaKulbhushan MisriLow power startup circuits for voltage and current reference with zero steady state current.ISLPED184-1882003Conference and Workshop Papersclosedconf/islped/KhanWM0310.1145/871506.871553https://doi.org/10.1145/871506.871553https://dblp.org/rec/conf/islped/KhanWM03URL#6188762Keunwoo KimRajiv V. JoshiChing-Te ChuangStrained-si devices and circuits for low-power applications.ISLPED180-1832003Conference and Workshop Papersclosedconf/islped/KimJC0310.1145/871506.871551https://doi.org/10.1145/871506.871551https://dblp.org/rec/conf/islped/KimJC03URL#6188763Suhwan KimStephen V. KosonockyDaniel R. KnebelUnderstanding and minimizing ground bounce during mode transition of power gating structures.ISLPED22-252003Conference and Workshop Papersclosedconf/islped/KimKK0310.1145/871506.871515https://doi.org/10.1145/871506.871515https://dblp.org/rec/conf/islped/KimKK03URL#6188764Woonseok KimJihong Kim 0001Sang Lyul MinDynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis.ISLPED396-4012003Conference and Workshop Papersclosedconf/islped/KimKM0310.1145/871506.871605https://doi.org/10.1145/871506.871605https://dblp.org/rec/conf/islped/KimKM03URL#6188765Chris H. KimJae-Joon KimSaibal MukhopadhyayKaushik Roy 0001A forward body-biased low-leakage SRAM cache: device and architecture considerations.ISLPED6-92003Conference and Workshop Papersclosedconf/islped/KimKMR0310.1145/871506.871511https://doi.org/10.1145/871506.871511https://dblp.org/rec/conf/islped/KimKMR03URL#6188766Nam Sung KimTrevor N. MudgeThe microarchitecture of a low power register file.ISLPED384-3892003Conference and Workshop Papersclosedconf/islped/KimM0310.1145/871506.871602https://doi.org/10.1145/871506.871602https://dblp.org/rec/conf/islped/KimM03URL#6188767Jonghae KimJean-Olivier PlouchartNoah ZamdmerMelanie SheronyYue TanMeeyoung YoonRobert TrzcinskiMohamed TalbiJohn SafranAsit RayLawrence F. WagnerA power-optimized widely-tunable 5-GHz monolithic VCO in a digital SOI CMOS technology on high resistivity substrate.ISLPED434-4392003Conference and Workshop Papersclosedconf/islped/KimPZSTYTTSRW0310.1145/871506.871614https://doi.org/10.1145/871506.871614https://dblp.org/rec/conf/islped/KimPZSTYTTSRW03URL#6188768Jason Sungtae KimMichael Bedford TaylorJason E. MillerDavid WentzlaffEnergy characterization of a tiled architecture processor with on-chip networks.ISLPED424-4272003Conference and Workshop Papersclosedconf/islped/KimTMW0310.1145/871506.871610https://doi.org/10.1145/871506.871610https://dblp.org/rec/conf/islped/KimTMW03URL#6188769Soontae KimNarayanan VijaykrishnanMary Jane IrwinLizy Kurian JohnOn load latency in low-power caches.ISLPED258-2612003Conference and Workshop Papersclosedconf/islped/KimVIJ0310.1145/871506.871570https://doi.org/10.1145/871506.871570https://dblp.org/rec/conf/islped/KimVIJ03URL#6188770Hyun Suk KimNarayanan VijaykrishnanMahmut T. KandemirErik BrockmeyerFrancky CatthoorMary Jane IrwinEstimating influence of data layout optimizations on SDRAM energy consumption.ISLPED40-432003Conference and Workshop Papersclosedconf/islped/KimVKBCI0310.1145/871506.871520https://doi.org/10.1145/871506.871520https://dblp.org/rec/conf/islped/KimVKBCI03URL#6188771Eun Jung Kim 0001Ki Hwan YumGreg M. LinkNarayanan VijaykrishnanMahmut T. KandemirMary Jane IrwinMazin S. YousifChita R. DasEnergy optimization techniques in cluster interconnects.ISLPED459-4642003Conference and Workshop Papersclosedconf/islped/KimYLVKIYD0310.1145/871506.871620https://doi.org/10.1145/871506.871620https://dblp.org/rec/conf/islped/KimYLVKIYD03URL#6188772Farinaz KoushanfarAbhijit DavareDai Tho NguyenMiodrag PotkonjakAlberto L. Sangiovanni-VincentelliLow power coordination in wireless ad-hoc networks.ISLPED475-4802003Conference and Workshop Papersclosedconf/islped/KoushanfarDNPS0310.1145/871506.871623https://doi.org/10.1145/871506.871623https://dblp.org/rec/conf/islped/KoushanfarDNPS03URL#6188773Gurhan KucukDmitry Ponomarev 0001Oguz ErginKanad GhoseReducing reorder buffer complexity through selective operand caching.ISLPED235-2402003Conference and Workshop Papersclosedconf/islped/KucukPEG0310.1145/871506.871564https://doi.org/10.1145/871506.871564https://dblp.org/rec/conf/islped/KucukPEG03URL#6188774Ki Won LeeLow power requirements for future digital life style.ISLPED12003Conference and Workshop Papersclosedconf/islped/Lee0310.1145/871506.871508https://doi.org/10.1145/871506.871508https://dblp.org/rec/conf/islped/Lee03URL#6188775Hsien-Hsin S. LeeChinnakrishnan S. BallapuramEnergy efficient D-TLB and data cache using semantic-aware multilateral partitioning.ISLPED306-3112003Conference and Workshop Papersclosedconf/islped/LeeB0310.1145/871506.871583https://doi.org/10.1145/871506.871583https://dblp.org/rec/conf/islped/LeeB03URL#6188776Hyung Gyu LeeNaehyuck ChangEnergy-aware memory allocation in heterogeneous non-volatile memory systems.ISLPED420-4232003Conference and Workshop Papersclosedconf/islped/LeeC0310.1145/871506.871609https://doi.org/10.1145/871506.871609https://dblp.org/rec/conf/islped/LeeC03URL#6188777Jong-eun LeeKiyoung ChoiNikil D. DuttEnergy-efficient instruction set synthesis for application-specific processors.ISLPED330-3332003Conference and Workshop Papersclosedconf/islped/LeeCD0310.1145/871506.871588https://doi.org/10.1145/871506.871588https://dblp.org/rec/conf/islped/LeeCD03URL#6188778Jung-Hoon LeeGi-Ho ParkSung-Bae ParkShin-Dug KimA selective filter-bank TLB system.ISLPED312-3172003Conference and Workshop Papersclosedconf/islped/LeePPK0310.1145/871506.871584https://doi.org/10.1145/871506.871584https://dblp.org/rec/conf/islped/LeePPK03URL#6188779Seok-Jun LeeNaresh R. ShanbhagAndrew C. SingerA low-power VLSI architecture for turbo decoding.ISLPED366-3712003Conference and Workshop Papersclosedconf/islped/LeeSS0310.1145/871506.871599https://doi.org/10.1145/871506.871599https://dblp.org/rec/conf/islped/LeeSS03URL#6188780Domine LeenaertsLow power RF IC design for wireless communication.ISLPED428-4332003Conference and Workshop Papersclosedconf/islped/Leenaerts0310.1145/871506.871612https://doi.org/10.1145/871506.871612https://dblp.org/rec/conf/islped/Leenaerts03URL#6188781Tao Li 0006Lizy Kurian JohnRoutine based OS-aware microprocessor resource adaptation for run-time operating system power saving.ISLPED241-2462003Conference and Workshop Papersclosedconf/islped/LiJ0310.1145/871506.871565https://doi.org/10.1145/871506.871565https://dblp.org/rec/conf/islped/LiJ03URL#6188782Weiping LiaoFei Li 0003Lei He 0001Microarchitecture level power and thermal simulation considering temperature dependent leakage model.ISLPED211-2162003Conference and Workshop Papersclosedconf/islped/LiaoLH0310.1145/871506.871560https://doi.org/10.1145/871506.871560https://dblp.org/rec/conf/islped/LiaoLH03URL#6188783Reza LotfiMohammad Taherzadeh-SaniM. Yaser AziziOmid ShoaeiA low-power design methodology for high-resolution pipelined analog-to-digital converters.ISLPED334-3392003Conference and Workshop Papersclosedconf/islped/LotfiTAS0310.1145/871506.871590https://doi.org/10.1145/871506.871590https://dblp.org/rec/conf/islped/LotfiTAS03URL#6188784Tsugio MakimotoYoshio SakaiEvolution of low power electronics and its future applications.ISLPED2-52003Conference and Workshop Papersclosedconf/islped/MakimotoS0310.1145/871506.871509https://doi.org/10.1145/871506.871509https://dblp.org/rec/conf/islped/MakimotoS03URL#6188785Gokhan MemikGlenn ReinmanWilliam H. Mangione-SmithReducing energy and delay using efficient victim caches.ISLPED262-2652003Conference and Workshop Papersclosedconf/islped/MemikRM0310.1145/871506.871571https://doi.org/10.1145/871506.871571https://dblp.org/rec/conf/islped/MemikRM03URL#6188786Amitabh MenonS. K. Nandy 0001Mahesh MehendaleMultivoltage scheduling with voltage-partitioned variable storage.ISLPED298-3012003Conference and Workshop Papersclosedconf/islped/MenonNM0310.1145/871506.871580https://doi.org/10.1145/871506.871580https://dblp.org/rec/conf/islped/MenonNM03URL#6188787Masayuki MiyazakiHidetoshi TanakaGoichi OnoTomohiro NaganoNorio OhkuboTakayuki KawaharaKazuo YanoElectric-energy generation using variable-capacitive resonator for power-free LSI: efficiency analysis and fundamental experiment.ISLPED193-1982003Conference and Workshop Papersclosedconf/islped/MiyazakiTONOKY0310.1145/871506.871555https://doi.org/10.1145/871506.871555https://dblp.org/rec/conf/islped/MiyazakiTONOKY03URL#6188788Carlos MolinaCarles AliagasMontse Garcia 0002Antonio González 0001Jordi TubellaNon redundant data cache.ISLPED274-2772003Conference and Workshop Papersclosedconf/islped/MolinaAGGT0310.1145/871506.871574https://doi.org/10.1145/871506.871574https://dblp.org/rec/conf/islped/MolinaAGGT03URL#6188789Andreas MoshovosCheckpointing alternatives for high performance, power-aware processors.ISLPED318-3212003Conference and Workshop Papersclosedconf/islped/Moshovos0310.1145/871506.871585https://doi.org/10.1145/871506.871585https://dblp.org/rec/conf/islped/Moshovos03URL#6188790Saibal MukhopadhyayKaushik Roy 0001Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation.ISLPED172-1752003Conference and Workshop Papersclosedconf/islped/MukhopadhyayR0310.1145/871506.871549https://doi.org/10.1145/871506.871549https://dblp.org/rec/conf/islped/MukhopadhyayR03URL#6188791Karthik NatarajanHeather HansonStephen W. KecklerCharles R. MooreDoug BurgerMicroprocessor pipeline energy analysis.ISLPED282-2872003Conference and Workshop Papersclosedconf/islped/NatarajanHKMB0310.1145/871506.871577https://doi.org/10.1145/871506.871577https://dblp.org/rec/conf/islped/NatarajanHKMB03URL#6188792Cassondra NeauKaushik Roy 0001Optimal body bias selection for leakage improvement and process compensation over different technology generations.ISLPED116-1212003Conference and Workshop Papersclosedconf/islped/NeauR0310.1145/871506.871537https://doi.org/10.1145/871506.871537https://dblp.org/rec/conf/islped/NeauR03URL#6188793David NguyenAbhijit DavareMichael OrshanskyDavid G. ChinneryBrandon ThompsonKurt KeutzerMinimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization.ISLPED158-1632003Conference and Workshop Papersclosedconf/islped/NguyenDOCTK0310.1145/871506.871545https://doi.org/10.1145/871506.871545https://dblp.org/rec/conf/islped/NguyenDOCTK03URL#6188794Dan NicolaescuAlexander V. VeidenbaumAlexandru NicolauReducing data cache energy consumption via cached load/store queue.ISLPED252-2572003Conference and Workshop Papersclosedconf/islped/NicolaescuVN0310.1145/871506.871569https://doi.org/10.1145/871506.871569https://dblp.org/rec/conf/islped/NicolaescuVN03URL#6188795Kwang-Il OhLee-Sup KimA clock delayed sleep mode domino logic for wide dynamic OR gate.ISLPED176-1792003Conference and Workshop Papersclosedconf/islped/OhK0310.1145/871506.871550https://doi.org/10.1145/871506.871550https://dblp.org/rec/conf/islped/OhK03URL#6188796Sung I. ParkVijay RaghunathanMani B. SrivastavaEnergy efficiency and fairness tradeoffs in multi-resource, multi-tasking embedded systems.ISLPED469-4742003Conference and Workshop Papersclosedconf/islped/ParkRS0310.1145/871506.871622https://doi.org/10.1145/871506.871622https://dblp.org/rec/conf/islped/ParkRS03URL#6188797Jean-Olivier PlouchartJonghae KimHector RecoulesNoah ZamdmerYue TanMelanie SheronyAsit RayLawrence F. WagnerA 0.123 mW 7.25 GHz static frequency divider by 8 in a 120-nm SOI technology.ISLPED440-4422003Conference and Workshop Papersclosedconf/islped/PlouchartKRZTSRW0310.1145/871506.871615https://doi.org/10.1145/871506.871615https://dblp.org/rec/conf/islped/PlouchartKRZTSRW03URL#6188798Dmitry Ponomarev 0001Gurhan KucukOguz ErginKanad GhosePower efficient comparators for long arguments in superscalar processors.ISLPED378-3832003Conference and Workshop Papersclosedconf/islped/PonomarevKEG0310.1145/871506.871601https://doi.org/10.1145/871506.871601https://dblp.org/rec/conf/islped/PonomarevKEG03URL#6188799Nachiketh R. PotlapallySrivaths Ravi 0001Anand RaghunathanNiraj K. JhaAnalyzing the energy consumption of security protocols.ISLPED30-352003Conference and Workshop Papersclosedconf/islped/PotlapallyRRJ0310.1145/871506.871518https://doi.org/10.1145/871506.871518https://dblp.org/rec/conf/islped/PotlapallyRRJ03URL#6188800Michael D. PowellT. N. VijaykumarPipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise.ISLPED223-2282003Conference and Workshop Papersclosedconf/islped/PowellV0310.1145/871506.871562https://doi.org/10.1145/871506.871562https://dblp.org/rec/conf/islped/PowellV03URL#6188801Rahul M. RaoJeffrey L. BurnsAnirudh DevganRichard B. BrownEfficient techniques for gate leakage estimation.ISLPED100-1032003Conference and Workshop Papersclosedconf/islped/RaoBDB0310.1145/871506.871533https://doi.org/10.1145/871506.871533https://dblp.org/rec/conf/islped/RaoBDB03URL#6188802Rajeev R. RaoAshish SrivastavaDavid T. BlaauwDennis SylvesterStatistical estimation of leakage current considering inter- and intra-die process variation.ISLPED84-892003Conference and Workshop Papersclosedconf/islped/RaoSBS0310.1145/871506.871530https://doi.org/10.1145/871506.871530https://dblp.org/rec/conf/islped/RaoSBS03URL#6188803Ravishankar RaoSarma B. K. VrudhulaDaler N. RakhmatovAnalysis of discharge techniques for multiple battery systems.ISLPED44-472003Conference and Workshop Papersclosedconf/islped/RaoVR0310.1145/871506.871521https://doi.org/10.1145/871506.871521https://dblp.org/rec/conf/islped/RaoVR03URL#6188804Venkata Syam P. RapakaDiana MarculescuA mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores.ISLPED372-3772003Conference and Workshop Papersclosedconf/islped/RapakaM0310.1145/871506.871600https://doi.org/10.1145/871506.871600https://dblp.org/rec/conf/islped/RapakaM03URL#6188805Jude A. RiversSameh W. AsaadJohn-David WellmanJaime H. MorenoReducing instruction fetch energy with backwards branch control information and buffering.ISLPED322-3252003Conference and Workshop Papersclosedconf/islped/RiversAWM0310.1145/871506.871586https://doi.org/10.1145/871506.871586https://dblp.org/rec/conf/islped/RiversAWM03URL#6188806Hajime ShimadaHideki AndoToshio ShimadaPipeline stage unification: a low-energy consumption technique for future mobile processors.ISLPED326-3292003Conference and Workshop Papersclosedconf/islped/ShimadaAS0310.1145/871506.871587https://doi.org/10.1145/871506.871587https://dblp.org/rec/conf/islped/ShimadaAS03URL#6188807Dongkun ShinJihong Kim 0001Power-aware scheduling of conditional task graphs in real-time multiprocessor systems.ISLPED408-4132003Conference and Workshop Papersclosedconf/islped/ShinK0310.1145/871506.871607https://doi.org/10.1145/871506.871607https://dblp.org/rec/conf/islped/ShinK03URL#6188808Ankur Srivastava 0001Simultaneous Vt selection and assignment for leakage optimization.ISLPED146-1512003Conference and Workshop Papersclosedconf/islped/Srivastava0310.1145/871506.871543https://doi.org/10.1145/871506.871543https://dblp.org/rec/conf/islped/Srivastava03URL#6188809Haihua SuFrank Liu 0001Anirudh DevganEmrah AcarSani R. NassifFull chip leakage estimation considering power supply and temperature variations.ISLPED78-832003Conference and Workshop Papersclosedconf/islped/SuLDAN0310.1145/871506.871529https://doi.org/10.1145/871506.871529https://dblp.org/rec/conf/islped/SuLDAN03URL#6188810Emil TalpesDiana MarculescuA critical analysis of application-adaptive multiple clock processors.ISLPED278-2812003Conference and Workshop Papersclosedconf/islped/TalpesM0310.1145/871506.871576https://doi.org/10.1145/871506.871576https://dblp.org/rec/conf/islped/TalpesM03URL#6188811Stephen TangSiva G. NarendraVivek DeTemperature and process invariant MOS-based reference current generation circuits for sub-1V operation.ISLPED199-2042003Conference and Workshop Papersclosedconf/islped/TangND0310.1145/871506.871556https://doi.org/10.1145/871506.871556https://dblp.org/rec/conf/islped/TangND03URL#6188812Madhavi Gopal ValluriLizy Kurian JohnHeather HansonExploiting compiler-generated schedules for energy savings in high-performance processors.ISLPED414-4192003Conference and Workshop Papersclosedconf/islped/ValluriJH0310.1145/871506.871608https://doi.org/10.1145/871506.871608https://dblp.org/rec/conf/islped/ValluriJH03URL#6188813Alice WangAnantha P. ChandrakasanEnergy-aware architectures for a real-valued FFT implementation.ISLPED360-3652003Conference and Workshop Papersclosedconf/islped/WangC0310.1145/871506.871598https://doi.org/10.1145/871506.871598https://dblp.org/rec/conf/islped/WangC03URL#6188814Werner WeberAmbient intelligence: industrial research on a visionary concept.ISLPED247-2512003Conference and Workshop Papersclosedconf/islped/Weber0310.1145/871506.871567https://doi.org/10.1145/871506.871567https://dblp.org/rec/conf/islped/Weber03URL#6188815Hyo-Sig WonKyo-Sun KimKwang-Ok JeongKi-Tae ParkKyu-Myung ChoiJeong-Taek KongAn MTCMOS design methodology and its application to mobile computing.ISLPED110-1152003Conference and Workshop Papersclosedconf/islped/WonKJPCK0310.1145/871506.871536https://doi.org/10.1145/871506.871536https://dblp.org/rec/conf/islped/WonKJPCK03URL#6188816Jun Yang 0002Youtao ZhangLightweight set buffer: low power data cache for multimedia application.ISLPED270-2732003Conference and Workshop Papersclosedconf/islped/YangZ0310.1145/871506.871573https://doi.org/10.1145/871506.871573https://dblp.org/rec/conf/islped/YangZ03URL#6188817Mohammad YavariOmid ShoaeiLow-voltage low-power fast-settling CMOS operational transconductance amplifiers for switched-capacitor applications.ISLPED345-3482003Conference and Workshop Papersclosedconf/islped/YavariS0310.1145/871506.871592https://doi.org/10.1145/871506.871592https://dblp.org/rec/conf/islped/YavariS03URL#6188818Chih-Jen YenMely Chen ChiWen-Yaw ChungShing-Hao LeeA 0.75-mW analog processor IC for wireless biosignal monitor.ISLPED443-4482003Conference and Workshop Papersclosedconf/islped/YenCCL0310.1145/871506.871616https://doi.org/10.1145/871506.871616https://dblp.org/rec/conf/islped/YenCCL03URL#6188819Youtao ZhangJun Yang 0002Low cost instruction cache designs for tag comparison elimination.ISLPED266-2692003Conference and Workshop Papersclosedconf/islped/ZhangY0310.1145/871506.871572https://doi.org/10.1145/871506.871572https://dblp.org/rec/conf/islped/ZhangY03URL#6188820Conrad H. ZieslerJoohee KimVisvesh S. Sathe 0001Marios C. PapaefthymiouA 225 MHz resonant clocked ASIC chip.ISLPED48-532003Conference and Workshop Papersclosedconf/islped/ZieslerKSP0310.1145/871506.871523https://doi.org/10.1145/871506.871523https://dblp.org/rec/conf/islped/ZieslerKSP03URL#6188821Ingrid VerbauwhedeHyung RohProceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003ISLPEDACM2003Editorshipunavailableconf/islped/2003https://dblp.org/rec/conf/islped/2003URL#6212788