"ITAP: Idle-Time-Aware Power Management for GPU Execution Units."

Mohammad Sadrosadati et al. (2019)

Details and statistics

DOI: 10.1145/3291606

access: open

type: Journal Article

metadata version: 2024-02-05

a service of  Schloss Dagstuhl - Leibniz Center for Informatics