"CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with ..."

Han Xu et al. (2020)

Details and statistics

DOI: 10.1109/ISQED48828.2020.9136993

access: closed

type: Conference or Workshop Paper

metadata version: 2023-04-24

a service of  Schloss Dagstuhl - Leibniz Center for Informatics