"Absorb: Deadlock Resolution for 2.5D Modular Chiplet Based Systems."

Yi Yang et al. (2023)

Details and statistics

DOI: 10.1007/978-981-97-0834-5_27

access: closed

type: Conference or Workshop Paper

metadata version: 2024-03-25