"LAMDA: Learning-Assisted Multi-stage Autotuning for FPGA Design Closure."

Ecenur Ustun et al. (2019)

Details and statistics

DOI: 10.1109/FCCM.2019.00020

access: closed

type: Conference or Workshop Paper

metadata version: 2023-09-30

a service of  Schloss Dagstuhl - Leibniz Center for Informatics