"Test Scheduling for Multicore SoCs with Dynamic Voltage Scaling and ..."

Xrysovalantis Kavousianos et al. (2011)

Details and statistics

DOI: 10.1109/ATS.2011.53

access: closed

type: Conference or Workshop Paper

metadata version: 2023-03-24

a service of  Schloss Dagstuhl - Leibniz Center for Informatics