"Chipletizer: Repartitioning SoCs for Cost-Effective Chiplet Integration."

Fuping Li et al. (2024)

Details and statistics

DOI: 10.1109/ASP-DAC58780.2024.10473888

access: closed

type: Conference or Workshop Paper

metadata version: 2024-08-23