Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Chun Zhang
@article{DBLP:journals/anor/YuZYY24, author = {Fang Yu and Chun Zhang and Haiqing Yao and Yongsheng Yang}, title = {Coordinated scheduling problems for sustainable production of container terminals: a literature review}, journal = {Ann. Oper. Res.}, volume = {332}, number = {1}, pages = {1013--1034}, year = {2024}, url = {https://doi.org/10.1007/s10479-023-05676-w}, doi = {10.1007/S10479-023-05676-W}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/anor/YuZYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/MaQWZYZ24, author = {Weining Ma and Jingguo Qu and Lijing Wang and Chun Zhang and Aimin Yang and Yuzhu Zhang}, title = {Pellet image segmentation model of superpixel feature-based support vector machine in digital twin}, journal = {Appl. Soft Comput.}, volume = {151}, pages = {111083}, year = {2024}, url = {https://doi.org/10.1016/j.asoc.2023.111083}, doi = {10.1016/J.ASOC.2023.111083}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/MaQWZYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbd/SunSWLNZCYYZWWJYFZ24, author = {Jing Sun and Run Shi and Yang Wu and Yan Lou and Lijuan Nie and Chun Zhang and Yutian Cao and Qianhua Yan and Lifang Ye and Shu Zhang and Xuanbin Wang and Qibiao Wu and Xuehua Jiao and Jiangyi Yu and Zhuyuan Fang and Xiqiao Zhou}, title = {Integration of transcriptomic analysis and multiple machine learning approaches identifies {NAFLD} progression-specific hub genes to reveal distinct genomic patterns and actionable targets}, journal = {J. Big Data}, volume = {11}, number = {1}, pages = {40}, year = {2024}, url = {https://doi.org/10.1186/s40537-024-00899-5}, doi = {10.1186/S40537-024-00899-5}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbd/SunSWLNZCYYZWWJYFZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LiuGZY24, author = {Wei Liu and Shufeng Gao and Chun Zhang and Bijia Yang}, title = {RoadCT: {A} Hybrid CNN-Transformer Network for Road Extraction From Satellite Imagery}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {21}, pages = {1--5}, year = {2024}, url = {https://doi.org/10.1109/LGRS.2024.3363128}, doi = {10.1109/LGRS.2024.3363128}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/LiuGZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZhaoRYZY24, author = {Hu Zhao and Keyan Ren and Tianyi Yue and Chun Zhang and Shuai Yuan}, title = {TransFG: {A} Cross-View Geo-Localization of Satellite and UAVs Imagery Pipeline Using Transformer-Based Feature Aggregation and Gradient Guidance}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3352418}, doi = {10.1109/TGRS.2024.3352418}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ZhaoRYZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/HeCZYZ24, author = {Bangbang He and Wu Chen and Chun Zhang and Yubo Yuan and Chenyu Zhang}, title = {Impedance Specifications for Stability Design of Grid-Connected {DC} Distribution Power Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {6}, pages = {5830--5843}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3294583}, doi = {10.1109/TIE.2023.3294583}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/HeCZYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShiJZZZJZ24, author = {Chenbo Shi and Baodun Jia and Chun Zhang and Xiangteng Zang and Junsheng Zhang and Xin Jiang and Changsheng Zhu}, title = {Fast Adaptive Coarse-to-Fine PatchMatch-Based Defect Detection on Nonflat Prints}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--11}, year = {2024}, url = {https://doi.org/10.1109/TIM.2023.3343769}, doi = {10.1109/TIM.2023.3343769}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/ShiJZZZJZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/DuanLLZZ23, author = {Yuhang Duan and Zhen Liu and Honghui Li and Chun Zhang and Ning Zhang}, title = {A hybrid-driven remaining useful life prediction method combining asymmetric dual-channel autoencoder and nonlinear Wiener process}, journal = {Appl. Intell.}, volume = {53}, number = {21}, pages = {25490--25510}, year = {2023}, url = {https://doi.org/10.1007/s10489-023-04855-3}, doi = {10.1007/S10489-023-04855-3}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/DuanLLZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cam/WangJHLZ23, author = {Wansheng Wang and Chengyu Jin and Yi Huang and Linhai Li and Chun Zhang}, title = {Comparison of implicit-explicit and Newton linearized variable two-step {BDF} methods for semilinear parabolic equations}, journal = {Comput. Appl. Math.}, volume = {42}, number = {1}, year = {2023}, url = {https://doi.org/10.1007/s40314-022-02175-9}, doi = {10.1007/S40314-022-02175-9}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cam/WangJHLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/RenYZSH23, author = {Keyan Ren and Shuai Yuan and Chun Zhang and Yu Shi and Zhiqing Huang}, title = {{CANET:} {A} hierarchical CNN-Attention model for Network Intrusion Detection}, journal = {Comput. Commun.}, volume = {205}, pages = {170--181}, year = {2023}, url = {https://doi.org/10.1016/j.comcom.2023.04.018}, doi = {10.1016/J.COMCOM.2023.04.018}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/RenYZSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/WangXWJJZW23, author = {Jiawei Wang and Hao Xu and Ziqiang Wang and Haikun Jia and Hanjun Jiang and Chun Zhang and Zhihua Wang}, title = {A 128 Gbps {PAM-4} feed forward equaliser with optimized 1UI pulse generator in 65 nm {CMOS}}, journal = {{IET} Circuits Devices Syst.}, volume = {17}, number = {3}, pages = {174--179}, year = {2023}, url = {https://doi.org/10.1049/cds2.12151}, doi = {10.1049/CDS2.12151}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/WangXWJJZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/MaLZL23, author = {Shuang Ma and Xiaodie Ling and Chun Zhang and Yaoqi Li}, title = {Does physical attractiveness facilitate customer citizenship behaviors? Cross-cultural evidence from the peer-to-peer economy}, journal = {Int. J. Inf. Manag.}, volume = {70}, pages = {102565}, year = {2023}, url = {https://doi.org/10.1016/j.ijinfomgt.2022.102565}, doi = {10.1016/J.IJINFOMGT.2022.102565}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/MaLZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcloudc/DuanLBCZCGZ23, author = {Yunfeng Duan and Chenxu Li and Guotao Bai and Guo Chen and Fanqin Zhou and Jiaxing Chen and Zehua Gao and Chun Zhang}, title = {{MFGAD-INT:} in-band network telemetry data-driven anomaly detection using multi-feature fusion graph deep learning}, journal = {J. Cloud Comput.}, volume = {12}, number = {1}, pages = {126}, year = {2023}, url = {https://doi.org/10.1186/s13677-023-00492-w}, doi = {10.1186/S13677-023-00492-W}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcloudc/DuanLBCZCGZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuTLZGXZY23, author = {Yiwei Liu and Luping Tang and Chen Liao and Chun Zhang and Yingqing Guo and Yixuan Xia and Yangyang Zhang and Sisi Yao}, title = {Optimized Dropkey-Based Grad-CAM: Toward Accurate Image Feature Localization}, journal = {Sensors}, volume = {23}, number = {20}, pages = {8351}, year = {2023}, url = {https://doi.org/10.3390/s23208351}, doi = {10.3390/S23208351}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiuTLZGXZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccis/ShiZZZRLZ23, author = {Chenbo Shi and Gongwei Zhang and Chun Zhang and Xiangteng Zang and Xiangqun Ren and Yujie Lian and Changsheng Zhu}, title = {LineMod-2D Rigid Body Localization based on the Voting Mechanism}, booktitle = {9th {IEEE} International Conference on Cloud Computing and Intelligent Systems, {CCIS} 2023, Dali, China, August 12-13, 2023}, pages = {380--385}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCIS59572.2023.10262916}, doi = {10.1109/CCIS59572.2023.10262916}, timestamp = {Mon, 16 Oct 2023 18:35:31 +0200}, biburl = {https://dblp.org/rec/conf/ccis/ShiZZZRLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccis/ShiZZZZWZ23, author = {Chenbo Shi and Junsheng Zhang and Jie Zhang and Chun Zhang and Xiangteng Zang and Lei Wang and Changsheng Zhu}, title = {Unsupervised Optical Mark Localization for Answer Sheet Based on Energy Optimization}, booktitle = {9th {IEEE} International Conference on Cloud Computing and Intelligent Systems, {CCIS} 2023, Dali, China, August 12-13, 2023}, pages = {386--392}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCIS59572.2023.10263214}, doi = {10.1109/CCIS59572.2023.10263214}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccis/ShiZZZZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccis/ShiLCWZWZ23, author = {Chenbo Shi and Yuejia Li and Yanhong Cheng and Yuxin Wang and Changsheng Zhu and Kang Wang and Chun Zhang}, title = {Detection of Microcrack in Eggs Based on Improved U-Net}, booktitle = {9th {IEEE} International Conference on Cloud Computing and Intelligent Systems, {CCIS} 2023, Dali, China, August 12-13, 2023}, pages = {409--413}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCIS59572.2023.10262969}, doi = {10.1109/CCIS59572.2023.10262969}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccis/ShiLCWZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/TianGHZJG23, author = {Kunkun Tian and Jingjie Gao and Fei Hua and Chun Zhang and Xingchen Jiang and Yuhai Gao}, title = {A Sensor Deployment Algorithm for Localization in Obstacle Environment}, booktitle = {{IEEE} International Conference on Signal Processing, Communications and Computing, {ICSPCC} 2023, Zhengzhou, China, November 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSPCC59353.2023.10400293}, doi = {10.1109/ICSPCC59353.2023.10400293}, timestamp = {Sat, 24 Feb 2024 20:42:50 +0100}, biburl = {https://dblp.org/rec/conf/icspcc/TianGHZJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/ZhangGHGTJ23, author = {Chun Zhang and Jingjie Gao and Fei Hua and Yuhai Gao and Kunkun Tian and Xingchen Jiang}, title = {A Target Tracking Agorithm Based on {EM} Method with Inaccurate Based Stations}, booktitle = {{IEEE} International Conference on Signal Processing, Communications and Computing, {ICSPCC} 2023, Zhengzhou, China, November 14-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSPCC59353.2023.10400209}, doi = {10.1109/ICSPCC59353.2023.10400209}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icspcc/ZhangGHGTJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/DingZNWFZ23, author = {Chaoyang Ding and Weiyi Zhang and Cheng Nian and Yiyang Wang and Fasih Ud Din Farrukh and Chun Zhang}, title = {A 325 {FPS} Corner-Detection Accelerator with Hardware-Oriented Optimization}, booktitle = {{IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2023, Hefei, China, October 27-29, 2023}, pages = {154--155}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTA60488.2023.10364278}, doi = {10.1109/ICTA60488.2023.10364278}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icta3/DingZNWFZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/LiZWZ23, author = {Peijun Li and Weiyi Zhang and Zeyu Wan and Chun Zhang}, title = {MSeg-SLAM: {A} Semantic Visual {SLAM} System for Dynamic Scenes}, booktitle = {{IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2023, Hefei, China, October 27-29, 2023}, pages = {188--189}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTA60488.2023.10364289}, doi = {10.1109/ICTA60488.2023.10364289}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icta3/LiZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/HeCZY23, author = {Bangbang He and Wu Chen and Chun Zhang and Yubo Yuan}, title = {Stability Analysis and Impedance Specifications of Grid-Connected {DC} Distribution Power Systems}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312609}, doi = {10.1109/IECON51785.2023.10312609}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/HeCZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/NiuZNSFZ23, author = {Liting Niu and Weiyi Zhang and Cheng Nian and Fei Shao and Fasih Ud Din Farrukh and Chun Zhang}, title = {Hardware-Software Co-Design of Matrix-Solving for Non-Linear Optimization in {SLAM} Systems}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311711}, doi = {10.1109/IECON51785.2023.10311711}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/NiuZNSFZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengWLZ023, author = {Yihang Cheng and Yaning Wang and Fule Li and Chun Zhang and Zhihua Wang}, title = {High Linearity Front-End Circuit for {RF} Sampling ADCs with Nonlinear Junction Capacitor Cancellation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181839}, doi = {10.1109/ISCAS46773.2023.10181839}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChengWLZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/ZhangRBS23, author = {Chun Zhang and Keyan Ren and Qingyun Bian and Yu Shi}, editor = {Ioannis Kompatsiaris and Jiebo Luo and Nicu Sebe and Angela Yao and Vasileios Mazaris and Symeon Papadopoulos and Adrian Popescu and Zi Helen Huang}, title = {Less is More: Decoupled High-Semantic Encoding for Action Recognition}, booktitle = {Proceedings of the 2023 {ACM} International Conference on Multimedia Retrieval, {ICMR} 2023, Thessaloniki, Greece, June 12-15, 2023}, pages = {262--271}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3591106.3592233}, doi = {10.1145/3591106.3592233}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mir/ZhangRBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NianZNWDSZ23, author = {Cheng Nian and Weiyi Zhang and Liting Niu and Yiyang Wang and Chaoyang Ding and Fei Shao and Chun Zhang}, title = {Energy-Efficient Pose-Estimation FPGA-Accelerator for Real-Time Mobile {V-SLAM} Robot}, booktitle = {66th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2023, Tempe, AZ, USA, August 6-9, 2023}, pages = {566--570}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MWSCAS57524.2023.10405936}, doi = {10.1109/MWSCAS57524.2023.10405936}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/NianZNWDSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/WangCCLZW23, author = {Yaning Wang and Yihang Cheng and Yongli Chen and Fule Li and Chun Zhang and Zhihua Wang}, title = {A Low Noise High Speed Dynamic Comparator Insensitive to {PVT} and Common-mode Input}, booktitle = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh, United Kingdom, June 26-28, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NEWCAS57931.2023.10198033}, doi = {10.1109/NEWCAS57931.2023.10198033}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/WangCCLZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-10089, author = {Weiyi Zhang and Yushi Guo and Liting Niu and Peijun Li and Chun Zhang and Zeyu Wan and Jiaxiang Yan and Fasih Ud Din Farrukh and Debing Zhang}, title = {{LP-SLAM:} Language-Perceptive {RGB-D} {SLAM} system based on Large Language Model}, journal = {CoRR}, volume = {abs/2303.10089}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.10089}, doi = {10.48550/ARXIV.2303.10089}, eprinttype = {arXiv}, eprint = {2303.10089}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-10089.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/WangZB22, author = {Zhixiang Wang and Chun Zhang and Qinsheng Bi}, title = {Bursting oscillations with adding-sliding structures in a Filippov-type Chua's circuit}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {110}, pages = {106368}, year = {2022}, url = {https://doi.org/10.1016/j.cnsns.2022.106368}, doi = {10.1016/J.CNSNS.2022.106368}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/WangZB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ZhangR22, author = {Chun Zhang and Keyan Ren}, title = {{LRATD:} a lightweight real-time abnormal trajectory detection approach for road traffic surveillance}, journal = {Neural Comput. Appl.}, volume = {34}, number = {24}, pages = {22417--22434}, year = {2022}, url = {https://doi.org/10.1007/s00521-022-07626-2}, doi = {10.1007/S00521-022-07626-2}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/ZhangR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/ZhangJFZZW22, author = {Weiyi Zhang and Yancao Jiang and Fasih Ud Din Farrukh and Chun Zhang and Debing Zhang and Guangqi Wang}, title = {{LORM:} a novel reinforcement learning framework for biped gait control}, journal = {PeerJ Comput. Sci.}, volume = {8}, pages = {e927}, year = {2022}, url = {https://doi.org/10.7717/peerj-cs.927}, doi = {10.7717/PEERJ-CS.927}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/ZhangJFZZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/WangWXWZZWC22, author = {Dengjie Wang and Ziqiang Wang and Hao Xu and Jiawei Wang and Zeliang Zhao and Chun Zhang and Zhihua Wang and Hong Chen}, title = {A 56-Gbps {PAM-4} Wireline Receiver With 4-Tap Direct {DFE} Employing Dynamic {CML} Comparators in 65 nm {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {3}, pages = {1027--1040}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2021.3125355}, doi = {10.1109/TCSI.2021.3125355}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/WangWXWZZWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/YuZY22, author = {Fang Yu and Chun Zhang and Yongsheng Yang}, title = {An incentive mechanism-based negotiation model for green supply chain networks}, journal = {Trans. Inst. Meas. Control}, volume = {44}, number = {1}, year = {2022}, url = {https://doi.org/10.1177/0142331220929814}, doi = {10.1177/0142331220929814}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tinstmc/YuZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/SunGHZZT22, author = {Huachao Sun and Jingjie Gao and Fei Hua and Qian Zhang and Chun Zhang and Kunkun Tian}, title = {An Optimized DV-Hop Localization Algorithm Based on Hop Count Optimization and Ranging Correction}, booktitle = {{IEEE} International Conference on Signal Processing, Communications and Computing, {ICSPCC} 2022, Xi'an, China, October 25-27, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICSPCC55723.2022.9984222}, doi = {10.1109/ICSPCC55723.2022.9984222}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icspcc/SunGHZZT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/ZhangZNFJ22, author = {Weiyi Zhang and Chun Zhang and Liting Niu and Fasih Ud Din Farrukh and Hanjun Jiang}, title = {An Efficient {FPGA} Design for Fixed-point Exponential Calculation}, booktitle = {2022 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2022, Xi'an, China, October 28-30, 2022}, pages = {44--45}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTA56932.2022.9963050}, doi = {10.1109/ICTA56932.2022.9963050}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icta3/ZhangZNFJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/LuoWLZ022, author = {Zhiqiang Luo and Peng Wang and Fule Li and Chun Zhang and Zhihua Wang}, title = {A statistics-based background capacitor mismatch calibration algorithm for {SAR} {ADC}}, booktitle = {2022 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2022, Xi'an, China, October 28-30, 2022}, pages = {60--61}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTA56932.2022.9963054}, doi = {10.1109/ICTA56932.2022.9963054}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icta3/LuoWLZ022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/ZhangNDWFZ22, author = {Weiyi Zhang and Liting Niu and Chaoyang Ding and Yiyang Wang and Fasih Ud Din Farrukh and Chun Zhang}, title = {{ROPY-SLAM:} a Heterogeneous {CPU-FPGA} System for Simultaneous Localization and Mapping}, booktitle = {2022 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2022, Xi'an, China, October 28-30, 2022}, pages = {125--126}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTA56932.2022.9963112}, doi = {10.1109/ICTA56932.2022.9963112}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icta3/ZhangNDWFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/ZhangRZY22, author = {Yunlu Zhang and Keyan Ren and Chun Zhang and Tong Yan}, title = {{SG-TCN:} Semantic Guidance Temporal Convolutional Network for Action Segmentation}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IJCNN55064.2022.9891932}, doi = {10.1109/IJCNN55064.2022.9891932}, timestamp = {Mon, 10 Oct 2022 17:40:09 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/ZhangRZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/WuGYGWLZW22, author = {Yichun Wu and Qiuyi Gu and Jincheng Yu and Guangjun Ge and Jian Wang and Qingmin Liao and Chun Zhang and Yu Wang}, title = {MR-GMMExplore: Multi-Robot Exploration System in Unknown Environments based on Gaussian Mixture Model}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2022, Jinghong, China, December 5-9, 2022}, pages = {1198--1203}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ROBIO55434.2022.10011789}, doi = {10.1109/ROBIO55434.2022.10011789}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/WuGYGWLZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-03366, author = {Chengfeng Zhou and Songchang Chen and Chenming Xu and Jun Wang and Feng Liu and Chun Zhang and Juan Ye and Hefeng Huang and Dahong Qian}, title = {A simple normalization technique using window statistics to improve the out-of-distribution generalization on medical images}, journal = {CoRR}, volume = {abs/2207.03366}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.03366}, doi = {10.48550/ARXIV.2207.03366}, eprinttype = {arXiv}, eprint = {2207.03366}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-03366.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenWWYZW21, author = {Hong Chen and Dengjie Wang and Ziqiang Wang and Shuai Yuan and Chun Zhang and Zhihua Wang}, title = {An 11.05 mW/Gbps Quad-Channel 1.25-10.3125 Gbps Serial Transceiver With a 2-Tap Adaptive {DFE} and a 3-Tap Transmit {FFE} in 40 nm {CMOS}}, journal = {{IEEE} Access}, volume = {9}, pages = {70856--70867}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3078844}, doi = {10.1109/ACCESS.2021.3078844}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenWWYZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/LuZYGWJZZL21, author = {Ruigang Lu and Wei Zhao and Li Yin and Ruijun Guo and Bojun Wei and Mulan Jin and Xiang Zhou and Chun Zhang and Xiuzhang Lv}, title = {Efficacy of ultrasonography and Tc-99m {MIBI} {SPECT/CT} in preoperative localization of parathyroid adenomas causing primary hyperthyroidism}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {87}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00616-1}, doi = {10.1186/S12880-021-00616-1}, timestamp = {Wed, 26 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/LuZYGWJZZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/ZhangSCH21, author = {Chun Zhang and Yongzhong Song and Xingju Cai and Deren Han}, title = {An extended proximal {ADMM} algorithm for three-block nonconvex optimization problems}, journal = {J. Comput. Appl. Math.}, volume = {398}, pages = {113681}, year = {2021}, url = {https://doi.org/10.1016/j.cam.2021.113681}, doi = {10.1016/J.CAM.2021.113681}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcam/ZhangSCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiis/WangZWFX21, author = {Chao Wang and Chun Zhang and Jinghao Wang and Tianyu Fan and Xiang Xie}, title = {3D human pose estimation base on weighted joint loss}, booktitle = {{ICAIIS} 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28 - 30, 2021}, pages = {63:1--63:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3469213.3470264}, doi = {10.1145/3469213.3470264}, timestamp = {Sat, 30 Oct 2021 18:16:19 +0200}, biburl = {https://dblp.org/rec/conf/icaiis/WangZWFX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/ZhangJFZX21, author = {Weiyi Zhang and Yancao Jiang and Fasih Ud Din Farrukh and Chun Zhang and Xiang Xie}, title = {A Portable Accelerator of Proximal Policy Optimization for Robots}, booktitle = {2021 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2021, Zhuhai, China, November 24-26, 2021}, pages = {171--172}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTA53157.2021.9661840}, doi = {10.1109/ICTA53157.2021.9661840}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icta3/ZhangJFZX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/ZhaoWWWZL021, author = {Zeliang Zhao and Xin Wu and Dengjie Wang and Ziqiang Wang and Chun Zhang and Xiangyu Li and Zhihua Wang}, title = {A 40 Gbps {PAM-4} Receiver with 12-Tap Direct Decision Feedback Equalizer Employing 1.5-stage Slicers in 65-nm {CMOS}}, booktitle = {2021 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2021, Zhuhai, China, November 24-26, 2021}, pages = {230--231}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTA53157.2021.9661649}, doi = {10.1109/ICTA53157.2021.9661649}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icta3/ZhaoWWWZL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YeZZ20, author = {Jinghua Ye and Chun Zhang and Huacheng Zhu}, title = {A Temperature-Control System for Continuous-Flow Microwave Heating Using a Magnetron as Microwave Source}, journal = {{IEEE} Access}, volume = {8}, pages = {44391--44399}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2978124}, doi = {10.1109/ACCESS.2020.2978124}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YeZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhengZCZJJPWJ20, author = {Xuqiang Zheng and Chun Zhang and Ping Chen and Kang Zhao and Hanjun Jiang and Zhiwei Jiang and Huafeng Pan and Zhihua Wang and Wen Jia}, title = {A {CRNN} System for Sound Event Detection Based on Gastrointestinal Sound Dataset Collected by Wearable Auscultation Devices}, journal = {{IEEE} Access}, volume = {8}, pages = {157892--157905}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020099}, doi = {10.1109/ACCESS.2020.3020099}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhengZCZJJPWJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangYWC20, author = {Chun Zhang and Yanhong Yang and Zeyan Wang and Yongxin Chen}, title = {A Linearized Alternating Direction Method of Multipliers for a Special Three-Block Nonconvex Optimization Problem of Background/Foreground Extraction}, journal = {{IEEE} Access}, volume = {8}, pages = {198886--198899}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3034155}, doi = {10.1109/ACCESS.2020.3034155}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhangYWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WangHYZ20, author = {Li Wang and Weijian Huang and Zhao Yang and Chun Zhang}, title = {Temporal-spatial-frequency depth extraction of brain-computer interface based on mental tasks}, journal = {Biomed. Signal Process. Control.}, volume = {58}, pages = {101845}, year = {2020}, url = {https://doi.org/10.1016/j.bspc.2020.101845}, doi = {10.1016/J.BSPC.2020.101845}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/WangHYZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WangHYHZ20, author = {Li Wang and Weijian Huang and Zhao Yang and Xiao Hu and Chun Zhang}, title = {A method from offline analysis to online training for the brain-computer interface based on motor imagery and speech imagery}, journal = {Biomed. Signal Process. Control.}, volume = {62}, pages = {102100}, year = {2020}, url = {https://doi.org/10.1016/j.bspc.2020.102100}, doi = {10.1016/J.BSPC.2020.102100}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/WangHYHZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhengLZWWZRL20, author = {Xuqiang Zheng and Fangxu Lv and Lei Zhou and DanYu Wu and Jin Wu and Chun Zhang and Woogeun Rhee and Xinyu Liu}, title = {Frequency-Domain Modeling and Analysis of Injection-Locked Oscillators}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {6}, pages = {1651--1664}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2946226}, doi = {10.1109/JSSC.2019.2946226}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhengLZWWZRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcands/FarrukhZJZWWJ20, author = {Fasih Ud Din Farrukh and Chun Zhang and Yancao Jiang and Zhonghan Zhang and Ziqiang Wang and Zhihua Wang and Hanjun Jiang}, title = {Power Efficient Tiny Yolo {CNN} Using Reduced Hardware Resources Based on Booth Multiplier and {WALLACE} Tree Adders}, journal = {{IEEE} Open J. Circuits Syst.}, volume = {1}, pages = {76--87}, year = {2020}, url = {https://doi.org/10.1109/OJCAS.2020.3007334}, doi = {10.1109/OJCAS.2020.3007334}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcands/FarrukhZJZWWJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangF20, author = {Chun Zhang and Shumin Fei}, title = {A Matching Game-Based Data Collection Algorithm with Mobile Collectors}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1398}, year = {2020}, url = {https://doi.org/10.3390/s20051398}, doi = {10.3390/S20051398}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhangF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/SunJYZ20, author = {Sha Sun and Hanjun Jiang and Mingchao Yin and Chun Zhang}, title = {Design of Efficient {CNN} Accelerator Based on Zynq Platform}, booktitle = {15th International Conference on Computer Science {\&} Education, {ICCSE} 2020, Delft, The Netherlands, August 18-22, 2020}, pages = {489--493}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCSE49874.2020.9201800}, doi = {10.1109/ICCSE49874.2020.9201800}, timestamp = {Thu, 01 Oct 2020 11:33:00 +0200}, biburl = {https://dblp.org/rec/conf/iccse2/SunJYZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmssp/PiZZCWL20, author = {Lihong Pi and Xue Zheng and Chun Zhang and Ping Chen and Zhe Wang and Xiangyu Li}, title = {U Recurrent Neural Network for Polyphonic Sound Event Detection and Localization}, booktitle = {{ICMSSP} 2020: 5th International Conference on Multimedia Systems and Signal Processing, Chengdu, China, May, 2020}, pages = {86--91}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3404716.3404726}, doi = {10.1145/3404716.3404726}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmssp/PiZZCWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/LiZ20, author = {Cailian Li and Chun Zhang}, title = {Life prediction of battery based on random forest optimized by genetic algorithm}, booktitle = {{IEEE} International Conference on Prognostics and Health Management, {ICPHM} 2020, Detroit, MI, USA, June 8-10, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPHM49022.2020.9187060}, doi = {10.1109/ICPHM49022.2020.9187060}, timestamp = {Tue, 22 Sep 2020 11:57:51 +0200}, biburl = {https://dblp.org/rec/conf/icphm/LiZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoJYZJW20, author = {Kang Zhao and Hanjun Jiang and Tao Yuan and Chun Zhang and Wen Jia and Zhihua Wang}, title = {A {CNN} Based Human Bowel Sound Segment Recognition Algorithm with Reduced Computation Complexity for Wearable Healthcare System}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180432}, doi = {10.1109/ISCAS45731.2020.9180432}, timestamp = {Fri, 30 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoJYZJW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-01258, author = {Huawei Fan and Jun{-}Jie Jiang and Chun Zhang and Xingang Wang and Ying{-}Cheng Lai}, title = {Long-term prediction of chaotic systems with recurrent neural networks}, journal = {CoRR}, volume = {abs/2004.01258}, year = {2020}, url = {https://arxiv.org/abs/2004.01258}, eprinttype = {arXiv}, eprint = {2004.01258}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-01258.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/CaiYZ19, author = {Jiaxiang Cai and Bin Yang and Chun Zhang}, title = {Efficient mass- and energy-preserving schemes for the coupled nonlinear Schr{\"{o}}dinger-Boussinesq system}, journal = {Appl. Math. Lett.}, volume = {91}, pages = {76--82}, year = {2019}, url = {https://doi.org/10.1016/j.aml.2018.11.024}, doi = {10.1016/J.AML.2018.11.024}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/appml/CaiYZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/XieJZZ19, author = {Tuo Xie and Hanjun Jiang and Xijin Zhao and Chun Zhang}, title = {A Wi-Fi-Based Wireless Indoor Position Sensing System with Multipath Interference Mitigation}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3983}, year = {2019}, url = {https://doi.org/10.3390/s19183983}, doi = {10.3390/S19183983}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/XieJZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FarrukhXZW19, author = {Fasih Ud Din Farrukh and Tuo Xie and Chun Zhang and Zhihua Wang}, title = {A Solution to Optimize Multi-Operand Adders in {CNN} Architecture on {FPGA}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702777}, doi = {10.1109/ISCAS.2019.8702777}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FarrukhXZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangCLLLWJZW19, author = {Dengjie Wang and Hong Chen and Wenhuan Luan and Xin Lin and Fangxu Lv and Ziqiang Wang and Hanjun Jiang and Chun Zhang and Zhihua Wang}, editor = {Hoi Lee and Randall L. Geiger}, title = {A 4-40 Gb/s {PAM-4} transmitter with a hybrid driver in 65 nm {CMOS} technology}, booktitle = {62nd {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2019, Dallas, TX, USA, August 4-7, 2019}, pages = {251--254}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MWSCAS.2019.8885140}, doi = {10.1109/MWSCAS.2019.8885140}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WangCLLLWJZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-10834, author = {Zhenchuan Yang and Chun Zhang and Weibin Zhang and Jianxiu Jin and Dongpeng Chen}, title = {Essence Knowledge Distillation for Speech Recognition}, journal = {CoRR}, volume = {abs/1906.10834}, year = {2019}, url = {http://arxiv.org/abs/1906.10834}, eprinttype = {arXiv}, eprint = {1906.10834}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-10834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/CaiLZ18, author = {Jiaxiang Cai and Hua Liang and Chun Zhang}, title = {Efficient high-order structure-preserving methods for the generalized Rosenau-type equation with power law nonlinearity}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {59}, pages = {122--131}, year = {2018}, url = {https://doi.org/10.1016/j.cnsns.2017.11.011}, doi = {10.1016/J.CNSNS.2017.11.011}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/CaiLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/WuHTZ18, author = {Yuanyuan Wu and Tao Hong and Zhengming Tang and Chun Zhang}, title = {Dynamic Model for a Uniform Microwave-Assisted Continuous Flow Process of Ethyl Acetate Production}, journal = {Entropy}, volume = {20}, number = {4}, pages = {241}, year = {2018}, url = {https://doi.org/10.3390/e20040241}, doi = {10.3390/E20040241}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/WuHTZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LvZZWYWCHZJW18, author = {Fangxu Lv and Xuqiang Zheng and Feng Zhao and Jianye Wang and Shigang Yue and Ziqiang Wang and Weidong Cao and Yajun He and Chun Zhang and Hanjun Jiang and Zhihua Wang}, title = {A power scalable 2-10{\unicode{8239}}Gb/s PI-based clock data recovery for multilane applications}, journal = {Microelectron. J.}, volume = {82}, pages = {36--45}, year = {2018}, url = {https://doi.org/10.1016/j.mejo.2018.10.007}, doi = {10.1016/J.MEJO.2018.10.007}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LvZZWYWCHZJW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JiangYZZ18, author = {Hanjun Jiang and Yan Yan and Xiyang Zhu and Chun Zhang}, title = {A 3-D Surface Reconstruction with Shadow Processing for Optical Tactile Sensors}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2785}, year = {2018}, url = {https://doi.org/10.3390/s18092785}, doi = {10.3390/S18092785}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JiangYZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/JiangGWZJW18, author = {Hanjun Jiang and Yanshu Guo and Zeliang Wu and Chun Zhang and Wen Jia and Zhihua Wang}, title = {Implantable Wireless Intracranial Pressure Monitoring Based on Air Pressure Sensing}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {12}, number = {5}, pages = {1076--1087}, year = {2018}, url = {https://doi.org/10.1109/TBCAS.2018.2845462}, doi = {10.1109/TBCAS.2018.2845462}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/JiangGWZJW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/GuoJLWRZW18, author = {Yanshu Guo and Hanjun Jiang and Heng Liu and Zhaoyang Weng and Woogeun Rhee and Chun Zhang and Zhihua Wang}, title = {A 120 pJ/bit {\(\Delta\)}{\(\Sigma\)}-Based 2.4-GHz Transmitter Using FIR-Embedded Digital Power Amplifier}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {12}, pages = {1854--1858}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2018.2802455}, doi = {10.1109/TCSII.2018.2802455}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/GuoJLWRZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/WangPBZWY18, author = {Huatian Wang and Jigen Peng and Paul Baxter and Chun Zhang and Zhihua Wang and Shigang Yue}, editor = {Vera Kurkov{\'{a}} and Yannis Manolopoulos and Barbara Hammer and Lazaros S. Iliadis and Ilias Maglogiannis}, title = {A Model for Detection of Angular Velocity of Image Motion Based on the Temporal Tuning of the Drosophila}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2018 - 27th International Conference on Artificial Neural Networks, Rhodes, Greece, October 4-7, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11140}, pages = {37--46}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01421-6\_4}, doi = {10.1007/978-3-030-01421-6\_4}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icann/WangPBZWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbs/WangZH18, author = {Li Wang and Chun Zhang and Xiao Hu}, title = {Time-Frequency-Space Range of {EEG} Selected by {NMI} for BCIs}, booktitle = {Proceedings of the 2018 7th International Conference on Bioinformatics and Biomedical Science, {ICBBS} 2018, Shenzhen, China, June 23-25, 2018}, pages = {46--52}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3239264.3239281}, doi = {10.1145/3239264.3239281}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbbs/WangZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/GongSZGPZHY18, author = {Lejun Gong and Shixin Sun and Chun Zhang and Zhihong Gao and Chuandi Pan and Zhihui Zhang and Daoyu Huang and Geng Yang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne and Phalguni Gupta}, title = {Functional Analysis of Autism Candidate Genes Based on Comparative Genomics Analysis}, booktitle = {Intelligent Computing Theories and Application - 14th International Conference, {ICIC} 2018, Wuhan, China, August 15-18, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10954}, pages = {565--575}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-95930-6\_55}, doi = {10.1007/978-3-319-95930-6\_55}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/GongSZGPZHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/0003SYXCZGL18, author = {Bin Fang and Fuchun Sun and Chao Yang and Hongxiang Xue and Wendan Chen and Chun Zhang and Di Guo and Huaping Liu}, title = {A Dual-Modal Vision-Based Tactile Sensor for Robotic Hand Grasping}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8461007}, doi = {10.1109/ICRA.2018.8461007}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/0003SYXCZGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/Zhao0ZWY18, author = {Jiannan Zhao and Cheng Hu and Chun Zhang and Zhihua Wang and Shigang Yue}, title = {A Bio-inspired Collision Detector for Small Quadcopter}, booktitle = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IJCNN.2018.8489298}, doi = {10.1109/IJCNN.2018.8489298}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/Zhao0ZWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuMTZWC18, author = {Guijie Zhu and Songping Mai and Xian Tang and Chun Zhang and Zhihua Wang and Hong Chen}, title = {An Energy-Efficient High-Frequency Neuro-Stimulator with Parallel Pulse Generators, Staggered Output and Extended Average Current Range}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8350980}, doi = {10.1109/ISCAS.2018.8350980}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuMTZWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/NiuZWLW18, author = {Xiaoguang Niu and Chun Zhang and Ankang Wang and Jingbin Liu and Zhen Wang}, editor = {Sriram Chellappan and Wei Cheng and Wei Li}, title = {A Crowdsourcing-Based Wi-Fi Fingerprinting Mechanism Using Un-supervised Learning}, booktitle = {Wireless Algorithms, Systems, and Applications - 13th International Conference, {WASA} 2018, Tianjin, China, June 20-22, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10874}, pages = {357--373}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94268-1\_30}, doi = {10.1007/978-3-319-94268-1\_30}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wasa/NiuZWLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-04530, author = {Jiannan Zhao and Cheng Hu and Chun Zhang and Zhihua Wang and Shigang Yue}, title = {A Bio-inspired Collision Detecotr for Small Quadcopter}, journal = {CoRR}, volume = {abs/1801.04530}, year = {2018}, url = {http://arxiv.org/abs/1801.04530}, eprinttype = {arXiv}, eprint = {1801.04530}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-04530.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/YuHZB17, author = {Yue Yu and Xiujing Han and Chun Zhang and Qinsheng Bi}, title = {Mixed-mode oscillations in a nonlinear time delay oscillator with time varying parameters}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {47}, pages = {23--34}, year = {2017}, url = {https://doi.org/10.1016/j.cnsns.2016.10.011}, doi = {10.1016/J.CNSNS.2016.10.011}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/YuHZB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/HanZYB17, author = {Xiujing Han and Chun Zhang and Yue Yu and Qinsheng Bi}, title = {Boundary-Crisis-Induced Complex Bursting Patterns in a Forced Cubic Map}, journal = {Int. J. Bifurc. Chaos}, volume = {27}, number = {4}, pages = {1750051:1--1750051:17}, year = {2017}, url = {https://doi.org/10.1142/S0218127417500511}, doi = {10.1142/S0218127417500511}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/HanZYB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhengZLZYYWLWJ17, author = {Xuqiang Zheng and Chun Zhang and Fangxu Lv and Feng Zhao and Shuai Yuan and Shigang Yue and Ziqiang Wang and Fule Li and Zhihua Wang and Hanjun Jiang}, title = {A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {11}, pages = {2963--2978}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2746672}, doi = {10.1109/JSSC.2017.2746672}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhengZLZYYWLWJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuZWGW17, author = {Heng Liu and Chun Zhang and Zhaoyang Weng and Yanshu Guo and Zhihua Wang}, title = {Resonance Frequency Readout Circuit for a 900 MHz {SAW} Device}, journal = {Sensors}, volume = {17}, number = {9}, pages = {2131}, year = {2017}, url = {https://doi.org/10.3390/s17092131}, doi = {10.3390/S17092131}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiuZWGW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/OuLZLCZZWX17, author = {Ting Ou and Deng Luo and Yuwei Zhang and Yiqiao Liao and Chang Cheng and Milin Zhang and Chun Zhang and Zhihua Wang and Xiang Xie}, editor = {Yajie Qin and Zhiliang Hong and Ting{-}Ao Tang}, title = {Design of a closed-loop, bi-directional brain-machine-interface integrated on-chip spike sorting}, booktitle = {12th {IEEE} International Conference on ASIC, {ASICON} 2017, Guiyang, China, October 25-28, 2017}, pages = {504--507}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASICON.2017.8252523}, doi = {10.1109/ASICON.2017.8252523}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/OuLZLCZZWX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WuJGZJW17, author = {Zeliang Wu and Hanjun Jiang and Yanshu Guo and Chun Zhang and Wen Jia and Zhihua Wang}, title = {Live demonstration: Wireless intracranial pressure monitoring system based on an air pressure sensor}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIOCAS.2017.8325094}, doi = {10.1109/BIOCAS.2017.8325094}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/WuJGZJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WuJGZJW17a, author = {Zeliang Wu and Hanjun Jiang and Yanshu Guo and Chun Zhang and Wen Jia and Zhihua Wang}, title = {Wireless intracranial pressure monitoring system based on an air pressure sensor}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIOCAS.2017.8325210}, doi = {10.1109/BIOCAS.2017.8325210}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/WuJGZJW17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ZhengLZYZWLJW17, author = {Xuqiang Zheng and Fangxu Lv and Feng Zhao and Shigang Yue and Chun Zhang and Ziqiang Wang and Fule Li and Hanjun Jiang and Zhihua Wang}, title = {A 10 GHz 56 fsrms-integrated-jitter and -247 dB {FOM} ring-VCO based injection-locked clock multiplier with a continuous frequency-tracking loop in 65 nm {CMOS}}, booktitle = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin, TX, USA, April 30 - May 3, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CICC.2017.7993597}, doi = {10.1109/CICC.2017.7993597}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ZhengLZYZWLJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ZhengZLZYWLJW17, author = {Xuqiang Zheng and Chun Zhang and Fangxu Lv and Feng Zhao and Shigang Yue and Ziqiang Wang and Fule Li and Hanjun Jiang and Zhihua Wang}, title = {A 4-40 Gb/s {PAM4} transmitter with output linearity optimization in 65 nm {CMOS}}, booktitle = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin, TX, USA, April 30 - May 3, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CICC.2017.7993640}, doi = {10.1109/CICC.2017.7993640}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ZhengZLZYWLJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhongZXY17, author = {Yi Zhong and Chun Zhang and Chenrong Xiong and Zhiyuan Yan}, title = {Multi-rate polar codes for solid state drives}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {1128--1132}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICASSP.2017.7952332}, doi = {10.1109/ICASSP.2017.7952332}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ZhongZXY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/XieZW17, author = {Tuo Xie and Chun Zhang and Zhihua Wang}, title = {Wi-Fi TDoA indoor localization system based on {SDR} platform}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2017, Kuala Lumpur, Malaysia, November 14-15, 2017}, pages = {82--83}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCE.2017.8355557}, doi = {10.1109/ISCE.2017.8355557}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/XieZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/XieZ0JW17, author = {Tuo Xie and Chun Zhang and Yongming Li and Hanjun Jiang and Zhihua Wang}, title = {An enhanced TDoA approach handling multipath interference in Wi-Fi based indoor localization systems}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {160--163}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8052885}, doi = {10.1109/MWSCAS.2017.8052885}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/XieZ0JW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/0005WWZWJ17, author = {Shuai Yuan and Liji Wu and Ziqiang Wang and Chun Zhang and Zhihua Wang and Hanjun Jiang}, title = {A 25Gb/s serial-link repeater with receiver equalization and transmitter de-emphasis in 0.13{\(\mu\)}m SiGe BiCMOS}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {527--530}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8052976}, doi = {10.1109/MWSCAS.2017.8052976}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/0005WWZWJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LvZ0WHZWLW17, author = {Fangxu Lv and Xuqiang Zheng and Shuai Yuan and Ziqiang Wang and Yajun He and Chun Zhang and Zhihua Wang and Jianye Wang}, title = {A 40-80 Gb/s {PAM4} wireline transmitter in 65nm {CMOS} technology}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {539--542}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8052979}, doi = {10.1109/MWSCAS.2017.8052979}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LvZ0WHZWLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HeWLL0ZWJ17, author = {Yajun He and Ziqiang Wang and Han Liu and Fangxu Lv and Shuai Yuan and Chun Zhang and Zhihua Wang and Hanjun Jiang}, title = {An 8.5-12.5GHz wideband {LC} {PLL} with dual {VCO} cores for multi-protocol SerDes}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {791--794}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8053042}, doi = {10.1109/MWSCAS.2017.8053042}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HeWLL0ZWJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiuZSJ17, author = {Yanhuan Liu and Chun Zhang and Pengcheng Song and Hanjun Jiang}, title = {A high-performance FPGA-based {LDPC} decoder for solid-state drives}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {1232--1235}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8053152}, doi = {10.1109/MWSCAS.2017.8053152}, timestamp = {Wed, 25 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LiuZSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YangZXJW17, author = {Chaoxiang Yang and Chun Zhang and Wenao Xie and Hanjun Jiang and Zhihua Wang}, title = {Tactile array sensor for manipulator based on the barometric chips}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {1268--1271}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8053161}, doi = {10.1109/MWSCAS.2017.8053161}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/YangZXJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HuZLCLLYPZC16, author = {Xiaohui Hu and Xu Zhang and Ming Liu and Yuanfang Chen and Peng Li and Jialin Liu and Zhaolin Yao and Weihua Pei and Chun Zhang and Hongda Chen}, title = {High precision intelligent flexible grasping front-end with {CMOS} interface for robots application}, journal = {Sci. China Inf. Sci.}, volume = {59}, number = {3}, pages = {32203:1--32203:11}, year = {2016}, url = {https://doi.org/10.1007/s11432-015-5358-y}, doi = {10.1007/S11432-015-5358-Y}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/HuZLCLLYPZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MiaoZM16, author = {Quan Miao and Chun Zhang and Long Meng}, title = {Feature-Based On-Line Object Tracking Combining Both Keypoints and Quasi-Keypoints Matching}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {99-D}, number = {4}, pages = {1264--1267}, year = {2016}, url = {https://doi.org/10.1587/transinf.2015EDL8232}, doi = {10.1587/TRANSINF.2015EDL8232}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MiaoZM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/HuangZLL16, author = {Xianlin Huang and Chun Zhang and Hongqian Lu and Mingming Li}, title = {Adaptive reaching law based sliding mode control for electromagnetic formation flight with input saturation}, journal = {J. Frankl. Inst.}, volume = {353}, number = {11}, pages = {2398--2417}, year = {2016}, url = {https://doi.org/10.1016/j.jfranklin.2016.04.004}, doi = {10.1016/J.JFRANKLIN.2016.04.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/HuangZLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangZXLCS16, author = {Tao Wang and Chun Zhang and Jinjun Xiong and Pei{-}Wen Luo and Liang{-}Chia Cheng and Yiyu Shi}, title = {On the Optimal Threshold Voltage Computation of On-Chip Noise Sensors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {10}, pages = {1744--1754}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2513007}, doi = {10.1109/TCAD.2015.2513007}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/WangZXLCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YuanWWZZW16, author = {Shuai Yuan and Liji Wu and Ziqiang Wang and Xuqiang Zheng and Chun Zhang and Zhihua Wang}, title = {A 70 mW 25 Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset With 40 dB of Equalization in 65 nm {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {7}, pages = {939--949}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2555250}, doi = {10.1109/TCSI.2016.2555250}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YuanWWZZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ZhengWLZYZW16, author = {Xuqiang Zheng and Zhijun Wang and Fule Li and Feng Zhao and Shigang Yue and Chun Zhang and Zhihua Wang}, title = {A 14-bit 250 MS/s {IF} Sampling Pipelined {ADC} in 180 nm {CMOS} Process}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {9}, pages = {1381--1392}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2580703}, doi = {10.1109/TCSI.2016.2580703}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ZhengWLZYZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ZhengZYZYWLW16, author = {Xuqiang Zheng and Chun Zhang and Shuai Yuan and Feng Zhao and Shigang Yue and Ziqiang Wang and Fule Li and Zhihua Wang}, title = {An improved 40 Gb/s {CDR} with jitter-suppression filters and phase-compensating interpolators}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {85--88}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844141}, doi = {10.1109/ASSCC.2016.7844141}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ZhengZYZYWLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ZhangZZW16, author = {Tong Zhang and Chun Zhang and Sheng Zhang and Zhihua Wang}, title = {On the performance of wireless source-location using {TDOA} measurements under poor geometry}, booktitle = {13th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2016, Las Vegas, NV, USA, January 9-12, 2016}, pages = {964--967}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CCNC.2016.7444918}, doi = {10.1109/CCNC.2016.7444918}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/ZhangZZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ZhengZLZYWLW16, author = {Xuqiang Zheng and Chun Zhang and Fangxu Lv and Feng Zhao and Shigang Yue and Ziqiang Wang and Fule Li and Zhihua Wang}, title = {A 5-50 Gb/s quarter rate transmitter with a 4-tap multiple-MUX based {FFE} in 65 nm {CMOS}}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {305--308}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598303}, doi = {10.1109/ESSCIRC.2016.7598303}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ZhengZLZYWLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsip/HuZLPCZS016, author = {Xiaohui Hu and Xu Zhang and Ming Liu and Weihua Pei and Zhongyi Chu and Chun Zhang and Fuchun Sun and Hongda Chen}, editor = {Fuchun Sun and Huaping Liu and Dewen Hu}, title = {Multimodal Electronic Skin Integrated with 3-D Force Detection and High Precision Position Estimation}, booktitle = {Cognitive Systems and Signal Processing - Third International Conference, {ICCSIP} 2016, Beijing, China, November 19-23, 2016, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {710}, pages = {324--330}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-5230-9\_33}, doi = {10.1007/978-981-10-5230-9\_33}, timestamp = {Mon, 18 Jan 2021 14:59:50 +0100}, biburl = {https://dblp.org/rec/conf/iccsip/HuZLPCZS016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/XuZG16, author = {Xingxing Xu and Chun Zhang and Long Gu}, editor = {Xiang Fei and Lipo Wang and Chunlei Ji and Qiang Sun and Niansheng Chen and Xiaoyong Song and Xin Wang}, title = {Decentralized primary frequency regulation control strategy for vehicle-to-grid}, booktitle = {3rd International Conference on Systems and Informatics, {ICSAI} 2016, Shanghai, China, November 19-21, 2016}, pages = {217--222}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICSAI.2016.7810957}, doi = {10.1109/ICSAI.2016.7810957}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icsai/XuZG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/ZhangZY16, author = {Guopeng Zhang and Chun Zhang and Shigang Yue}, title = {{LGMD} and DSNs neural networks integration for collision predication}, booktitle = {2016 International Joint Conference on Neural Networks, {IJCNN} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {1174--1179}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IJCNN.2016.7727330}, doi = {10.1109/IJCNN.2016.7727330}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/ZhangZY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuWJZWL16, author = {Jing Xu and Zhaoyang Weng and Hanjun Jiang and Chun Zhang and Zhihua Wang and Qingliang Lin}, title = {A high efficiency single-inductor dual-output buck converter with adaptive freewheel current and hybrid mode control}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1614--1617}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7538874}, doi = {10.1109/ISCAS.2016.7538874}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuWJZWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/GuoZYLW16, author = {Feng Guo and Chun Zhang and Yan Yan and Peiyi Li and Zhihua Wang}, title = {Measurement of three-dimensional deformation and load using vision-based tactile sensor}, booktitle = {25th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2016, Santa Clara, CA, USA, June 8-10, 2016}, pages = {1252--1257}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISIE.2016.7745074}, doi = {10.1109/ISIE.2016.7745074}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isie/GuoZYLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/JuLHZW16, author = {Ying Ju and Fule Li and XiuJu He and Chun Zhang and Zhihua Wang}, title = {Aperture error reduction technique for subrange {SAR} {ADC}}, booktitle = {14th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2016, Vancouver, BC, Canada, June 26-29, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NEWCAS.2016.7604800}, doi = {10.1109/NEWCAS.2016.7604800}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/JuLHZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/WuZLW16, author = {Zhaoming Wu and Chun Zhang and Fule Li and Zhihua Wang}, title = {High speed serial interface transceiver controller based on {JESD204B}}, booktitle = {14th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2016, Vancouver, BC, Canada, June 26-29, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NEWCAS.2016.7604778}, doi = {10.1109/NEWCAS.2016.7604778}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/WuZLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/ZhouWWZCZLW16, author = {Naiwen Zhou and Linghan Wu and Ziqiang Wang and Xuqiang Zheng and Weidong Cao and Chun Zhang and Fule Li and Zhihua Wang}, title = {A 28-Gb/s transmitter with 3-tap {FFE} and T-coil enhanced terminal in 65-nm {CMOS} technology}, booktitle = {14th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2016, Vancouver, BC, Canada, June 26-29, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NEWCAS.2016.7604789}, doi = {10.1109/NEWCAS.2016.7604789}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/ZhouWWZCZLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/XiongZZY16, author = {Chenrong Xiong and Yi Zhong and Chun Zhang and Zhiyuan Yan}, title = {An {FPGA} Emulation Platform for Polar Codes}, booktitle = {2016 {IEEE} International Workshop on Signal Processing Systems, SiPS 2016, Dallas, TX, USA, October 26-28, 2016}, pages = {148--153}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SiPS.2016.34}, doi = {10.1109/SIPS.2016.34}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sips/XiongZZY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/WangYZ15, author = {Weidong Wang and Jun{-}an Yang and Chun Zhang}, title = {A novel compressed sensing ultra-wideband channel estimation method based on non-convex optimization}, journal = {Int. J. Commun. Syst.}, volume = {28}, number = {3}, pages = {472--482}, year = {2015}, url = {https://doi.org/10.1002/dac.2680}, doi = {10.1002/DAC.2680}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/WangYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmma/ZhouZ15, author = {Qunyan Zhou and Chun Zhang}, title = {An Adaptive Trust Region Method Based on Simple Conic Models}, journal = {J. Math. Model. Algorithms Oper. Res.}, volume = {14}, number = {4}, pages = {453--467}, year = {2015}, url = {https://doi.org/10.1007/s10852-015-9279-y}, doi = {10.1007/S10852-015-9279-Y}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmma/ZhouZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ZhangWLYC15, author = {Chun Zhang and Shafei Wang and Dongsheng Li and Jun{-}an Yang and Hao Chen}, title = {Prior class dissimilarity based linear neighborhood propagation}, journal = {Knowl. Based Syst.}, volume = {83}, pages = {58--65}, year = {2015}, url = {https://doi.org/10.1016/j.knosys.2015.03.011}, doi = {10.1016/J.KNOSYS.2015.03.011}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/ZhangWLYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HuangWZZW15, author = {Ke Huang and Ziqiang Wang and Xuqiang Zheng and Chun Zhang and Zhihua Wang}, title = {A 80 mW 40 Gb/s Transmitter With Automatic Serializing Time Window Search and 2-tap Pre-Emphasis in 65 nm {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {5}, pages = {1441--1450}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2015.2411791}, doi = {10.1109/TCSI.2015.2411791}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HuangWZZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/PengZZSLCW15, author = {Qi Peng and Chun Zhang and Xijin Zhao and Xuguang Sun and Fule Li and Hong Chen and Zhihua Wang}, title = {A Low-Cost {UHF} {RFID} System With {OCA} Tag for Short-Range Communication}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {7}, pages = {4455--4465}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2389759}, doi = {10.1109/TIE.2015.2389759}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/PengZZSLCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuZL15, author = {Heyi Hu and Chun Zhang and Yongming Li}, title = {A new method for demodulation of {FSK} signal with severe impulse interference}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517193}, doi = {10.1109/ASICON.2015.7517193}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/NiLLZW15, author = {Meng Ni and Fule Li and Weitao Li and Chun Zhang and Zhihua Wang}, title = {A high-speed analog front-end circuit used in a 12bit 1GSps pipeline {ADC}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516938}, doi = {10.1109/ASICON.2015.7516938}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/NiLLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HuangLWZLZW15, author = {Ke Huang and Deng Luo and Ziqiang Wang and Xuqiang Zheng and Fule Li and Chun Zhang and Zhihua Wang}, title = {A 190mW 40Gbps SerDes transmitter and receiver chipset in 65nm {CMOS} technology}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338370}, doi = {10.1109/CICC.2015.7338370}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HuangLWZLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/YuanWWZJZW15, author = {Shuai Yuan and Liji Wu and Ziqiang Wang and Xuqiang Zheng and Wen Jia and Chun Zhang and Zhihua Wang}, title = {A 4{\texttimes}20-Gb/s 0.86pJ/b/lane 2-tap-FFE source-series-terminated transmitter with far-end crosstalk cancellation and divider-less clock generation in 65nm {CMOS}}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338414}, doi = {10.1109/CICC.2015.7338414}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/YuanWWZJZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YuanWWZWJZW15, author = {Shuai Yuan and Liji Wu and Ziqiang Wang and Xuqiang Zheng and Peng Wang and Wen Jia and Chun Zhang and Zhihua Wang}, editor = {Wolfgang Pribyl and Franz Dielacher and Gernot Hueber}, title = {A 48mW 15-to-28Gb/s source-synchronous receiver with adaptive {DFE} using hybrid alternate clock scheme and baud-rate {CDR} in 65nm {CMOS}}, booktitle = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}, pages = {144--147}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSCIRC.2015.7313849}, doi = {10.1109/ESSCIRC.2015.7313849}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YuanWWZWJZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuMZW15, author = {Jinghui Liu and Songping Mai and Chun Zhang and Zhihua Wang}, title = {A high-voltage, energy-efficient, 4-electrode output stage for implantable neural stimulator}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {762--765}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168745}, doi = {10.1109/ISCAS.2015.7168745}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuMZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongJWZZW15, author = {Jingjing Dong and Hanjun Jiang and Zhaoyang Weng and Jingyi Zheng and Chun Zhang and Zhihua Wang}, title = {A fast {AGC} method for multimode zero-IF/sliding-IF {WPAN/BAN} receivers}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1310--1313}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168882}, doi = {10.1109/ISCAS.2015.7168882}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongJWZZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/CaoWLZLZW15, author = {Weidong Cao and Ziqiang Wang and Dongmei Li and Xuqiang Zheng and Fule Li and Chun Zhang and Zhihua Wang}, title = {A 40Gb/s 39mW 3-tap adaptive closed-loop decision feedback equalizer in 65nm {CMOS}}, booktitle = {{IEEE} 58th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2015, Fort Collins, CO, USA, August 2-5, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MWSCAS.2015.7282043}, doi = {10.1109/MWSCAS.2015.7282043}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/CaoWLZLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WuLLZW15, author = {Jifang Wu and Fule Li and Weitao Li and Chun Zhang and Zhihua Wang}, title = {A 14-bit 200MS/s low-power pipelined flash-SAR {ADC}}, booktitle = {{IEEE} 58th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2015, Fort Collins, CO, USA, August 2-5, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MWSCAS.2015.7282184}, doi = {10.1109/MWSCAS.2015.7282184}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WuLLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/LiLLWZ15, author = {Shengjing Li and Weitao Li and Fule Li and Zhihua Wang and Chun Zhang}, title = {A digital blind background calibration algorithm for pipelined {ADC}}, booktitle = {{IEEE} 13th International New Circuits and Systems Conference, {NEWCAS} 2015, Grenoble, France, June 7-10, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NEWCAS.2015.7182043}, doi = {10.1109/NEWCAS.2015.7182043}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/LiLLWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/LiLWLZW15, author = {Weitao Li and Fule Li and Ya Wang and Shengjing Li and Chun Zhang and Zhihua Wang}, title = {A power-efficient 14-bit 250MS/s pipelined {ADC}}, booktitle = {{IEEE} 13th International New Circuits and Systems Conference, {NEWCAS} 2015, Grenoble, France, June 7-10, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NEWCAS.2015.7182042}, doi = {10.1109/NEWCAS.2015.7182042}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/LiLWLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ZhangZYCJ15, author = {Yishan Zhang and Chun Zhang and Zhiyuan Yan and Shuang Chen and Hanjun Jiang}, title = {A high-throughput multi-rate {LDPC} decoder for error correction of solid-state drives}, booktitle = {2015 {IEEE} Workshop on Signal Processing Systems, SiPS 2015, Hangzhou, China, October 14-16, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SiPS.2015.7345006}, doi = {10.1109/SIPS.2015.7345006}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/ZhangZYCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/MengZH15, author = {Long Meng and Chun Zhang and Li He}, editor = {Jos{\'{e}} Braz and Sebastiano Battiato and Francisco H. Imai}, title = {Depth-silhouette-Based Action Recognition for Real-time Interactions}, booktitle = {{VISAPP} 2015 - Proceedings of the 10th International Conference on Computer Vision Theory and Applications, Volume 3, Berlin, Germany, 11-14 March, 2015}, pages = {251--259}, publisher = {SciTePress}, year = {2015}, timestamp = {Fri, 07 Aug 2015 12:39:22 +0200}, biburl = {https://dblp.org/rec/conf/visapp/MengZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/WangSZL15, author = {Yu Wang and Chenbo Shi and Chun Zhang and Qingmin Liao}, editor = {Jos{\'{e}} Braz and Sebastiano Battiato and Francisco H. Imai}, title = {A Real-time Computer Vision System for Biscuit Defect Inspection}, booktitle = {{VISAPP} 2015 - Proceedings of the 10th International Conference on Computer Vision Theory and Applications, Volume 1, Berlin, Germany, 11-14 March, 2015}, pages = {531--536}, publisher = {SciTePress}, year = {2015}, url = {https://doi.org/10.5220/0005306705310536}, doi = {10.5220/0005306705310536}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/visapp/WangSZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/DongJZWLZW14, author = {Jingjing Dong and Hanjun Jiang and Lingwei Zhang and Jianjun Wei and Fule Li and Chun Zhang and Zhihua Wang}, title = {A low-power {DC} offset calibration method independent of {IF} gain for zero-IF receiver}, journal = {Sci. China Inf. Sci.}, volume = {57}, number = {10}, pages = {1--10}, year = {2014}, url = {https://doi.org/10.1007/s11432-014-5170-0}, doi = {10.1007/S11432-014-5170-0}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/DongJZWLZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HuZLCLPZ014, author = {Xiaohui Hu and Xu Zhang and Ming Liu and Yuanfang Chen and Peng Li and Weihua Pei and Chun Zhang and Hongda Chen}, title = {A flexible capacitive tactile sensor array with micro structure for robotic application}, journal = {Sci. China Inf. Sci.}, volume = {57}, number = {12}, pages = {1--6}, year = {2014}, url = {https://doi.org/10.1007/s11432-014-5191-8}, doi = {10.1007/S11432-014-5191-8}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/HuZLCLPZ014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/ZhangBHZ14, author = {Chun Zhang and Qinsheng Bi and Xiujing Han and Zhengdi Zhang}, title = {On two-parameter bifurcation analysis of switched system composed of Duffing and van der Pol oscillators}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {19}, number = {3}, pages = {750--757}, year = {2014}, url = {https://doi.org/10.1016/j.cnsns.2013.06.028}, doi = {10.1016/J.CNSNS.2013.06.028}, timestamp = {Mon, 27 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/ZhangBHZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/HanBZY14, author = {Xiujing Han and Qinsheng Bi and Chun Zhang and Yue Yu}, title = {Delayed Bifurcations to Repetitive Spiking and Classification of Delay-Induced Bursting}, journal = {Int. J. Bifurc. Chaos}, volume = {24}, number = {7}, year = {2014}, url = {https://doi.org/10.1142/S0218127414500989}, doi = {10.1142/S0218127414500989}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/HanBZY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/WangCZZ14, author = {Jing Wang and Yi{-}xi Cai and Xin{-}jie Zhao and Chun Zhang}, title = {Thermal design and simulation of automotive headlamps using white LEDs}, journal = {Microelectron. J.}, volume = {45}, number = {2}, pages = {249--255}, year = {2014}, url = {https://doi.org/10.1016/j.mejo.2013.11.011}, doi = {10.1016/J.MEJO.2013.11.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/WangCZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangZXS14, author = {Tao Wang and Chun Zhang and Jinjun Xiong and Yiyu Shi}, title = {On the Deployment of On-Chip Noise Sensors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {4}, pages = {519--531}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2013.2293477}, doi = {10.1109/TCAD.2013.2293477}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/WangZXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YuanWZHXRWZ14, author = {Shuai Yuan and Ziqiang Wang and Xuqiang Zheng and Ke Huang and Ni Xu and Woogeun Rhee and Liji Wu and Chun Zhang}, title = {A 4.8-mW/Gb/s 9.6-Gb/s 5 + 1-Lane Source-Synchronous Transmitter in 65-nm Bulk {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {4}, pages = {209--213}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2312092}, doi = {10.1109/TCSII.2014.2312092}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YuanWZHXRWZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/PengZW14, author = {Qi Peng and Chun Zhang and Zhihua Wang}, title = {A Multi-Tag Emulator for the {UHF} {RFID} System}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {63}, number = {6}, pages = {1461--1469}, year = {2014}, url = {https://doi.org/10.1109/TIM.2013.2289701}, doi = {10.1109/TIM.2013.2289701}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/PengZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangMLS14, author = {Chun Zhang and Dian Ma and Changzhi Li and Yiyu Shi}, title = {Runtime Self-Calibrated Temperature-Stress Cosensor for 3-D Integrated Circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {11}, pages = {2411--2417}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2290132}, doi = {10.1109/TVLSI.2013.2290132}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangMLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ZhangF14, author = {Chun Zhang and Shumin Fei}, title = {Connectivity-Preserved and Force-Based Deployment Scheme for Mobile Sensor Network}, journal = {Wirel. Pers. Commun.}, volume = {77}, number = {1}, pages = {463--475}, year = {2014}, url = {https://doi.org/10.1007/s11277-013-1516-y}, doi = {10.1007/S11277-013-1516-Y}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ZhangF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HuangWZZW14, author = {Ke Huang and Ziqiang Wang and Xuqiang Zheng and Chun Zhang and Zhihua Wang}, title = {A 75mW 50Gbps SerDes transmitter with automatic serializing time window search in 65nm {CMOS} technology}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946101}, doi = {10.1109/CICC.2014.6946101}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HuangWZZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangDGLZXS14, author = {Chun Zhang and Peng Deng and Hui Geng and Jianming Liu and Qi Zhu and Jinjun Xiong and Yiyu Shi}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {MSim: {A} general cycle accurate simulation platform for memcomputing studies}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--5}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.278}, doi = {10.7873/DATE.2014.278}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangDGLZXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/AttarXZWY14, author = {Abdolrahman Attar and Xiang Xie and Chun Zhang and Zhihua Wang and Shigang Yue}, title = {Wireless Micro-Ball endoscopic image enhancement using histogram information}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {3337--3340}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6944337}, doi = {10.1109/EMBC.2014.6944337}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/AttarXZWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangZXLCS14, author = {Tao Wang and Chun Zhang and Jinjun Xiong and Pei{-}Wen Luo and Liang{-}Chia Cheng and Yiyu Shi}, editor = {Yao{-}Wen Chang}, title = {Variation aware optimal threshold voltage computation for on-chip noise sensors}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {205--212}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001353}, doi = {10.1109/ICCAD.2014.7001353}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangZXLCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YangMZWZW14, author = {Qing Yang and Songping Mai and Yixin Zhao and Zhijun Wang and Chun Zhang and Zhihua Wang}, title = {An on-chip security guard based on zero-power authentication for implantable medical devices}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {531--534}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908469}, doi = {10.1109/MWSCAS.2014.6908469}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/YangMZWZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/GaoSCJZWTZ14, author = {Jiyang Gao and Shaojie Su and Hong Chen and Hanjun Jiang and Chun Zhang and Zhihua Wang and Hao Tang and Yinxin Zhou}, title = {Estimation of the relative pose of the femoral and acetabular components in a visual aided system for total hip replacement surgeries}, booktitle = {{IEEE} 12th International New Circuits and Systems Conference, {NEWCAS} 2014, Trois-Rivieres, QC, Canada, June 22-25, 2014}, pages = {81--84}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEWCAS.2014.6933990}, doi = {10.1109/NEWCAS.2014.6933990}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/GaoSCJZWTZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/YuWZHH13, author = {Chaofan Yu and Lingli Wang and Chun Zhang and Yu Hu and Lei He}, title = {Fast Filter-Based Boolean Matchers}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {5}, number = {4}, pages = {65--68}, year = {2013}, url = {https://doi.org/10.1109/LES.2013.2280582}, doi = {10.1109/LES.2013.2280582}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/YuWZHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijautcomp/WangXZF13, author = {Ting Wang and Mingxiang Xue and Chun Zhang and Shumin Fei}, title = {Improved Stability Criteria on Discrete- time Systems with Time- varying and Distributed Delays}, journal = {Int. J. Autom. Comput.}, volume = {10}, number = {3}, pages = {260--266}, year = {2013}, url = {https://doi.org/10.1007/s11633-013-0719-8}, doi = {10.1007/S11633-013-0719-8}, timestamp = {Mon, 28 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijautcomp/WangXZF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WangZFL13, author = {Ting Wang and Chun Zhang and Shumin Fei and Tao Li}, title = {Further stability criteria on discrete-time delayed neural networks with distributeddelay}, journal = {Neurocomputing}, volume = {111}, pages = {195--203}, year = {2013}, url = {https://doi.org/10.1016/j.neucom.2012.12.017}, doi = {10.1016/J.NEUCOM.2012.12.017}, timestamp = {Mon, 28 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/WangZFL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangJWDLLGCCZW13, author = {Lingwei Zhang and Hanjun Jiang and Jianjun Wei and Jingjing Dong and Fule Li and Weitao Li and Jia Gao and Jianwei Cui and Baoyong Chi and Chun Zhang and Zhihua Wang}, title = {A Reconfigurable Sliding-IF Transceiver for 400 MHz/2.4 GHz {IEEE} 802.15.6/ZigBee {WBAN} Hubs With Only 21{\%} Tuning Range {VCO}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {11}, pages = {2705--2716}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2274893}, doi = {10.1109/JSSC.2013.2274893}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangJWDLLGCCZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HuMZZ13, author = {Yunpu Hu and Songping Mai and Yixin Zhao and Chun Zhang}, title = {Low-resistance wide-voltage-range analog switch for implantable neural stimulators}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6812045}, doi = {10.1109/ASICON.2013.6812045}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HuMZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WuWHYZZW13, author = {Linghan Wu and Ziqiang Wang and Ke Huang and Shuai Yuan and Xuqiang Zheng and Chun Zhang and Zhihua Wang}, title = {A 10Gb/s analog equalizer in 0.18um {CMOS}}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811888}, doi = {10.1109/ASICON.2013.6811888}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WuWHYZZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShangZYTZL13, author = {Yang Shang and Chun Zhang and Hao Yu and Chuan Seng Tan and Xin Zhao and Sung Kyu Lim}, title = {Thermal-reliable 3D clock-tree synthesis considering nonlinear electrical-thermal-coupled {TSV} model}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {693--698}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509681}, doi = {10.1109/ASPDAC.2013.6509681}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShangZYTZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/ZhangMPZ13, author = {Chun Zhang and Huachao Mao and Gongzhuang Peng and Heming Zhang}, editor = {Weiming Shen and Weidong Li and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Haibin Zhu and Jianming Yong and Xiaoping Li}, title = {A novel {BOM} based multi-resolution model for federated simulation}, booktitle = {Proceedings of the 2013 {IEEE} 17th International Conference on Computer Supported Cooperative Work in Design (CSCWD), Whistler, BC, Canada, June 27-29, 2013}, pages = {178--183}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CSCWD.2013.6580959}, doi = {10.1109/CSCWD.2013.6580959}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscwd/ZhangMPZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangYWZ13, author = {Kanwen Wang and Hao Yu and Benfei Wang and Chun Zhang}, editor = {Enrico Macii}, title = {3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1643--1648}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.333}, doi = {10.7873/DATE.2013.333}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/WangYWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangJLS13, author = {Chun Zhang and Moongon Jung and Sung Kyu Lim and Yiyu Shi}, editor = {J{\"{o}}rg Henkel}, title = {Novel crack sensor for TSV-based 3D integrated circuits: design and deployment perspectives}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {371--378}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691145}, doi = {10.1109/ICCAD.2013.6691145}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangJLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangZXS13, author = {Tao Wang and Chun Zhang and Jinjun Xiong and Yiyu Shi}, editor = {J{\"{o}}rg Henkel}, title = {Eagle-eye: a near-optimal statistical framework for noise sensor placement}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {437--443}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691154}, doi = {10.1109/ICCAD.2013.6691154}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangZXS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WangZW13, author = {Yingying Wang and Chun Zhang and Zhihua Wang}, title = {Rate distortion Multiple Instance Learning for image classification}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {3235--3238}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738666}, doi = {10.1109/ICIP.2013.6738666}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/WangZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/WangZPW13, author = {Yingying Wang and Chun Zhang and Qi Peng and Zhihua Wang}, editor = {Minho Lee and Akira Hirose and Zeng{-}Guang Hou and Rhee Man Kil}, title = {Learning to Detect Frame Synchronization}, booktitle = {Neural Information Processing - 20th International Conference, {ICONIP} 2013, Daegu, Korea, November 3-7, 2013. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8227}, pages = {570--578}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-42042-9\_71}, doi = {10.1007/978-3-642-42042-9\_71}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/WangZPW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/internetware/HouZHZ13, author = {Shan{-}Shan Hou and Chun Zhang and Dan Hao and Lu Zhang}, editor = {Hong Mei and Jian Lv and Xiaoguang Mao}, title = {PathART: path-sensitive adaptive random testing}, booktitle = {Proceedings of the 5th Asia-Pacific Symposium on Internetware, Internetware 2013, Changsha, China, October 23-24, 2013}, pages = {23:1--23:4}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2532443.2532460}, doi = {10.1145/2532443.2532460}, timestamp = {Tue, 09 May 2023 08:00:06 +0200}, biburl = {https://dblp.org/rec/conf/internetware/HouZHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZW13, author = {Hong Chen and Chun Zhang and Zhihua Wang}, title = {Live demonstration: {A} wireless force measurement system for total knee arthroplasty}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {672}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571935}, doi = {10.1109/ISCAS.2013.6571935}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaiLZZW13, author = {Songping Mai and Chunhong Li and Yixin Zhao and Chun Zhang and Zhihua Wang}, title = {A high-performance low-power SoC for mobile one-time password applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1436--1439}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572126}, doi = {10.1109/ISCAS.2013.6572126}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaiLZZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LuoZCCLSSKS13, author = {Pei{-}Wen Luo and Chun Zhang and Yung{-}Tai Chang and Liang{-}Chia Cheng and Hung{-}Hsie Lee and Bih{-}Lan Sheu and Yu{-}Shih Su and Ding{-}Ming Kwai and Yiyu Shi}, editor = {Cheng{-}Kok Koh and Cliff C. N. Sze}, title = {Benchmarking for research in power delivery networks of three-dimensional integrated circuits}, booktitle = {International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013}, pages = {17--24}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2451916.2451922}, doi = {10.1145/2451916.2451922}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LuoZCCLSSKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/HuangZLW13, author = {Yu Huang and Chun Zhang and Zuozhao Li and Zhihua Wang}, title = {A high-resolution time interval measurement chip in underground positioning system}, booktitle = {{IEEE} 11th International New Circuits and Systems Conference, {NEWCAS} 2013, Paris, France, June 16-19, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NEWCAS.2013.6573643}, doi = {10.1109/NEWCAS.2013.6573643}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/HuangZLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/WangJYZWLCZWLJ13, author = {Zhihua Wang and Hanjun Jiang and Kai Yang and Lingwei Zhang and Jianjun Wei and Fule Li and Baoyong Chi and Chun Zhang and Shouhao Wu and Qingliang Lin and Wen Jia}, title = {Lifetime tracing of cardiopulmonary sounds with ultra-low-power sound sensor stick connected to wireless mobile network}, booktitle = {{IEEE} 11th International New Circuits and Systems Conference, {NEWCAS} 2013, Paris, France, June 16-19, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NEWCAS.2013.6573633}, doi = {10.1109/NEWCAS.2013.6573633}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/WangJYZWLCZWLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/YuZHXWZW13, author = {Kunzhi Yu and Xuqiang Zheng and Ke Huang and Xuan Ma and Ziqiang Wang and Chun Zhang and Zhihua Wang}, title = {A 6.4 Gb/s source synchronous receiver core with variable offset equalizer in 65nm {CMOS}}, booktitle = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLDI-DAT.2013.6533835}, doi = {10.1109/VLDI-DAT.2013.6533835}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/YuZHXWZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZCLT12, author = {Liyun Wang and Chun Zhang and Liguang Chen and Jinmei Lai and Jiarong Tong}, title = {A novel memristor-based rSRAM structure for multiple-bit upsets immunity}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {861--867}, year = {2012}, url = {https://doi.org/10.1587/elex.9.861}, doi = {10.1587/ELEX.9.861}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZCLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NurbawonoZ12, author = {Argo Nurbawono and Chun Zhang}, title = {Sensing with Superconducting Point Contacts}, journal = {Sensors}, volume = {12}, number = {5}, pages = {6049--6074}, year = {2012}, url = {https://doi.org/10.3390/s120506049}, doi = {10.3390/S120506049}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/NurbawonoZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/YangJDZW12, author = {Kai Yang and Hanjun Jiang and Jingjing Dong and Chun Zhang and Zhihua Wang}, title = {An adaptive real-time method for fetal heart rate extraction based on phonocardiography}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {356--359}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418423}, doi = {10.1109/BIOCAS.2012.6418423}, timestamp = {Tue, 17 Aug 2021 21:08:19 +0200}, biburl = {https://dblp.org/rec/conf/biocas/YangJDZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccece/LiuZCZW12, author = {Ming Liu and Xu Zhang and Hong Chen and Chun Zhang and Zhihua Wang}, title = {A fast computable delay model for subthreshold circuit}, booktitle = {25th {IEEE} Canadian Conference on Electrical and Computer Engineering, {CCECE} 2012, Montreal, QC, Canada, April 29 - May 2, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCECE.2012.6334918}, doi = {10.1109/CCECE.2012.6334918}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccece/LiuZCZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangWHY12, author = {Chun Zhang and Wei Wu and Hantao Huang and Hao Yu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Fair energy resource allocation by minority game algorithm for smart buildings}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {63--68}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176434}, doi = {10.1109/DATE.2012.6176434}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangWHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MaiWZW12, author = {Songping Mai and Zhijun Wang and Chun Zhang and Zhihua Wang}, title = {A wirelessly programmable chip for multi-channel neural stimulation}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {6595--6599}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347506}, doi = {10.1109/EMBC.2012.6347506}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/MaiWZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuJHZZW12, author = {Shijie Hu and Chen Jia and Ke Huang and Chun Zhang and Xuqiang Zheng and Zhihua Wang}, title = {A 10Gbps {CDR} based on phase interpolator for source synchronous receiver in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {309--312}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271973}, doi = {10.1109/ISCAS.2012.6271973}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuJHZZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangJZXZRW12, author = {Ke Huang and Chen Jia and Xuqiang Zheng and Ni Xu and Chun Zhang and Woogeun Rhee and Zhihua Wang}, title = {A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {313--316}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271984}, doi = {10.1109/ISCAS.2012.6271984}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangJZXZRW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuZLCH12, author = {Pengfei Zhu and Chun Zhang and Hua Li and Ray C. C. Cheung and Bryan Hu}, title = {An FPGA-based acceleration platform for auction algorithm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1002--1005}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271395}, doi = {10.1109/ISCAS.2012.6271395}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuZLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengLWZ12, author = {Xuqiang Zheng and Fule Li and Xuan Wang and Chun Zhang}, title = {A current-to-voltage integrator using area-efficient correlated double sampling technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2167--2170}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271717}, doi = {10.1109/ISCAS.2012.6271717}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengLWZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoLCZW12, author = {Hanqing Luo and Ming Liu and Hong Chen and Chun Zhang and Zhihua Wang}, title = {A wireless force measurement system for Total Knee Arthroplasty}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2637--2640}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271847}, doi = {10.1109/ISCAS.2012.6271847}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoLCZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiZCCW12, author = {Dingguo Wei and Chun Zhang and Yan Cui and Hong Chen and Zhihua Wang}, title = {Design of a low-cost low-power baseband-processor for {UHF} {RFID} tag with asynchronous design technique}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2789--2792}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271889}, doi = {10.1109/ISCAS.2012.6271889}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiZCCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLCZW12, author = {Xu Zhang and Ming Liu and Hong Chen and Chun Zhang and Zhihua Wang}, title = {A wide dynamic range and fast update rate integrated interface for capacitive sensors array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2961--2964}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271938}, doi = {10.1109/ISCAS.2012.6271938}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLCZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WangZYZ12, author = {Yuhao Wang and Chun Zhang and Hao Yu and Wei Zhang}, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, pages = {197--202}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2333660.2333709}, doi = {10.1145/2333660.2333709}, timestamp = {Tue, 19 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/WangZYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/MaiZZW12, author = {Songping Mai and Yixin Zhao and Chun Zhang and Zhihua Wang}, editor = {Jun Wang and Gary G. Yen and Marios M. Polycarpou}, title = {A Time-Frequency Aware Cochlear Implant: Algorithm and System}, booktitle = {Advances in Neural Networks - {ISNN} 2012 - 9th International Symposium on Neural Networks, Shenyang, China, July 11-14, 2012. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7368}, pages = {159--168}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31362-2\_18}, doi = {10.1007/978-3-642-31362-2\_18}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/MaiZZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/LiuTZY12, author = {Shuanglong Liu and Yuchao Tang and Chun Zhang and Shigang Yue}, title = {Self-map building in wireless sensor network based on {TDOA} measurements}, booktitle = {{IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2012, Hamburg, Germany, September 13-15, 2012}, pages = {150--155}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MFI.2012.6343041}, doi = {10.1109/MFI.2012.6343041}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/LiuTZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WuZWJW12, author = {Pan Wu and Chun Zhang and Caifeng Wei and Hanjun Jiang and Zhihua Wang}, title = {A baseband transceiver for multi-mode and multi-band SoC}, booktitle = {55th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2012, Boise, ID, USA, August 5-8, 2012}, pages = {770--773}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MWSCAS.2012.6292134}, doi = {10.1109/MWSCAS.2012.6292134}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WuZWJW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HuangWZMYZW12, author = {Ke Huang and Ziqiang Wang and Xuqiang Zheng and Xuan Ma and Kunzhi Yu and Chun Zhang and Zhihua Wang}, title = {A novel clock and data recovery scheme for 10Gbps source synchronous receiver in 65nm {CMOS}}, booktitle = {55th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2012, Boise, ID, USA, August 5-8, 2012}, pages = {932--935}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MWSCAS.2012.6292174}, doi = {10.1109/MWSCAS.2012.6292174}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HuangWZMYZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YuWMZZW12, author = {Kunzhi Yu and Ziqiang Wang and Xuan Ma and Xuqiang Zheng and Chun Zhang and Zhihua Wang}, title = {A 6.4 Gb/s data lane design for forwarded clock receiver in 65nm {CMOS}}, booktitle = {55th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2012, Boise, ID, USA, August 5-8, 2012}, pages = {936--939}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MWSCAS.2012.6292175}, doi = {10.1109/MWSCAS.2012.6292175}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/YuWMZZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JiangWLZW12, author = {Hui Jiang and Ziqiang Wang and Liyuan Liu and Chun Zhang and Zhihua Wang}, title = {A combined low power {SAR} capacitance-to-digital / analog-to-digital converter for multisensory system}, booktitle = {55th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2012, Boise, ID, USA, August 5-8, 2012}, pages = {1000--1003}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MWSCAS.2012.6292191}, doi = {10.1109/MWSCAS.2012.6292191}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/JiangWLZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/SunCZWW11, author = {Xuguang Sun and Baoyong Chi and Chun Zhang and Ziqiang Wang and Zhihua Wang}, title = {Ultra-high-frequency radio frequency identification reader receiver with 10 dBm input {P1} dB and -74 dBm sensitivity in 0.18 {\(\mu\)}m {CMOS}}, journal = {{IET} Circuits Devices Syst.}, volume = {5}, number = {5}, pages = {392--402}, year = {2011}, url = {https://doi.org/10.1049/iet-cds.2010.0291}, doi = {10.1049/IET-CDS.2010.0291}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/SunCZWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ZhangTZLWZM11, author = {Hongyu Zhang and Hee Beng Kuan Tan and Lu Zhang and Xi Lin and Xiaoyin Wang and Chun Zhang and Hong Mei}, title = {Checking enforcement of integrity constraints in database applications based on code patterns}, journal = {J. Syst. Softw.}, volume = {84}, number = {12}, pages = {2253--2264}, year = {2011}, url = {https://doi.org/10.1016/j.jss.2011.06.044}, doi = {10.1016/J.JSS.2011.06.044}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/ZhangTZLWZM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/WangZNYW11, author = {Yuhao Wang and Chun Zhang and Revanth Nadipalli and Hao Yu and Roshan Weerasekera}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {Design exploration of 3D stacked non-volatile memory by conductive bridge based crossbar}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6263047}, doi = {10.1109/3DIC.2012.6263047}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/WangZNYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhuWZJZ11, author = {Jinyu Zhu and Liji Wu and Xiangmin Zhang and Chen Jia and Chun Zhang}, title = {A low-power 433MHz transmitter for battery-less Tire Pressure Monitoring System}, booktitle = {2011 {IEEE} 9th International Conference on ASIC, {ASICON} 2011, Xiamen, China, October 25-28, 2011}, pages = {184--187}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASICON.2011.6157152}, doi = {10.1109/ASICON.2011.6157152}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ZhuWZJZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/XuJZW11, author = {Guannan Xu and Chen Jia and Chun Zhang and Zhihua Wang}, title = {A digital sliding mode controller for switching power supply converters}, booktitle = {2011 {IEEE} 9th International Conference on ASIC, {ASICON} 2011, Xiamen, China, October 25-28, 2011}, pages = {994--997}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASICON.2011.6157374}, doi = {10.1109/ASICON.2011.6157374}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/XuJZW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/QianZWZWJH11, author = {Jianqin Qian and Chun Zhang and Liji Wu and Xijin Zhao and Dingguo Wei and Zhihao Jiang and Yuhui He}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {A passive {UHF} tag for RFID-based train axle temperature measurement system}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055420}, doi = {10.1109/CICC.2011.6055420}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/QianZWZWJH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/ZhangCZW11, author = {Heming Zhang and Pengfei Cui and Chun Zhang and Hongwei Wang}, editor = {Weiming Shen and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Peter G. Kropf and Michel Pouly and Jianming Yong and Yunjiao Xue and Milton Pires Ramos}, title = {A variable-step numerical method for collaborative computation of two coupling models in multidisciplinary engineering systems}, booktitle = {Proceedings of the 2011 15th International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2011, June 8-10, 2011, Lausanne, Switzerland}, pages = {610--616}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CSCWD.2011.5960181}, doi = {10.1109/CSCWD.2011.5960181}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/cscwd/ZhangCZW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LiuCZZJW11, author = {Ming Liu and Hong Chen and Xu Zhang and Chun Zhang and Hanjun Jiang and Zhihua Wang}, title = {Low-power SoC design for Ligament Balance Measuring System in Total Knee Arthroplasty}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {5860--5863}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091449}, doi = {10.1109/IEMBS.2011.6091449}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LiuCZZJW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JingLZTMH11, author = {Naifeng Jing and Ju{-}Yueh Lee and Chun Zhang and Jiarong Tong and Zhigang Mao and Lei He}, editor = {John Wawrzynek and Katherine Compton}, title = {Fault modeling and characteristics of SRAM-based FPGAs (abstract only)}, booktitle = {Proceedings of the {ACM/SIGDA} 19th International Symposium on Field Programmable Gate Arrays, {FPGA} 2011, Monterey, California, USA, February 27, March 1, 2011}, pages = {279}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1950413.1950471}, doi = {10.1145/1950413.1950471}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/JingLZTMH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunCZW11, author = {Zhigang Sun and Baoyong Chi and Chun Zhang and Zhihua Wang}, title = {A 0.13{\(\mathrm{\mu}\)}m {CMOS} 1.5-to-2.15GHz low power transmitter front-end for {SDR} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2453--2456}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938100}, doi = {10.1109/ISCAS.2011.5938100}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunCZW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/CuiZZW11, author = {Pengfei Cui and Chun Zhang and Heming Zhang and Hongwei Wang}, title = {A modular method to implement multidisciplinary {CAE} systems into a distributed simulation environment}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Anchorage, Alaska, USA, October 9-12, 2011}, pages = {1920--1925}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICSMC.2011.6083953}, doi = {10.1109/ICSMC.2011.6083953}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/CuiZZW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ZhangHWHT10, author = {Chun Zhang and Yu Hu and Lingli Wang and Lei He and Jiarong Tong}, title = {Accelerating Boolean Matching Using Bloom Filter}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {10}, pages = {1775--1781}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.1775}, doi = {10.1587/TRANSFUN.E93.A.1775}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ZhangHWHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijabim/WangZWC10, author = {Jici Wang and Chun Zhang and Ching{-}Ning Wang and Ping Chen}, title = {Local Milieu in Developing China's Cultural and Creative Industry: The Case of Nanluoguxiang in Beijing}, journal = {Int. J. Asian Bus. Inf. Manag.}, volume = {1}, number = {1}, pages = {10--22}, year = {2010}, url = {https://doi.org/10.4018/jabim.2010010102}, doi = {10.4018/JABIM.2010010102}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijabim/WangZWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/YinLLZW10, author = {Yongfeng Yin and Bin Liu and Zhen Li and Chun Zhang and Ning Wu}, title = {The Integrated Application Based on Real-time Extended {UML} and Improved Formal Method in Real-time Embedded Software Testing}, journal = {J. Networks}, volume = {5}, number = {12}, pages = {1410--1416}, year = {2010}, url = {https://doi.org/10.4304/jnw.5.12.1410-1416}, doi = {10.4304/JNW.5.12.1410-1416}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/YinLLZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ZhangJZZWC10, author = {Xiaoyu Zhang and Hanjun Jiang and Lingwei Zhang and Chun Zhang and Zhihua Wang and Xinkai Chen}, title = {An Energy-Efficient {ASIC} for Wireless Body Sensor Networks in Medical Applications}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {4}, number = {1}, pages = {11--18}, year = {2010}, url = {https://doi.org/10.1109/TBCAS.2009.2031627}, doi = {10.1109/TBCAS.2009.2031627}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ZhangJZZWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangJZCZW10, author = {Xiaoyu Zhang and Hanjun Jiang and Binjie Zhu and Xinkai Chen and Chun Zhang and Zhihua Wang}, title = {A low-power remotely-programmable {MCU} for implantable medical devices}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {28--31}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774828}, doi = {10.1109/APCCAS.2010.5774828}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangJZCZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhangJZW10, author = {Xiaoyu Zhang and Hanjun Jiang and Chun Zhang and Zhihua Wang}, title = {A high-energy-efficiency link scheme for closed-loop medical monitoring and intervention}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {488--491}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774923}, doi = {10.1109/APCCAS.2010.5774923}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhangJZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZhouWZW10, author = {Kaimin Zhou and Ziqiang Wang and Chun Zhang and Zhihua Wang}, title = {Fully-differential low-offset interface for capacitive sensors}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {788--791}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774746}, doi = {10.1109/APCCAS.2010.5774746}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZhouWZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ZhangJLCZW10, author = {Xiaoyu Zhang and Hanjun Jiang and Fule Li and Songyuan Cheng and Chun Zhang and Zhihua Wang}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {An energy-efficient SoC for closed-loop medical monitoring and intervention}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617381}, doi = {10.1109/CICC.2010.5617381}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ZhangJLCZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhangHWHT10, author = {Chun Zhang and Yu Hu and Lingli Wang and Lei He and Jiarong Tong}, editor = {Peter Y. K. Cheung and John Wawrzynek}, title = {Building a faster boolean matcher using bloom filter}, booktitle = {Proceedings of the {ACM/SIGDA} 18th International Symposium on Field Programmable Gate Arrays, {FPGA} 2010, Monterey, California, USA, February 21-23, 2010}, pages = {185--188}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1723112.1723145}, doi = {10.1145/1723112.1723145}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/ZhangHWHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/LiZSYZ10, author = {Yancong Li and Lianhong Zhang and Xin Song and Hongbo Yu and Chun Zhang}, editor = {Maozhen Li and Qilian Liang and Lipo Wang and Yibin Song}, title = {Precise analysis of hydraulic press performance based on rough set}, booktitle = {Seventh International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2010, 10-12 August 2010, Yantai, Shandong, China}, pages = {1808--1811}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FSKD.2010.5569422}, doi = {10.1109/FSKD.2010.5569422}, timestamp = {Sat, 25 Jun 2022 17:37:25 +0200}, biburl = {https://dblp.org/rec/conf/fskd/LiZSYZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangHWHT10, author = {Chun Zhang and Yu Hu and Lingli Wang and Lei He and Jiarong Tong}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Engineering a scalable Boolean matching based on {EDA} SaaS 2.0}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {750--755}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654275}, doi = {10.1109/ICCAD.2010.5654275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangHWHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ChenLHCJZW09, author = {Hong Chen and Ming Liu and Wenhan Hao and Yi Chen and Chen Jia and Chun Zhang and Zhihua Wang}, title = {Low-Power Circuits for the Bidirectional Wireless Monitoring System of the Orthopedic Implants}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {3}, number = {6}, pages = {437--443}, year = {2009}, url = {https://doi.org/10.1109/TBCAS.2009.2026283}, doi = {10.1109/TBCAS.2009.2026283}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ChenLHCJZW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/ZhangCPSTT09, author = {Chun Zhang and Rong N. Chang and Chang{-}Shing Perng and Edward So and Chunqiang Tang and Tao Tao}, title = {An Optimal Capacity Planning Algorithm for Provisioning Cluster-Based Failure-Resilient Composite Services}, booktitle = {2009 {IEEE} International Conference on Services Computing {(SCC} 2009), 21-25 September 2009, Bangalore, India}, pages = {112--119}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SCC.2009.81}, doi = {10.1109/SCC.2009.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEscc/ZhangCPSTT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ZhangCD09, author = {Chun Zhang and Kan Chen and Geir E. Dullerud}, title = {Stabilization of Markovian jump linear systems with limited information - {A} convex approach}, booktitle = {American Control Conference, {ACC} 2009. St. Louis, Missouri, USA, June 10-12, 2009}, pages = {4013--4019}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ACC.2009.5160685}, doi = {10.1109/ACC.2009.5160685}, timestamp = {Fri, 03 Dec 2021 13:02:58 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ZhangCD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ZhangD09, author = {Chun Zhang and Geir E. Dullerud}, title = {Uniform stabilization of Markovian jump linear systems with logarithmic quantization - {A} convex approach}, booktitle = {Proceedings of the 48th {IEEE} Conference on Decision and Control, {CDC} 2009, combined withe the 28th Chinese Control Conference, December 16-18, 2009, Shanghai, China}, pages = {2094--2099}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CDC.2009.5400361}, doi = {10.1109/CDC.2009.5400361}, timestamp = {Fri, 04 Mar 2022 13:27:41 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ZhangD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WangZCWW09, author = {Jingchao Wang and Chun Zhang and Baoyong Chi and Ziqiang Wang and Zhihua Wang}, title = {A fully integrated {CMOS} {UHF} {RFID} reader transceiver for handheld applications}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {495--498}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280792}, doi = {10.1109/CICC.2009.5280792}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WangZCWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/WojtczykPLRNRKHJZBM09, author = {Martin Wojtczyk and Giorgio Panin and Claus Lenz and Thorsten R{\"{o}}der and Suraj Nair and Erwin Roth and Alois C. Knoll and R{\"{u}}diger Heidemann and Klaus Joeris and Chun Zhang and Mark Burnett and Tom Monica}, editor = {Matthias Scheutz and Fran{\c{c}}ois Michaud and Pamela J. Hinds and Brian Scassellati}, title = {A vision based human robot interface for robotic walkthroughs in a biotech laboratory}, booktitle = {Proceedings of the 4th {ACM/IEEE} International Conference on Human Robot Interaction, {HRI} 2009, La Jolla, California, USA, March 9-13, 2009}, pages = {309--310}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1514095.1514190}, doi = {10.1145/1514095.1514190}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/WojtczykPLRNRKHJZBM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuZLWLW09, author = {Qiuling Zhu and Chun Zhang and Zhongqi Liu and Jingchao Wang and Fule Li and Zhihua Wang}, title = {A Robust Radio Frequency Identification System Enhanced with Spread Spectrum Technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {37--40}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117679}, doi = {10.1109/ISCAS.2009.5117679}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuZLWLW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZLWW09, author = {Zhongqi Liu and Chun Zhang and Yongming Li and Ziqiang Wang and Zhihua Wang}, title = {A Novel Demodulator for Low Modulation Index {RF} Signal in Passive {UHF} {RFID} Tag}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {2109--2112}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118211}, doi = {10.1109/ISCAS.2009.5118211}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuZLWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/ManfrediKMZZ09, author = {Victoria Manfredi and Jim Kurose and Naceur Malouch and Chun Zhang and Michael Zink}, title = {Separation of Sensor Control and Data in Closed-Loop Sensor Networks}, booktitle = {Proceedings of the Sixth Annual {IEEE} Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, {SECON} 2009, June 22-26, 2009, Rome, Italy}, pages = {1--9}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SAHCN.2009.5168970}, doi = {10.1109/SAHCN.2009.5168970}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/secon/ManfrediKMZZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/TakTZGUC09, author = {Byung{-}Chul Tak and Chunqiang Tang and Chun Zhang and Sriram Govindan and Bhuvan Urgaonkar and Rong N. Chang}, editor = {Geoffrey M. Voelker and Alec Wolman}, title = {vPath: Precise Discovery of Request Processing Paths from Black-Box Observations of Thread and Network Activities}, booktitle = {2009 {USENIX} Annual Technical Conference, San Diego, CA, USA, June 14-19, 2009}, publisher = {{USENIX} Association}, year = {2009}, url = {https://www.usenix.org/conference/usenix-09/vpath-precise-discovery-request-processing-paths-black-box-observations-thread}, timestamp = {Mon, 01 Feb 2021 08:43:29 +0100}, biburl = {https://dblp.org/rec/conf/usenix/TakTZGUC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Zhang08a, author = {Chun Zhang}, title = {Centralized and Decentralized Control With Limited Information}, school = {University of Illinois Urbana-Champaign, {USA}}, year = {2008}, url = {https://hdl.handle.net/2142/81106}, timestamp = {Mon, 18 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Zhang08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/WeiWZKT08, author = {Wei Wei and Bing Wang and Chun Zhang and Jim Kurose and Donald F. Towsley}, title = {Classification of access network types: Ethernet, wireless LAN, ADSL, cable modem or dialup?}, journal = {Comput. Networks}, volume = {52}, number = {17}, pages = {3205--3217}, year = {2008}, url = {https://doi.org/10.1016/j.comnet.2008.08.018}, doi = {10.1016/J.COMNET.2008.08.018}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/WeiWZKT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/JiaCLZW08, author = {Chen Jia and Hong Chen and Ming Liu and Chun Zhang and Zhihua Wang}, title = {Integrated power management circuit for piezoelectronic generator in wireless monitoring system of orthopaedic implants}, journal = {{IET} Circuits Devices Syst.}, volume = {2}, number = {6}, pages = {485--494}, year = {2008}, url = {https://doi.org/10.1049/iet-cds:20070342}, doi = {10.1049/IET-CDS:20070342}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/JiaCLZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/ZhangCPSTT08, author = {Chun Zhang and Rong N. Chang and Chang{-}Shing Perng and Edward So and Chunqiang Tang and Tao Tao}, title = {Leveraging Service Composition Relationship to Improve {CPU} Demand Estimation in {SOA} Environments}, booktitle = {2008 {IEEE} International Conference on Services Computing {(SCC} 2008), 8-11 July 2008, Honolulu, Hawaii, {USA}}, pages = {317--324}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SCC.2008.135}, doi = {10.1109/SCC.2008.135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEscc/ZhangCPSTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ZhangL08, author = {Chun Zhang and Xue Liu}, title = {Trade-off between the sampling rate and the data accuracy}, booktitle = {American Control Conference, {ACC} 2008, Seattle, WA, USA, 11-13 June 2008}, pages = {2631--2636}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ACC.2008.4586889}, doi = {10.1109/ACC.2008.4586889}, timestamp = {Fri, 03 Dec 2021 13:02:23 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ZhangL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MaWZW08, author = {Changming Ma and Xingjun Wu and Chun Zhang and Zhihua Wang}, title = {A low-power {RF} front-end of passive {UHF} {RFID} transponders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {73--76}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745963}, doi = {10.1109/APCCAS.2008.4745963}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MaWZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MaiZW08, author = {Songping Mai and Chun Zhang and Zhihua Wang}, title = {Function-based memory partitioning on low power digital signal processor for cochlear implants}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {654--657}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746108}, doi = {10.1109/APCCAS.2008.4746108}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MaiZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcs/WojtczykMKHJZBM08, author = {Martin Wojtczyk and Michael Marszalek and Alois C. Knoll and R{\"{u}}diger Heidemann and Klaus Joeris and Chun Zhang and Mark Burnett and Tom Monica}, editor = {Erol Gelenbe and Samson Abramsky and Vladimiro Sassone}, title = {Automation of the Complete Sample Management in a Biotech Laboratory}, booktitle = {Visions of Computer Science - {BCS} International Academic Conference, Imperial College, London, UK, 22-24 September 2008}, pages = {87--97}, publisher = {British Computer Society}, year = {2008}, url = {http://www.bcs.org/server.php?show=ConWebDoc.22856}, timestamp = {Fri, 24 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcs/WojtczykMKHJZBM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ZhangD08, author = {Chun Zhang and Geir E. Dullerud}, title = {Analysis of sampled-data interconnected systems}, booktitle = {Proceedings of the 47th {IEEE} Conference on Decision and Control, {CDC} 2008, December 9-11, 2008, Canc{\'{u}}n, Mexico}, pages = {2069--2074}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CDC.2008.4739466}, doi = {10.1109/CDC.2008.4739466}, timestamp = {Fri, 04 Mar 2022 13:27:23 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ZhangD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChenJCLZW08, author = {Hong Chen and Chen Jia and Yi Chen and Ming Liu and Chun Zhang and Zhihua Wang}, title = {A low-power {IC} design for the wireless monitoring system of the orthopedic implants}, booktitle = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, pages = {363--366}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CICC.2008.4672097}, doi = {10.1109/CICC.2008.4672097}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChenJCLZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/delta/WangMZ08, author = {Zhihua Wang and Songping Mai and Chun Zhang}, title = {Power Issues on Circuit Design for Cochlear Implants}, booktitle = {4th {IEEE} International Symposium on Electronic Design, Test and Applications, {DELTA} 2008, Hong Kong, January 23-25, 2008}, pages = {163--166}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DELTA.2008.13}, doi = {10.1109/DELTA.2008.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/delta/WangMZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/WangPTTSZCL08, author = {Ting Wang and Chang{-}Shing Perng and Tao Tao and Chunqiang Tang and Edward So and Chun Zhang and Rong N. Chang and Ling Liu}, title = {A Temporal Data-Mining Approach for Discovering End-to-End Transaction Flows}, booktitle = {2008 {IEEE} International Conference on Web Services {(ICWS} 2008), September 23-26, 2008, Beijing, China}, pages = {37--44}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICWS.2008.59}, doi = {10.1109/ICWS.2008.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icws/WangPTTSZCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiZW08, author = {Baoyong Chi and Chun Zhang and Zhihua Wang}, title = {Bandwidth extension for ultra-wideband {CMOS} low-noise amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {968--971}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541581}, doi = {10.1109/ISCAS.2008.4541581}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoZCW08, author = {Tongqiang Gao and Chun Zhang and Baoyong Chi and Zhihua Wang}, title = {An improved method of power control with {CMOS} class-E power amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {2306--2309}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541915}, doi = {10.1109/ISCAS.2008.4541915}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoZCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/ZhangCPSTT07, author = {Chun Zhang and Rong N. Chang and Chang{-}Shing Perng and Edward So and Chunqiang Tang and Tao Tao}, title = {QoS-Aware Optimization of Composite-Service Fulfillment Policy}, booktitle = {2007 {IEEE} International Conference on Services Computing {(SCC} 2007), 9-13 July 2007, Salt Lake City, Utah, {USA}}, pages = {11--19}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SCC.2007.90}, doi = {10.1109/SCC.2007.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEscc/ZhangCPSTT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acc/ZhangD07, author = {Chun Zhang and Geir E. Dullerud}, title = {Decentralized Control with Communication Bandwidth Constraints}, booktitle = {American Control Conference, {ACC} 2007, New York, NY, USA, 9-13 July, 2007}, pages = {1711--1716}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ACC.2007.4283014}, doi = {10.1109/ACC.2007.4283014}, timestamp = {Fri, 03 Dec 2021 13:01:26 +0100}, biburl = {https://dblp.org/rec/conf/acc/ZhangD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ZhangD07, author = {Chun Zhang and Geir E. Dullerud}, title = {Finite gain stabilization with logarithmic quantization}, booktitle = {46th {IEEE} Conference on Decision and Control, {CDC} 2007, New Orleans, LA, USA, December 12-14, 2007}, pages = {3952--3957}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CDC.2007.4434983}, doi = {10.1109/CDC.2007.4434983}, timestamp = {Fri, 04 Mar 2022 13:27:03 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ZhangD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/XiaTZ07, author = {Cathy H. Xia and Donald F. Towsley and Chun Zhang}, title = {Distributed Resource Management and Admission Control of Stream Processing Systems with Max Utility}, booktitle = {27th {IEEE} International Conference on Distributed Computing Systems {(ICDCS} 2007), June 25-29, 2007, Toronto, Ontario, Canada}, pages = {68}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICDCS.2007.101}, doi = {10.1109/ICDCS.2007.101}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/XiaTZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/WangMZC07, author = {Zhihua Wang and Songping Mai and Chun Zhang and Hong Chen}, title = {Design Practice of Power-oriented Integrated Circuits for Biomedical Implant Systems}, booktitle = {14th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007}, pages = {78--81}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICECS.2007.4510935}, doi = {10.1109/ICECS.2007.4510935}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/WangMZC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiCXLZZW07, author = {Xiaowen Li and Xinkai Chen and Xiang Xie and Guolin Li and Li Zhang and Chun Zhang and Zhihua Wang}, title = {A Low Power, Fully Pipelined {JPEG-LS} Encoder for Lossless Image Compression}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {1906--1909}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4285048}, doi = {10.1109/ICME.2007.4285048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiCXLZZW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenJZWL07, author = {Hong Chen and Chen Jia and Chun Zhang and Zhihua Wang and Chunsheng Liu}, title = {Power Harvesting With {PZT} Ceramics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {557--560}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378694}, doi = {10.1109/ISCAS.2007.378694}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenJZWL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ZhangBALXCVRMTSK06, author = {Chun Zhang and Dione K. Bailey and Tarif Awad and Guoying Liu and Guoliang Xing and Manqiu Cao and Venu Valmeekam and Jacques Retief and Hajime Matsuzaki and Margaret Taub and Mark Seielstad and Giulia C. Kennedy}, title = {A whole genome long-range haplotype {(WGLRH)} test for detecting imprints of positive selection in human populations}, journal = {Bioinform.}, volume = {22}, number = {17}, pages = {2122--2128}, year = {2006}, url = {https://doi.org/10.1093/bioinformatics/btl365}, doi = {10.1093/BIOINFORMATICS/BTL365}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ZhangBALXCVRMTSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmsj/BeyerCHJKLLLNOPSSTLVZZ06, author = {Kevin S. Beyer and Roberta Cochrane and M. Hvizdos and Vanja Josifovski and Jim Kleewein and George Lapis and Guy M. Lohman and Robert Lyle and Matthias Nicola and Fatma {\"{O}}zcan and Hamid Pirahesh and Normen Seemann and Ashutosh Singh and Tuong C. Truong and Robbert C. Van der Linden and Brian Vickery and Chun Zhang and Guogen Zhang}, title = {{DB2} goes hybrid: Integrating native {XML} and XQuery with relational data and {SQL}}, journal = {{IBM} Syst. J.}, volume = {45}, number = {2}, pages = {271--298}, year = {2006}, url = {https://doi.org/10.1147/sj.452.0271}, doi = {10.1147/SJ.452.0271}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmsj/BeyerCHJKLLLNOPSSTLVZZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmsj/BalminEHLLSWZ06, author = {Andrey Balmin and Tom Eliaz and John Hornibrook and Lipyeow Lim and Guy M. Lohman and David E. Simmen and Min Wang and Chun Zhang}, title = {Cost-based optimization in {DB2} {XML}}, journal = {{IBM} Syst. J.}, volume = {45}, number = {2}, pages = {299--320}, year = {2006}, url = {https://doi.org/10.1147/sj.452.0299}, doi = {10.1147/SJ.452.0299}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmsj/BalminEHLLSWZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KunCZ06, author = {Kun Yang and Chun Zhang and Zhihua Wang}, title = {Design of Adaptive Deblocking Filter for {H.264/AVC} Decoder {SOC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {109--112}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342326}, doi = {10.1109/APCCAS.2006.342326}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KunCZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MaZW06, author = {Changming Ma and Chun Zhang and Zhihua Wang}, title = {Power Analysis for the {MOS} {AC/DC} Rectifier of Passive {RFID} Transponders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {1350--1353}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342434}, doi = {10.1109/APCCAS.2006.342434}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/MaZW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/MaiZDW06, author = {Songping Mai and Chun Zhang and Mian Dong and Zhihua Wang}, title = {A Cochlear System with Implant {DSP}}, booktitle = {2006 {IEEE} International Conference on Acoustics Speech and Signal Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006}, pages = {125--128}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICASSP.2006.1661228}, doi = {10.1109/ICASSP.2006.1661228}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/MaiZDW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/ZhangKLTZ06, author = {Chun Zhang and Jim Kurose and Yong Liu and Donald F. Towsley and Michael Zink}, title = {A Distributed Algorithm for Joint Sensing and Routing in Wireless Networks with Non-Steerable Directional Antennas}, booktitle = {Proceedings of the 14th {IEEE} International Conference on Network Protocols, {ICNP} 2006, November 12-15, 2006, Santa Barbara, California, {USA}}, pages = {218--227}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICNP.2006.320215}, doi = {10.1109/ICNP.2006.320215}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnp/ZhangKLTZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/VasudevanZGT06, author = {Sudarshan Vasudevan and Chun Zhang and Dennis Goeckel and Donald F. Towsley}, title = {Optimal Power Allocation in Wireless Networks with Transmitter-Receiver Power Tradeoffs}, booktitle = {{INFOCOM} 2006. 25th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 23-29 April 2006, Barcelona, Catalunya, Spain}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/INFOCOM.2006.297}, doi = {10.1109/INFOCOM.2006.297}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/VasudevanZGT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuanWITWZ06, author = {Xiaokang Guan and Albert Z. Wang and Akira Ishikawa and Satoru Tamura and Kaoru Takasuka and Zhihua Wang and Chun Zhang}, title = {A 3V 110{\(\mathrm{\mu}\)}W 3.1 ppm/{\textdegree}C curvature-compensated {CMOS} bandgap reference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693221}, doi = {10.1109/ISCAS.2006.1693221}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuanWITWZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaiYLZW06, author = {Songping Mai and Kun Yang and Wenli Lan and Chun Zhang and Zhihua Wang}, title = {An open-source based {DSP} with enhanced multimedia-processing capacity for embedded applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692741}, doi = {10.1109/ISCAS.2006.1692741}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaiYLZW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LiuATZ06, author = {Junning Liu and Micah Adler and Donald F. Towsley and Chun Zhang}, editor = {Mario Gerla and Chiara Petrioli and Ramachandran Ramjee}, title = {On optimal communication cost for gathering correlated data through wireless sensor networks}, booktitle = {Proceedings of the 12th Annual International Conference on Mobile Computing and Networking, {MOBICOM} 2006, Los Angeles, CA, USA, September 23-29, 2006}, pages = {310--321}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1161089.1161124}, doi = {10.1145/1161089.1161124}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/LiuATZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/DongZMWL06, author = {Mian Dong and Chun Zhang and Songping Mai and Zhihua Wang and Dongmei Li}, title = {A Wideband Frequency-Shift Keying Demodulator for Wireless Neural Stimulation Microsystems}, booktitle = {19th International Conference on {VLSI} Design {(VLSI} Design 2006), 3-7 January 2006, Hyderabad, India}, pages = {521--524}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/VLSID.2006.29}, doi = {10.1109/VLSID.2006.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/DongZMWL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XieLLCYZW05, author = {Xiang Xie and Guolin Li and Xiaowen Li and Xinkai Chen and Kun Yang and Chun Zhang and Zhihua Wang}, title = {A New Near-Lossless Image Compression Method in Digital Image Sensors with Bayer Color Filter Arrays}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {369--372}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICASSP.2005.1415418}, doi = {10.1109/ICASSP.2005.1415418}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/XieLLCYZW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/XieLLZW05, author = {Xiang Xie and Guolin Li and Dongmei Li and Chun Zhang and Zhihua Wang}, title = {A new near-lossless image compression algorithm suitable for hardware design in wireless endoscopy system}, booktitle = {Proceedings of the 2005 International Conference on Image Processing, {ICIP} 2005, Genoa, Italy, September 11-14, 2005}, pages = {1125--1128}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICIP.2005.1529953}, doi = {10.1109/ICIP.2005.1529953}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/XieLLZW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/ZhangKTGL05, author = {Chun Zhang and James F. Kurose and Donald F. Towsley and Zihui Ge and Yong Liu}, title = {Optimal Routing with Multiple Traffic Matrices Tradeoff between Average andWorst Case Performance}, booktitle = {13th {IEEE} International Conference on Network Protocols {(ICNP} 2005), 6-9 November 2005, Boston, MA, {USA}}, pages = {215--224}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICNP.2005.30}, doi = {10.1109/ICNP.2005.30}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnp/ZhangKTGL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ZhangLGKMT05, author = {Chun Zhang and Yong Liu and Weibo Gong and James F. Kurose and Robert Moll and Donald F. Towsley}, title = {On optimal routing with multiple traffic matrices}, booktitle = {{INFOCOM} 2005. 24th Annual Joint Conference of the {IEEE} Computer and Communications Societies, 13-17 March 2005, Miami, FL, {USA}}, pages = {607--618}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/INFCOM.2005.1497927}, doi = {10.1109/INFCOM.2005.1497927}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ZhangLGKMT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/WeiWZKT05, author = {Wei Wei and Bing Wang and Chun Zhang and James F. Kurose and Donald F. Towsley}, title = {Classification of access network types: Ethernet wireless LAN, ADSL, cable modem or dialup?}, booktitle = {{INFOCOM} 2005. 24th Annual Joint Conference of the {IEEE} Computer and Communications Societies, 13-17 March 2005, Miami, FL, {USA}}, pages = {1060--1071}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/INFCOM.2005.1498334}, doi = {10.1109/INFCOM.2005.1498334}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/WeiWZKT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieLWZLL05, author = {Xiang Xie and Guolin Li and Zhihua Wang and Chun Zhang and Dongmei Li and Xiaowen Li}, title = {A novel method of lossy image compression for digital image sensors with Bayer color filter arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {4995--4998}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465755}, doi = {10.1109/ISCAS.2005.1465755}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XieLWZLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/OzcanCPKBJZ05, author = {Kevin S. Beyer and Roberta Cochrane and Vanja Josifovski and Jim Kleewein and George Lapis and Guy M. Lohman and Robert Lyle and Fatma {\"{O}}zcan and Hamid Pirahesh and Normen Seemann and Tuong C. Truong and Bert Van der Linden and Brian Vickery and Chun Zhang}, editor = {Fatma {\"{O}}zcan}, title = {System {RX:} One Part Relational, One Part {XML}}, booktitle = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, Baltimore, Maryland, USA, June 14-16, 2005}, pages = {347--358}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1066157.1066197}, doi = {10.1145/1066157.1066197}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/OzcanCPKBJZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/ZhangHJLZ05, author = {Ning Zhang and Peter J. Haas and Vanja Josifovski and Guy M. Lohman and Chun Zhang}, editor = {Klemens B{\"{o}}hm and Christian S. Jensen and Laura M. Haas and Martin L. Kersten and Per{-}{\AA}ke Larson and Beng Chin Ooi}, title = {Statistical Learning Techniques for Costing {XML} Queries}, booktitle = {Proceedings of the 31st International Conference on Very Large Data Bases, Trondheim, Norway, August 30 - September 2, 2005}, pages = {289--300}, publisher = {{ACM}}, year = {2005}, url = {http://www.vldb.org/archives/website/2005/program/paper/wed/p289-zhang.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vldb/ZhangHJLZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangXZZ04, author = {Zhihua Wang and Xiang Xie and Li Zhang and Chun Zhang}, title = {An improved algorithm for rate distortion optimization in {JPEG2000} and its integrated circuit implementation}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {61--64}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1327047}, doi = {10.1109/ICASSP.2004.1327047}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WangXZZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icig/XieLLWZLZ04, author = {Xiang Xie and Guolin Li and Xiaowen Li and Zhihua Wang and Chun Zhang and Dongmei Li and Li Zhang}, title = {A new approach for near-lossless and lossless image compression with Bayer color filter arrays}, booktitle = {Third International Conference on Image and Graphics, {ICIG} 2004, Hong Kong, China, December 18-20, 2004}, pages = {357--360}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICIG.2004.12}, doi = {10.1109/ICIG.2004.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icig/XieLLWZLZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/ChenGZKT04, author = {Weifeng Chen and Zihui Ge and Chun Zhang and James F. Kurose and Donald F. Towsley}, editor = {Nikolas Mitrou and Kimon P. Kontovasilis and George N. Rouskas and Ilias Iliadis and Lazaros F. Merakos}, title = {On Dynamic Subset Difference Revocation Scheme}, booktitle = {{NETWORKING} 2004, Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication, Third International {IFIP-TC6} Networking Conference, Athens, Greece, May 9-14, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3042}, pages = {743--758}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24693-0\_61}, doi = {10.1007/978-3-540-24693-0\_61}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/networking/ChenGZKT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangZW03, author = {Yue Wang and Chun Zhang and Zhihua Wang}, title = {A new variable step size {LMS} algorithm with application to active noise control}, booktitle = {2003 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '03, Hong Kong, April 6-10, 2003}, pages = {573--575}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICASSP.2003.1200034}, doi = {10.1109/ICASSP.2003.1200034}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WangZW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/ZhangDKT02, author = {Chun Zhang and Brian DeCleene and James F. Kurose and Donald F. Towsley}, title = {Comparison of inter-area rekeying algorithms for secure wireless group communications}, journal = {Perform. Evaluation}, volume = {49}, number = {1/4}, pages = {1--20}, year = {2002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/ZhangDKT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmod/TianDCZ02, author = {Feng Tian and David J. DeWitt and Jianjun Chen and Chun Zhang}, title = {The Design and Performance Evaluation of Alternative {XML} Storage Strategies}, journal = {{SIGMOD} Rec.}, volume = {31}, number = {1}, pages = {5--10}, year = {2002}, url = {https://doi.org/10.1145/507338.507341}, doi = {10.1145/507338.507341}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigmod/TianDCZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/TatarinovVBSSZ02, author = {Igor Tatarinov and Stratis Viglas and Kevin S. Beyer and Jayavel Shanmugasundaram and Eugene J. Shekita and Chun Zhang}, editor = {Michael J. Franklin and Bongki Moon and Anastassia Ailamaki}, title = {Storing and querying ordered {XML} using a relational database system}, booktitle = {Proceedings of the 2002 {ACM} {SIGMOD} International Conference on Management of Data, Madison, Wisconsin, USA, June 3-6, 2002}, pages = {204--215}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/564691.564715}, doi = {10.1145/564691.564715}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/TatarinovVBSSZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/RaoZML02, author = {Jun Rao and Chun Zhang and Nimrod Megiddo and Guy M. Lohman}, editor = {Michael J. Franklin and Bongki Moon and Anastassia Ailamaki}, title = {Automating physical database design in a parallel database}, booktitle = {Proceedings of the 2002 {ACM} {SIGMOD} International Conference on Management of Data, Madison, Wisconsin, USA, June 3-6, 2002}, pages = {558--569}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/564691.564757}, doi = {10.1145/564691.564757}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/RaoZML02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/NaughtonDMACGKKLPRSTTVWZJGC01, author = {Jeffrey F. Naughton and David J. DeWitt and David Maier and Ashraf Aboulnaga and Jianjun Chen and Leonidas Galanis and Jaewoo Kang and Rajasekar Krishnamurthy and Qiong Luo and Naveen Prakash and Ravishankar Ramamurthy and Jayavel Shanmugasundaram and Feng Tian and Kristin Tufte and Stratis Viglas and Yuan Wang and Chun Zhang and Bruce Jackson and Anurag Kumar Gupta and Rushan Chen}, title = {The Niagara Internet Query System}, journal = {{IEEE} Data Eng. Bull.}, volume = {24}, number = {2}, pages = {27--33}, year = {2001}, url = {http://sites.computer.org/debull/A01JUN-CD.pdf}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/debu/NaughtonDMACGKKLPRSTTVWZJGC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/ZhangNDLL01, author = {Chun Zhang and Jeffrey F. Naughton and David J. DeWitt and Qiong Luo and Guy M. Lohman}, editor = {Sharad Mehrotra and Timos K. Sellis}, title = {On Supporting Containment Queries in Relational Database Management Systems}, booktitle = {Proceedings of the 2001 {ACM} {SIGMOD} international conference on Management of data, Santa Barbara, CA, USA, May 21-24, 2001}, pages = {425--436}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/375663.375722}, doi = {10.1145/375663.375722}, timestamp = {Fri, 12 Mar 2021 14:14:34 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/ZhangNDLL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webdb/AboulnagaNZ01, author = {Ashraf Aboulnaga and Jeffrey F. Naughton and Chun Zhang}, editor = {Giansalvatore Mecca and J{\'{e}}r{\^{o}}me Sim{\'{e}}on}, title = {Generating Synthetic Complex-Structured {XML} Data}, booktitle = {Proceedings of the Fourth International Workshop on the Web and Databases, WebDB 2001, Santa Barbara, California, USA, May 24-25, 2001, in conjunction with {ACM} {PODS/SIGMOD} 2001. Informal proceedings}, pages = {79--84}, year = {2001}, timestamp = {Wed, 08 Feb 2017 17:14:49 +0100}, biburl = {https://dblp.org/rec/conf/webdb/AboulnagaNZ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/ShanmugasundaramGTZDN99, author = {Jayavel Shanmugasundaram and Kristin Tufte and Chun Zhang and Gang He and David J. DeWitt and Jeffrey F. Naughton}, editor = {Malcolm P. Atkinson and Maria E. Orlowska and Patrick Valduriez and Stanley B. Zdonik and Michael L. Brodie}, title = {Relational Databases for Querying {XML} Documents: Limitations and Opportunities}, booktitle = {VLDB'99, Proceedings of 25th International Conference on Very Large Data Bases, September 7-10, 1999, Edinburgh, Scotland, {UK}}, pages = {302--314}, publisher = {Morgan Kaufmann}, year = {1999}, url = {http://www.vldb.org/conf/1999/P31.pdf}, timestamp = {Wed, 11 May 2022 08:53:25 +0200}, biburl = {https://dblp.org/rec/conf/vldb/ShanmugasundaramGTZDN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.