BibTeX records: Hoyoung Yoo

download as .bib file

@inproceedings{DBLP:conf/elinfocom/HwangHLY24,
  author       = {Yongtaek Hwang and
                  Jiwoo Hwang and
                  Yuseok Lee and
                  Hoyoung Yoo},
  title        = {Efficient {CRC-BCH} Unified Encoder for Global Positioning System},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ICEIC61013.2024.10457230},
  doi          = {10.1109/ICEIC61013.2024.10457230},
  timestamp    = {Tue, 02 Apr 2024 21:06:16 +0200},
  biburl       = {https://dblp.org/rec/conf/elinfocom/HwangHLY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/ParkYLY24,
  author       = {Jiho Park and
                  Hee{-}Hun Yang and
                  Donghun Lee and
                  Hoyoung Yoo},
  title        = {Physical Unclonable Function Using Programmable Delay Lines},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/ICEIC61013.2024.10457091},
  doi          = {10.1109/ICEIC61013.2024.10457091},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/elinfocom/ParkYLY24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/KongYL23,
  author       = {Byeong Yong Kong and
                  Hoyoung Yoo and
                  Youngjoo Lee},
  title        = {An Automated Synthesis Framework for Fast Evaluation of Maximum Operating
                  Frequency},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2023, Singapore, February 5-8, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICEIC57457.2023.10049896},
  doi          = {10.1109/ICEIC57457.2023.10049896},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/elinfocom/KongYL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/LeeCPSY23,
  author       = {Eunchae Lee and
                  Soyeon Choi and
                  Jiho Park and
                  Sungkyun Shin and
                  Hoyoung Yoo},
  title        = {Practical Analysis of Xilinx FPGAs' Bitstream Encryption},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2023, Singapore, February 5-8, 2023},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICEIC57457.2023.10049905},
  doi          = {10.1109/ICEIC57457.2023.10049905},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/elinfocom/LeeCPSY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/LeePKHCY23,
  author       = {Sangwon Lee and
                  Jiho Park and
                  Jeongho Kim and
                  Yongtaek Hwang and
                  Soyeon Choi and
                  Hoyoung Yoo},
  title        = {Quantitative Analysis of Various 2D {CNN} Structures based on Dataflow},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2023, Singapore, February 5-8, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICEIC57457.2023.10049910},
  doi          = {10.1109/ICEIC57457.2023.10049910},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/elinfocom/LeePKHCY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iotj/ImCY22,
  author       = {Nari Im and
                  Soyeon Choi and
                  Hoyoung Yoo},
  title        = {S-Box Attack Using {FPGA} Reverse Engineering for Lightweight Cryptography},
  journal      = {{IEEE} Internet Things J.},
  volume       = {9},
  number       = {24},
  pages        = {25165--25180},
  year         = {2022},
  url          = {https://doi.org/10.1109/JIOT.2022.3195733},
  doi          = {10.1109/JIOT.2022.3195733},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iotj/ImCY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinCLLY22,
  author       = {Sungkyun Shin and
                  Soyeon Choi and
                  Eunchae Lee and
                  Songyeon Lee and
                  Hoyoung Yoo},
  title        = {Implementation of Aurora Interface using {SFP+} Transceiver},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {350--351},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031528},
  doi          = {10.1109/ISOCC56007.2022.10031528},
  timestamp    = {Wed, 15 Feb 2023 22:08:05 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinCLLY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KamYL21,
  author       = {Dongyun Kam and
                  Hoyoung Yoo and
                  Youngjoo Lee},
  title        = {Ultralow-Latency Successive Cancellation Polar Decoding Architecture
                  Using Tree-Level Parallelism},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {6},
  pages        = {1083--1094},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2021.3068965},
  doi          = {10.1109/TVLSI.2021.3068965},
  timestamp    = {Tue, 15 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KamYL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/ChoiSY21,
  author       = {Soyeon Choi and
                  Yerin Shin and
                  Hoyoung Yoo},
  title        = {Analysis of Ring-Oscillator-based True Random Number Generator on
                  FPGAs},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICEIC51217.2021.9369714},
  doi          = {10.1109/ICEIC51217.2021.9369714},
  timestamp    = {Fri, 26 Mar 2021 08:44:08 +0100},
  biburl       = {https://dblp.org/rec/conf/elinfocom/ChoiSY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/LeeY21,
  author       = {Sungryoung Lee and
                  Hoyoung Yoo},
  title        = {Configurable Digital Pulse Generator for Neuromorphic Devices},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICEIC51217.2021.9369742},
  doi          = {10.1109/ICEIC51217.2021.9369742},
  timestamp    = {Fri, 26 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/elinfocom/LeeY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/ParkJKY21,
  author       = {Jiwoon Park and
                  Gwang Hee Jo and
                  Jinseok Kim and
                  Hoyoung Yoo},
  title        = {Area-Efficient On-the-Fly Code Generator for {BDS} {B1C} Receivers},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICEIC51217.2021.9369771},
  doi          = {10.1109/ICEIC51217.2021.9369771},
  timestamp    = {Wed, 22 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/elinfocom/ParkJKY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiIY21,
  author       = {Soyeon Choi and
                  Nari Im and
                  Hoyoung Yoo},
  title        = {{FPGA} Design Duplication based on the Bitstream Extraction},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {373--374},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613991},
  doi          = {10.1109/ISOCC53507.2021.9613991},
  timestamp    = {Mon, 06 Dec 2021 17:33:24 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiIY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiYY20,
  author       = {Soyeon Choi and
                  Jieun Yeo and
                  Hoyoung Yoo},
  title        = {Extraction of {ROM} Data from Bitstream in Xilinx {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {97--98},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333036},
  doi          = {10.1109/ISOCC50952.2020.9333036},
  timestamp    = {Fri, 12 Feb 2021 11:57:12 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiYY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/elinfocom/KimCY19,
  author       = {Hyeonkyu Kim and
                  Soyeon Choi and
                  Hoyoung Yoo},
  title        = {On-demand Syndrome Calculation for {BCH} decoding},
  booktitle    = {International Conference on Electronics, Information, and Communication,
                  {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/ELINFOCOM.2019.8706448},
  doi          = {10.23919/ELINFOCOM.2019.8706448},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/elinfocom/KimCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/ChuYJ18,
  author       = {Eunmi Chu and
                  Hoyoung Yoo and
                  Bang Chul Jung},
  title        = {Resource usage of {LTE} networks for machine-to-Machine group communications:
                  Modeling and analysis},
  journal      = {Comput. Electr. Eng.},
  volume       = {71},
  pages        = {321--330},
  year         = {2018},
  url          = {https://doi.org/10.1016/j.compeleceng.2018.07.031},
  doi          = {10.1016/J.COMPELECENG.2018.07.031},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cee/ChuYJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiY18,
  author       = {Soyeon Choi and
                  Hoyoung Yoo},
  title        = {Hybrid Decoding for Polar Codes},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {121--122},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649922},
  doi          = {10.1109/ISOCC.2018.8649922},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/icl/YooP16,
  author       = {Hoyoung Yoo and
                  In{-}Cheol Park},
  title        = {Efficient Pruning for Successive-Cancellation Decoding of Polar Codes},
  journal      = {{IEEE} Commun. Lett.},
  volume       = {20},
  number       = {12},
  pages        = {2362--2365},
  year         = {2016},
  url          = {https://doi.org/10.1109/LCOMM.2016.2607167},
  doi          = {10.1109/LCOMM.2016.2607167},
  timestamp    = {Thu, 20 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/icl/YooP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/YooLP16,
  author       = {Hoyoung Yoo and
                  Youngjoo Lee and
                  In{-}Cheol Park},
  title        = {Low-Power Parallel Chien Search Architecture Using a Two-Step Approach},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {63-II},
  number       = {3},
  pages        = {269--273},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCSII.2015.2482958},
  doi          = {10.1109/TCSII.2015.2482958},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/YooLP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/KongYP16,
  author       = {Byeong Yong Kong and
                  Hoyoung Yoo and
                  In{-}Cheol Park},
  title        = {Efficient Sorting Architecture for Successive-Cancellation-List Decoding
                  of Polar Codes},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {63-II},
  number       = {7},
  pages        = {673--677},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCSII.2016.2530093},
  doi          = {10.1109/TCSII.2016.2530093},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/KongYP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JoYP16,
  author       = {Jihyuck Jo and
                  Hoyoung Yoo and
                  In{-}Cheol Park},
  title        = {Energy-Efficient Floating-Point {MFCC} Extraction Architecture for
                  Speech Recognition Systems},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {2},
  pages        = {754--758},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2413454},
  doi          = {10.1109/TVLSI.2015.2413454},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JoYP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/YooP15,
  author       = {Hoyoung Yoo and
                  In{-}Cheol Park},
  title        = {Partially Parallel Encoder Architecture for Long Polar Codes},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {62-II},
  number       = {3},
  pages        = {306--310},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSII.2014.2369131},
  doi          = {10.1109/TCSII.2014.2369131},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/YooP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/JungYLP15,
  author       = {Jaehwan Jung and
                  Hoyoung Yoo and
                  Youngjoo Lee and
                  In{-}Cheol Park},
  title        = {Efficient Parallel Architecture for Linear Feedback Shift Registers},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {62-II},
  number       = {11},
  pages        = {1068--1072},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSII.2015.2456294},
  doi          = {10.1109/TCSII.2015.2456294},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/JungYLP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeeYYP14,
  author       = {Youngjoo Lee and
                  Hoyoung Yoo and
                  Injae Yoo and
                  In{-}Cheol Park},
  title        = {High-Throughput and Low-Complexity {BCH} Decoding Architecture for
                  Solid-State Drives},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {5},
  pages        = {1183--1187},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2264687},
  doi          = {10.1109/TVLSI.2013.2264687},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeeYYP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YooLP14,
  author       = {Hoyoung Yoo and
                  Youngjoo Lee and
                  In{-}Cheol Park},
  title        = {7.3 Gb/s universal {BCH} encoder and decoder for {SSD} controllers},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {37--38},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742862},
  doi          = {10.1109/ASPDAC.2014.6742862},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YooLP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeYJJP13,
  author       = {Youngjoo Lee and
                  Hoyoung Yoo and
                  Jaehwan Jung and
                  Jihyuck Jo and
                  In{-}Cheol Park},
  title        = {A 2.74-pJ/bit, 17.7-Gb/s Iterative Concatenated-BCH Decoder in 65-nm
                  {CMOS} for {NAND} Flash Memory},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {48},
  number       = {10},
  pages        = {2531--2540},
  year         = {2013},
  url          = {https://doi.org/10.1109/JSSC.2013.2275655},
  doi          = {10.1109/JSSC.2013.2275655},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeYJJP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/YooJJP13,
  author       = {Hoyoung Yoo and
                  Jaehwan Jung and
                  Jihyuck Jo and
                  In{-}Cheol Park},
  title        = {Area-Efficient Multimode Encoding Architecture for Long {BCH} Codes},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {60-II},
  number       = {12},
  pages        = {872--876},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCSII.2013.2281941},
  doi          = {10.1109/TCSII.2013.2281941},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/YooJJP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeYP13,
  author       = {Youngjoo Lee and
                  Hoyoung Yoo and
                  In{-}Cheol Park},
  title        = {A 3Gb/s 2.08mm\({}^{\mbox{2}}\) 100b error-correcting {BCH} decoder
                  in 0.13{\(\mathrm{\mu}\)}m {CMOS} process},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {85--86},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509568},
  doi          = {10.1109/ASPDAC.2013.6509568},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeYP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/LeeYP12,
  author       = {Youngjoo Lee and
                  Hoyoung Yoo and
                  In{-}Cheol Park},
  title        = {Small-area parallel syndrome calculation for strong {BCH} decoding},
  booktitle    = {2012 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012},
  pages        = {1609--1612},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICASSP.2012.6288202},
  doi          = {10.1109/ICASSP.2012.6288202},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/LeeYP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooLP12,
  author       = {Hoyoung Yoo and
                  Youngjoo Lee and
                  In{-}Cheol Park},
  title        = {Low-latency area-efficient decoding architecture for shortened reed-solomon
                  codes},
  booktitle    = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South
                  Korea, November 4-7, 2012},
  pages        = {223--226},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISOCC.2012.6407080},
  doi          = {10.1109/ISOCC.2012.6407080},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooLP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LeeYYP12,
  author       = {Youngjoo Lee and
                  Hoyoung Yoo and
                  Injae Yoo and
                  In{-}Cheol Park},
  title        = {6.4Gb/s multi-threaded {BCH} encoder and decoder for multi-channel
                  {SSD} controllers},
  booktitle    = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2012, San Francisco, CA, USA, February 19-23, 2012},
  pages        = {426--428},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSCC.2012.6177075},
  doi          = {10.1109/ISSCC.2012.6177075},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/LeeYYP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/LeeYP11,
  author       = {Youngjoo Lee and
                  Hoyoung Yoo and
                  In{-}Cheol Park},
  title        = {Low-Complexity Parallel Chien Search Structure Using Two-Dimensional
                  Optimization},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {58-II},
  number       = {8},
  pages        = {522--526},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCSII.2011.2158709},
  doi          = {10.1109/TCSII.2011.2158709},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/LeeYP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics