default search action
BibTeX records: Thomas F. Wenisch
@inproceedings{DBLP:conf/hpca/MuthukrishnanLVWN23, author = {Harini Muthukrishnan and Daniel Lustig and Oreste Villa and Thomas F. Wenisch and David W. Nellans}, title = {FinePack: Transparently Improving the Efficiency of Fine-Grained Transfers in Multi-GPU Systems}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {516--529}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10070949}, doi = {10.1109/HPCA56546.2023.10070949}, timestamp = {Wed, 29 Mar 2023 11:07:46 +0200}, biburl = {https://dblp.org/rec/conf/hpca/MuthukrishnanLVWN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ZekanyLDW22, author = {Stephen A. Zekany and Thomas F. Larsen and Ronald G. Dreslinski and Thomas F. Wenisch}, title = {Finding and Indexing Vehicle Maneuvers From Dashboard Camera Video}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {9}, pages = {16098--16109}, year = {2022}, url = {https://doi.org/10.1109/TITS.2022.3147982}, doi = {10.1109/TITS.2022.3147982}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ZekanyLDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asplos/2022, editor = {Babak Falsafi and Michael Ferdman and Shan Lu and Thomas F. Wenisch}, title = {{ASPLOS} '22: 27th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, 28 February 2022 - 4 March 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503222}, doi = {10.1145/3503222}, isbn = {978-1-4503-9205-1}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhouMWWOKFWC21, author = {Jian Zhou and Sumit K. Mandal and Brendan L. West and Siyuan Wei and {\"{U}}mit Y. Ogras and Oliver D. Kripfgans and J. Brian Fowlkes and Thomas F. Wenisch and Chaitali Chakrabarti}, title = {Front-End Architecture Design for Low-Complexity 3-D Ultrasound Imaging Based on Synthetic Aperture Sequential Beamforming}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {2}, pages = {333--346}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2020.3035698}, doi = {10.1109/TVLSI.2020.3035698}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhouMWWOKFWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud/MirhosseiniEW21, author = {Amirhossein Mirhosseini and Sameh Elnikety and Thomas F. Wenisch}, editor = {Carlo Curino and Georgia Koutrika and Ravi Netravali}, title = {Parslo: {A} Gradient Descent-based Approach for Near-optimal Partial {SLO} Allotment in Microservices}, booktitle = {SoCC '21: {ACM} Symposium on Cloud Computing, Seattle, WA, USA, November 1 - 4, 2021}, pages = {442--457}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472883.3486985}, doi = {10.1145/3472883.3486985}, timestamp = {Sun, 31 Oct 2021 09:45:45 +0100}, biburl = {https://dblp.org/rec/conf/cloud/MirhosseiniEW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GolestaniW21, author = {Hossein Golestani and Thomas F. Wenisch}, title = {HyperData: {A} Data Transfer Accelerator for Software Data Planes Based on Targeted Prefetching}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {326--334}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00059}, doi = {10.1109/ICCD53106.2021.00059}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GolestaniW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MirhosseiniW21, author = {Amirhossein Mirhosseini and Thomas F. Wenisch}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{\(\mu\)}Steal: a theory-backed framework for preemptive work and resource stealing in mixed-criticality microservices}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {102--114}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3463529}, doi = {10.1145/3447818.3463529}, timestamp = {Wed, 09 Jun 2021 15:33:33 +0200}, biburl = {https://dblp.org/rec/conf/ics/MirhosseiniW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WestFW21, author = {Brendan L. West and Jeffrey A. Fessler and Thomas F. Wenisch}, title = {Jigsaw: {A} Slice-and-Dice Approach to Non-uniform {FFT} Acceleration for {MRI} Image Reconstruction}, booktitle = {35th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2021, Portland, OR, USA, May 17-21, 2021}, pages = {714--723}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPDPS49936.2021.00081}, doi = {10.1109/IPDPS49936.2021.00081}, timestamp = {Fri, 02 Jul 2021 14:10:24 +0200}, biburl = {https://dblp.org/rec/conf/ipps/WestFW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/MuthukrishnanNL21, author = {Harini Muthukrishnan and David W. Nellans and Daniel Lustig and Jeffrey A. Fessler and Thomas F. Wenisch}, title = {Efficient Multi-GPU Shared Memory via Automatic Optimization of Fine-Grained Transfers}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {139--152}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00020}, doi = {10.1109/ISCA52012.2021.00020}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/MuthukrishnanNL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MuthukrishnanLN21, author = {Harini Muthukrishnan and Daniel Lustig and David W. Nellans and Thomas F. Wenisch}, title = {{GPS:} {A} Global Publish-Subscribe Model for Multi-GPU Memory Management}, booktitle = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021}, pages = {46--58}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3466752.3480088}, doi = {10.1145/3466752.3480088}, timestamp = {Tue, 19 Oct 2021 15:51:04 +0200}, biburl = {https://dblp.org/rec/conf/micro/MuthukrishnanLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/SinghviAACDGMSW21, author = {Arjun Singhvi and Aditya Akella and Maggie Anderson and Rob Cauble and Harshad Deshmukh and Dan Gibson and Milo M. K. Martin and Amanda Strominger and Thomas F. Wenisch and Amin Vahdat}, editor = {Fernando A. Kuipers and Matthew C. Caesar}, title = {CliqueMap: productionizing an RMA-based distributed caching system}, booktitle = {{ACM} {SIGCOMM} 2021 Conference, Virtual Event, USA, August 23-27, 2021}, pages = {93--105}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3452296.3472934}, doi = {10.1145/3452296.3472934}, timestamp = {Wed, 11 Aug 2021 15:37:52 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/SinghviAACDGMSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WestZDKFCW20, author = {Brendan L. West and Jian Zhou and Ronald G. Dreslinski and Oliver D. Kripfgans and J. Brian Fowlkes and Chaitali Chakrabarti and Thomas F. Wenisch}, title = {Tetris: Using Software/Hardware Co-Design to Enable Handheld, Physics-Limited 3D Plane-Wave Ultrasound Imaging}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {8}, pages = {1209--1220}, year = {2020}, url = {https://doi.org/10.1109/TC.2020.2990061}, doi = {10.1109/TC.2020.2990061}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/WestZDKFCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/0001SWWKK20, author = {Sihang Liu and Korakit Seemakhupt and Yizhou Wei and Thomas F. Wenisch and Aasheesh Kolli and Samira Manabi Khan}, editor = {James R. Larus and Luis Ceze and Karin Strauss}, title = {Cross-Failure Bug Detection in Persistent Memory Programs}, booktitle = {{ASPLOS} '20: Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, March 16-20, 2020}, pages = {1187--1202}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373376.3378452}, doi = {10.1145/3373376.3378452}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/0001SWWKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/MirhosseiniWBW20, author = {Amirhossein Mirhosseini and Brendan L. West and Geoffrey W. Blake and Thomas F. Wenisch}, title = {Q-Zilla: {A} Scheduling Framework and Core Microarchitecture for Tail-Tolerant Microservices}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {207--219}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00026}, doi = {10.1109/HPCA47549.2020.00026}, timestamp = {Wed, 29 Apr 2020 10:45:35 +0200}, biburl = {https://dblp.org/rec/conf/hpca/MirhosseiniWBW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/GogteWDCNW20, author = {Vaibhav Gogte and William Wang and Stephan Diestelhorst and Peter M. Chen and Satish Narayanasamy and Thomas F. Wenisch}, title = {Relaxed Persist Ordering Using Strand Persistency}, booktitle = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020}, pages = {652--665}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCA45697.2020.00060}, doi = {10.1109/ISCA45697.2020.00060}, timestamp = {Mon, 19 Feb 2024 07:32:24 +0100}, biburl = {https://dblp.org/rec/conf/isca/GogteWDCNW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MirhosseiniGW20, author = {Amirhossein Mirhosseini and Hossein Golestani and Thomas F. Wenisch}, title = {HyperPlane: {A} Scalable Low-Latency Notification Accelerator for Software Data Planes}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {852--867}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00074}, doi = {10.1109/MICRO50266.2020.00074}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/MirhosseiniGW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/SinghviAGWWCMMC20, author = {Arjun Singhvi and Aditya Akella and Dan Gibson and Thomas F. Wenisch and Monica Wong{-}Chan and Sean Clark and Milo M. K. Martin and Moray McLaren and Prashant Chandra and Rob Cauble and Hassan M. G. Wassel and Behnam Montazeri and Simon L. Sabato and Joel Scherpelz and Amin Vahdat}, editor = {Henning Schulzrinne and Vishal Misra}, title = {1RMA: Re-envisioning Remote Memory Access for Multi-tenant Datacenters}, booktitle = {{SIGCOMM} '20: Proceedings of the 2020 Annual conference of the {ACM} Special Interest Group on Data Communication on the applications, technologies, architectures, and protocols for computer communication, Virtual Event, USA, August 10-14, 2020}, pages = {708--721}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3387514.3405897}, doi = {10.1145/3387514.3405897}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/SinghviAGWWCMMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Wenisch19, author = {Thomas F. Wenisch}, title = {How economic theories can help computers beat the heat: technical perspective}, journal = {Commun. {ACM}}, volume = {62}, number = {2}, pages = {97}, year = {2019}, url = {https://doi.org/10.1145/3299883}, doi = {10.1145/3299883}, timestamp = {Fri, 08 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/Wenisch19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BulckMWGKPSWYS19, author = {Jo Van Bulck and Marina Minkin and Ofir Weisse and Daniel Genkin and Baris Kasikci and Frank Piessens and Mark Silberstein and Thomas F. Wenisch and Yuval Yarom and Raoul Strackx}, title = {Breaking Virtual Memory Protection and the {SGX} Ecosystem with Foreshadow}, journal = {{IEEE} Micro}, volume = {39}, number = {3}, pages = {66--74}, year = {2019}, url = {https://doi.org/10.1109/MM.2019.2910104}, doi = {10.1109/MM.2019.2910104}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/BulckMWGKPSWYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KolliGSDWCNW19, author = {Aasheesh Kolli and Vaibhav Gogte and Ali G. Saidi and Stephan Diestelhorst and William Wang and Peter M. Chen and Satish Narayanasamy and Thomas F. Wenisch}, title = {Language Support for Memory Persistency}, journal = {{IEEE} Micro}, volume = {39}, number = {3}, pages = {94--102}, year = {2019}, url = {https://doi.org/10.1109/MM.2019.2910821}, doi = {10.1109/MM.2019.2910821}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KolliGSDWCNW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/MirhosseiniW19, author = {Amirhossein Mirhosseini and Thomas F. Wenisch}, title = {The Queuing-First Approach for Tail Management of Interactive Services}, journal = {{IEEE} Micro}, volume = {39}, number = {4}, pages = {55--64}, year = {2019}, url = {https://doi.org/10.1109/MM.2019.2897671}, doi = {10.1109/MM.2019.2897671}, timestamp = {Wed, 31 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/MirhosseiniW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud/GolestaniMW19, author = {Hossein Golestani and Amirhossein Mirhosseini and Thomas F. Wenisch}, title = {Software Data Planes: You Can't Always Spin to Win}, booktitle = {Proceedings of the {ACM} Symposium on Cloud Computing, SoCC 2019, Santa Cruz, CA, USA, November 20-23, 2019}, pages = {337--350}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3357223.3362737}, doi = {10.1145/3357223.3362737}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloud/GolestaniMW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WestZDFKCW19, author = {Brendan L. West and Jian Zhou and Ronald G. Dreslinski and J. Brian Fowlkes and Oliver Kripfgans and Chaitali Chakrabarti and Thomas F. Wenisch}, title = {Tetris: {A} Streaming Accelerator for Physics-Limited 3D Plane-Wave Ultrasound Imaging}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {189}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317921}, doi = {10.1145/3316781.3317921}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WestZDFKCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/GogteWDKCNW19, author = {Vaibhav Gogte and William Wang and Stephan Diestelhorst and Aasheesh Kolli and Peter M. Chen and Satish Narayanasamy and Thomas F. Wenisch}, editor = {Arif Merchant and Hakim Weatherspoon}, title = {Software Wear Management for Persistent Memories}, booktitle = {17th {USENIX} Conference on File and Storage Technologies, {FAST} 2019, Boston, MA, February 25-28, 2019}, pages = {45--63}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/fast19/presentation/gogte}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fast/GogteWDKCNW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/MirhosseiniSW19, author = {Amirhossein Mirhosseini and Akshitha Sriraman and Thomas F. Wenisch}, title = {Enhancing Server Efficiency in the Face of Killer Microseconds}, booktitle = {25th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2019, Washington, DC, USA, February 16-20, 2019}, pages = {185--198}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCA.2019.00037}, doi = {10.1109/HPCA.2019.00037}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/hpca/MirhosseiniSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icac/MirhosseiniWBW19, author = {Amirhossein Mirhosseini and Brendan L. West and Geoffrey W. Blake and Thomas F. Wenisch}, title = {Express-Lane Scheduling and Multithreading to Minimize the Tail Latency of Microservices}, booktitle = {2019 {IEEE} International Conference on Autonomic Computing, {ICAC} 2019, Ume{\aa}, Sweden, June 16-20, 2019}, pages = {194--199}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICAC.2019.00031}, doi = {10.1109/ICAC.2019.00031}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icac/MirhosseiniWBW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/AndersonCRW19, author = {Michael R. Anderson and Michael J. Cafarella and Germ{\'{a}}n Ros and Thomas F. Wenisch}, title = {Physical Representation-Based Predicate Optimization for a Visual Analytics Database}, booktitle = {35th {IEEE} International Conference on Data Engineering, {ICDE} 2019, Macao, China, April 8-11, 2019}, pages = {1466--1477}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDE.2019.00132}, doi = {10.1109/ICDE.2019.00132}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/AndersonCRW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SriramanDW19, author = {Akshitha Sriraman and Abhishek Dhanotia and Thomas F. Wenisch}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {SoftSKU: optimizing server architectures for microservice diversity @scale}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {513--526}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322227}, doi = {10.1145/3307650.3322227}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/SriramanDW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/ZekanyDW19, author = {Stephen A. Zekany and Ronald G. Dreslinski and Thomas F. Wenisch}, title = {Classifying Ego-Vehicle Road Maneuvers from Dashcam Video}, booktitle = {2019 {IEEE} Intelligent Transportation Systems Conference, {ITSC} 2019, Auckland, New Zealand, October 27-30, 2019}, pages = {1204--1210}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITSC.2019.8917001}, doi = {10.1109/ITSC.2019.8917001}, timestamp = {Mon, 09 Dec 2019 12:57:57 +0100}, biburl = {https://dblp.org/rec/conf/itsc/ZekanyDW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/WeisseNLWK19, author = {Ofir Weisse and Ian Neal and Kevin Loughlin and Thomas F. Wenisch and Baris Kasikci}, title = {{NDA:} Preventing Speculative Execution Attacks at Their Source}, booktitle = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16, 2019}, pages = {572--586}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3352460.3358306}, doi = {10.1145/3352460.3358306}, timestamp = {Wed, 16 Oct 2019 09:55:30 +0200}, biburl = {https://dblp.org/rec/conf/micro/WeisseNLWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KulkarniW18, author = {Jaydeep Kulkarni and Thomas F. Wenisch}, title = {Report on the 2018 {IEEE/ACM} International Symposium on Low Power Electronics and Design}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {94--95}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2873454}, doi = {10.1109/MDAT.2018.2873454}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KulkarniW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/Wenisch18, author = {Thomas F. Wenisch}, title = {Top Picks from the 2017 Computer Architecture Conferences}, journal = {{IEEE} Micro}, volume = {38}, number = {3}, pages = {5--9}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.032271056}, doi = {10.1109/MM.2018.032271056}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/Wenisch18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SriramanW18, author = {Akshitha Sriraman and Thomas F. Wenisch}, title = {{\(\mu\)} Suite: {A} Benchmark Suite for Microservices}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {1--12}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573515}, doi = {10.1109/IISWC.2018.8573515}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SriramanW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/SriramanW18, author = {Akshitha Sriraman and Thomas F. Wenisch}, editor = {Andrea C. Arpaci{-}Dusseau and Geoff Voelker}, title = {{\(\mathrm{\mu}\)}Tune: Auto-Tuned Threading for {OLDI} Microservices}, booktitle = {13th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2018, Carlsbad, CA, USA, October 8-10, 2018}, pages = {177--194}, publisher = {{USENIX} Association}, year = {2018}, url = {https://www.usenix.org/conference/osdi18/presentation/sriraman}, timestamp = {Tue, 02 Feb 2021 08:06:02 +0100}, biburl = {https://dblp.org/rec/conf/osdi/SriramanW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/GogteDWNCW18, author = {Vaibhav Gogte and Stephan Diestelhorst and William Wang and Satish Narayanasamy and Peter M. Chen and Thomas F. Wenisch}, editor = {Jeffrey S. Foster and Dan Grossman}, title = {Persistency for synchronization-free regions}, booktitle = {Proceedings of the 39th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2018, Philadelphia, PA, USA, June 18-22, 2018}, pages = {46--61}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3192366.3192367}, doi = {10.1145/3192366.3192367}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/GogteDWNCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/BulckMWGKPSWYS18, author = {Jo Van Bulck and Marina Minkin and Ofir Weisse and Daniel Genkin and Baris Kasikci and Frank Piessens and Mark Silberstein and Thomas F. Wenisch and Yuval Yarom and Raoul Strackx}, editor = {William Enck and Adrienne Porter Felt}, title = {Foreshadow: Extracting the Keys to the Intel {SGX} Kingdom with Transient Out-of-Order Execution}, booktitle = {27th {USENIX} Security Symposium, {USENIX} Security 2018, Baltimore, MD, USA, August 15-17, 2018}, pages = {991--1008}, publisher = {{USENIX} Association}, year = {2018}, url = {https://www.usenix.org/conference/usenixsecurity18/presentation/bulck}, timestamp = {Mon, 01 Feb 2021 08:43:20 +0100}, biburl = {https://dblp.org/rec/conf/uss/BulckMWGKPSWYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-04226, author = {Michael R. Anderson and Michael J. Cafarella and Germ{\'{a}}n Ros and Thomas F. Wenisch}, title = {Physical Representation-based Predicate Optimization for a Visual Analytics Database}, journal = {CoRR}, volume = {abs/1806.04226}, year = {2018}, url = {http://arxiv.org/abs/1806.04226}, eprinttype = {arXiv}, eprint = {1806.04226}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-04226.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-02513, author = {Parker Hill and Babak Zamirai and Shengshuo Lu and Yu{-}Wei Chao and Michael Laurenzano and Mehrzad Samadi and Marios C. Papaefthymiou and Scott A. Mahlke and Thomas F. Wenisch and Jia Deng and Lingjia Tang and Jason Mars}, title = {Rethinking Numerical Representations for Deep Neural Networks}, journal = {CoRR}, volume = {abs/1808.02513}, year = {2018}, url = {http://arxiv.org/abs/1808.02513}, eprinttype = {arXiv}, eprint = {1808.02513}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-02513.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/internet/ShiW17, author = {Weisong Shi and Thomas F. Wenisch}, title = {Energy-Efficient Data Centers}, journal = {{IEEE} Internet Comput.}, volume = {21}, number = {4}, pages = {6--7}, year = {2017}, url = {https://doi.org/10.1109/MIC.2017.2911429}, doi = {10.1109/MIC.2017.2911429}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/internet/ShiW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/HsuZLMWDMT17, author = {Chang{-}Hong Hsu and Yunqi Zhang and Michael A. Laurenzano and David Meisner and Thomas F. Wenisch and Ronald G. Dreslinski and Jason Mars and Lingjia Tang}, title = {Reining in Long Tails in Warehouse-Scale Computers with Quick Voltage Boosting Using Adrenaline}, journal = {{ACM} Trans. Comput. Syst.}, volume = {35}, number = {1}, pages = {2:1--2:33}, year = {2017}, url = {https://doi.org/10.1145/3054742}, doi = {10.1145/3054742}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/HsuZLMWDMT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/MirhosseiniSSSW17, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Behnaz Soltani and Hamid Sarbazi{-}Azad and Thomas F. Wenisch}, title = {{POSTER:} Elastic Reconfiguration for Heterogeneous NoCs with BiNoCHS}, booktitle = {26th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2017, Portland, OR, USA, September 9-13, 2017}, pages = {164--165}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PACT.2017.46}, doi = {10.1109/PACT.2017.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/MirhosseiniSSSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/AgarwalW17, author = {Neha Agarwal and Thomas F. Wenisch}, editor = {Yunji Chen and Olivier Temam and John Carter}, title = {Thermostat: Application-transparent Page Management for Two-tiered Main Memory}, booktitle = {Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2017, Xi'an, China, April 8-12, 2017}, pages = {631--644}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3037697.3037706}, doi = {10.1145/3037697.3037706}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/AgarwalW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/HuangMW17, author = {Jiamin Huang and Barzan Mozafari and Thomas F. Wenisch}, editor = {Gustavo Alonso and Ricardo Bianchini and Marko Vukolic}, title = {Statistical Analysis of Latency Through Semantic Profiling}, booktitle = {Proceedings of the Twelfth European Conference on Computer Systems, EuroSys 2017, Belgrade, Serbia, April 23-26, 2017}, pages = {64--79}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3064176.3064179}, doi = {10.1145/3064176.3064179}, timestamp = {Tue, 06 Nov 2018 16:58:31 +0100}, biburl = {https://dblp.org/rec/conf/eurosys/HuangMW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KolliGSDCNW17, author = {Aasheesh Kolli and Vaibhav Gogte and Ali G. Saidi and Stephan Diestelhorst and Peter M. Chen and Satish Narayanasamy and Thomas F. Wenisch}, title = {Language-level persistency}, booktitle = {Proceedings of the 44th Annual International Symposium on Computer Architecture, {ISCA} 2017, Toronto, ON, Canada, June 24-28, 2017}, pages = {481--493}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079856.3080229}, doi = {10.1145/3079856.3080229}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/KolliGSDCNW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KulkarniW17, author = {Jaydeep Kulkarni and Thomas F. Wenisch}, title = {Message from the program co-chairs}, booktitle = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISLPED.2017.8009141}, doi = {10.1109/ISLPED.2017.8009141}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/KulkarniW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/MirhosseiniSSSW17, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Behnaz Soltani and Hamid Sarbazi{-}Azad and Thomas F. Wenisch}, editor = {Axel Jantsch and Hiroki Matsutani and Zhonghai Lu and {\"{U}}mit Y. Ogras}, title = {BiNoCHS: Bimodal Network-on-Chip for {CPU-GPU} Heterogeneous Systems}, booktitle = {Proceedings of the Eleventh {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2017, Seoul, Republic of Korea, October 19 - 20, 2017}, pages = {7:1--7:8}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3130218.3130222}, doi = {10.1145/3130218.3130222}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/MirhosseiniSSSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/HuangMSW17, author = {Jiamin Huang and Barzan Mozafari and Grant Schoenebeck and Thomas F. Wenisch}, editor = {Semih Salihoglu and Wenchao Zhou and Rada Chirkova and Jun Yang and Dan Suciu}, title = {A Top-Down Approach to Achieving Performance Predictability in Database Systems}, booktitle = {Proceedings of the 2017 {ACM} International Conference on Management of Data, {SIGMOD} Conference 2017, Chicago, IL, USA, May 14-19, 2017}, pages = {745--758}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3035918.3064016}, doi = {10.1145/3035918.3064016}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/HuangMSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SriramanLGSW17, author = {Akshitha Sriraman and Sihang Liu and Sinan Gunbay and Shan Su and Thomas F. Wenisch}, title = {Deconstructing the Tail at Scale Effect Across Network Protocols}, journal = {CoRR}, volume = {abs/1701.03100}, year = {2017}, url = {http://arxiv.org/abs/1701.03100}, eprinttype = {arXiv}, eprint = {1701.03100}, timestamp = {Mon, 25 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SriramanLGSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CezeHSW17, author = {Luis Ceze and Mark D. Hill and Karthikeyan Sankaralingam and Thomas F. Wenisch}, title = {Democratizing Design for Future Computing Platforms}, journal = {CoRR}, volume = {abs/1706.08597}, year = {2017}, url = {http://arxiv.org/abs/1706.08597}, eprinttype = {arXiv}, eprint = {1706.08597}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CezeHSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LukefahrPDSDWM16, author = {Andrew Lukefahr and Shruti Padmanabha and Reetuparna Das and Faissal M. Sleiman and Ronald G. Dreslinski and Thomas F. Wenisch and Scott A. Mahlke}, title = {Exploring Fine-Grained Heterogeneity with Composite Cores}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {2}, pages = {535--547}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2419669}, doi = {10.1109/TC.2015.2419669}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LukefahrPDSDWM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/KolliPSCW16, author = {Aasheesh Kolli and Steven Pelley and Ali G. Saidi and Peter M. Chen and Thomas F. Wenisch}, editor = {Tom Conte and Yuanyuan Zhou}, title = {High-Performance Transactions for Persistent Memories}, booktitle = {Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2016, Atlanta, GA, USA, April 2-6, 2016}, pages = {399--411}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2872362.2872381}, doi = {10.1145/2872362.2872381}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/KolliPSCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/AgarwalNEWDK16, author = {Neha Agarwal and David W. Nellans and Eiman Ebrahimi and Thomas F. Wenisch and John Danskin and Stephen W. Keckler}, title = {Selective {GPU} caches to eliminate {CPU-GPU} {HW} cache coherence}, booktitle = {2016 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2016, Barcelona, Spain, March 12-16, 2016}, pages = {494--506}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HPCA.2016.7446089}, doi = {10.1109/HPCA.2016.7446089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/AgarwalNEWDK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/TandonSCW16, author = {Prateek Tandon and Faissal M. Sleiman and Michael J. Cafarella and Thomas F. Wenisch}, title = {{HAWK:} Hardware support for unstructured log processing}, booktitle = {32nd {IEEE} International Conference on Data Engineering, {ICDE} 2016, Helsinki, Finland, May 16-20, 2016}, pages = {469--480}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICDE.2016.7498263}, doi = {10.1109/ICDE.2016.7498263}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/TandonSCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SleimanW16, author = {Faissal M. Sleiman and Thomas F. Wenisch}, title = {Efficiently Scaling Out-of-Order Cores for Simultaneous Multithreading}, booktitle = {43rd {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2016, Seoul, South Korea, June 18-22, 2016}, pages = {431--443}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCA.2016.45}, doi = {10.1109/ISCA.2016.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SleimanW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/GogteKCDW16, author = {Vaibhav Gogte and Aasheesh Kolli and Michael J. Cafarella and Loris D'Antoni and Thomas F. Wenisch}, title = {{HARE:} Hardware accelerator for regular expressions}, booktitle = {49th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2016, Taipei, Taiwan, October 15-19, 2016}, pages = {44:1--44:12}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MICRO.2016.7783747}, doi = {10.1109/MICRO.2016.7783747}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/GogteKCDW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KolliRDSPLCW16, author = {Aasheesh Kolli and Jeff Rosen and Stephan Diestelhorst and Ali G. Saidi and Steven Pelley and Sihang Liu and Peter M. Chen and Thomas F. Wenisch}, title = {Delegated persist ordering}, booktitle = {49th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2016, Taipei, Taiwan, October 15-19, 2016}, pages = {58:1--58:13}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MICRO.2016.7783761}, doi = {10.1109/MICRO.2016.7783761}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KolliRDSPLCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ZhouWSYJKFWC16, author = {Jian Zhou and Siyuan Wei and Richard Sampson and Ming Yang and Rungroj Jintamethasawat and Oliver D. Kripfgans and J. Brian Fowlkes and Thomas F. Wenisch and Chaitali Chakrabarti}, title = {Low Complexity 3D Ultrasound Imaging Using Synthetic Aperture Sequential Beamforming}, booktitle = {2016 {IEEE} International Workshop on Signal Processing Systems, SiPS 2016, Dallas, TX, USA, October 26-28, 2016}, pages = {33--38}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SiPS.2016.14}, doi = {10.1109/SIPS.2016.14}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sips/ZhouWSYJKFWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HuangMW16, author = {Jiamin Huang and Barzan Mozafari and Thomas F. Wenisch}, title = {Identifying the Major Sources of Variance in Transaction Latencies: Towards More Predictable Databases}, journal = {CoRR}, volume = {abs/1602.01871}, year = {2016}, url = {http://arxiv.org/abs/1602.01871}, eprinttype = {arXiv}, eprint = {1602.01871}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HuangMW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HillACIKMTWWY16, author = {Mark D. Hill and Sarita V. Adve and Luis Ceze and Mary Jane Irwin and David R. Kaeli and Margaret Martonosi and Josep Torrellas and Thomas F. Wenisch and David A. Wood and Katherine A. Yelick}, title = {21st Century Computer Architecture}, journal = {CoRR}, volume = {abs/1609.06756}, year = {2016}, url = {http://arxiv.org/abs/1609.06756}, eprinttype = {arXiv}, eprint = {1609.06756}, timestamp = {Mon, 25 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/HillACIKMTWWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CezeHW16, author = {Luis Ceze and Mark D. Hill and Thomas F. Wenisch}, title = {Arch2030: {A} Vision of Computer Architecture Research over the Next 15 Years}, journal = {CoRR}, volume = {abs/1612.03182}, year = {2016}, url = {http://arxiv.org/abs/1612.03182}, eprinttype = {arXiv}, eprint = {1612.03182}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CezeHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/PelleyCW15, author = {Steven Pelley and Peter M. Chen and Thomas F. Wenisch}, title = {Memory Persistency: Semantics for Byte-Addressable Nonvolatile Memory Technologies}, journal = {{IEEE} Micro}, volume = {35}, number = {3}, pages = {125--131}, year = {2015}, url = {https://doi.org/10.1109/MM.2015.46}, doi = {10.1109/MM.2015.46}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/PelleyCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/YangSWWC15, author = {Ming Yang and Richard Sampson and Siyuan Wei and Thomas F. Wenisch and Chaitali Chakrabarti}, title = {Separable Beamforming For 3-D Medical Ultrasound Imaging}, journal = {{IEEE} Trans. Signal Process.}, volume = {63}, number = {2}, pages = {279--290}, year = {2015}, url = {https://doi.org/10.1109/TSP.2014.2371772}, doi = {10.1109/TSP.2014.2371772}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/YangSWWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/YangSWWC15, author = {Ming Yang and Richard Sampson and Siyuan Wei and Thomas F. Wenisch and Chaitali Chakrabarti}, title = {High Frame Rate 3-D Ultrasound Imaging Using Separable Beamforming}, journal = {J. Signal Process. Syst.}, volume = {78}, number = {1}, pages = {73--84}, year = {2015}, url = {https://doi.org/10.1007/s11265-014-0933-9}, doi = {10.1007/S11265-014-0933-9}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/YangSWWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HsuZLMWMTD15, author = {Chang{-}Hong Hsu and Yunqi Zhang and Michael A. Laurenzano and David Meisner and Thomas F. Wenisch and Jason Mars and Lingjia Tang and Ronald G. Dreslinski}, title = {Adrenaline: Pinpointing and reining in tail queries with quick voltage boosting}, booktitle = {21st {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2015, Burlingame, CA, USA, February 7-11, 2015}, pages = {271--282}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/HPCA.2015.7056039}, doi = {10.1109/HPCA.2015.7056039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/HsuZLMWMTD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/AgarwalNOKW15, author = {Neha Agarwal and David W. Nellans and Mike O'Connor and Stephen W. Keckler and Thomas F. Wenisch}, title = {Unlocking bandwidth for GPUs in {CC-NUMA} systems}, booktitle = {21st {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2015, Burlingame, CA, USA, February 7-11, 2015}, pages = {354--365}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/HPCA.2015.7056046}, doi = {10.1109/HPCA.2015.7056046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/AgarwalNOKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/WeiYSKFWC15, author = {Siyuan Wei and Ming Yang and Richard Sampson and Oliver D. Kripfgans and J. Brian Fowlkes and Thomas F. Wenisch and Chaitali Chakrabarti}, title = {Low cost clutter filter for 3D ultrasonic flow estimation}, booktitle = {2015 {IEEE} Workshop on Signal Processing Systems, SiPS 2015, Hangzhou, China, October 14-16, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SiPS.2015.7344977}, doi = {10.1109/SIPS.2015.7344977}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sips/WeiYSKFWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/synthesis/2014Falsafi, author = {Babak Falsafi and Thomas F. Wenisch}, title = {A Primer on Hardware Prefetching}, series = {Synthesis Lectures on Computer Architecture}, publisher = {Morgan {\&} Claypool Publishers}, year = {2014}, url = {https://doi.org/10.2200/S00581ED1V01Y201405CAC028}, doi = {10.2200/S00581ED1V01Y201405CAC028}, isbn = {978-3-031-00615-9}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/synthesis/2014Falsafi.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SampsonYWCW14, author = {Richard Sampson and Ming Yang and Siyuan Wei and Chaitali Chakrabarti and Thomas F. Wenisch}, title = {Sonic Millip3De: An Architecture for Handheld 3D Ultrasound}, journal = {{IEEE} Micro}, volume = {34}, number = {3}, pages = {100--108}, year = {2014}, url = {https://doi.org/10.1109/MM.2014.49}, doi = {10.1109/MM.2014.49}, timestamp = {Fri, 27 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SampsonYWCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LukefahrPDDWM14, author = {Andrew Lukefahr and Shruti Padmanabha and Reetuparna Das and Ronald G. Dreslinski and Thomas F. Wenisch and Scott A. Mahlke}, editor = {Jos{\'{e}} Nelson Amaral and Josep Torrellas}, title = {Heterogeneous microarchitectures trump voltage scaling for low-power cores}, booktitle = {International Conference on Parallel Architectures and Compilation, {PACT} '14, Edmonton, AB, Canada, August 24-27, 2014}, pages = {237--250}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2628071.2628078}, doi = {10.1145/2628071.2628078}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/LukefahrPDDWM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/PelleyCW14, author = {Steven Pelley and Peter M. Chen and Thomas F. Wenisch}, title = {Memory persistency}, booktitle = {{ACM/IEEE} 41st International Symposium on Computer Architecture, {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014}, pages = {265--276}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISCA.2014.6853222}, doi = {10.1109/ISCA.2014.6853222}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/PelleyCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HanssonAKWU14, author = {Andreas Hansson and Neha Agarwal and Aasheesh Kolli and Thomas F. Wenisch and Aniruddha N. Udipi}, title = {Simulating {DRAM} controllers for future system architecture exploration}, booktitle = {2014 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2014, Monterey, CA, USA, March 23-25, 2014}, pages = {201--210}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPASS.2014.6844484}, doi = {10.1109/ISPASS.2014.6844484}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HanssonAKWU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/ChowMFPW14, author = {Michael Chow and David Meisner and Jason Flinn and Daniel Peek and Thomas F. Wenisch}, editor = {Jason Flinn and Hank Levy}, title = {The Mystery Machine: End-to-end Performance Analysis of Large-scale Internet Services}, booktitle = {11th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} '14, Broomfield, CO, USA, October 6-8, 2014}, pages = {217--231}, publisher = {{USENIX} Association}, year = {2014}, url = {https://www.usenix.org/conference/osdi14/technical-sessions/presentation/chow}, timestamp = {Tue, 02 Feb 2021 08:05:58 +0100}, biburl = {https://dblp.org/rec/conf/osdi/ChowMFPW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/WeiYCSWKF14, author = {Siyuan Wei and Ming Yang and Chaitali Chakrabarti and Richard Sampson and Thomas F. Wenisch and Oliver Kripfgans and J. Brian Fowlkes}, title = {A low complexity scheme for accurate 3D velocity estimation in ultrasound systems}, booktitle = {2014 {IEEE} Workshop on Signal Processing Systems, SiPS 2014, Belfast, United Kingdom, October 20-22, 2014}, pages = {85--90}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SiPS.2014.6986067}, doi = {10.1109/SIPS.2014.6986067}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/WeiYCSWKF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/RaghavanLCPPWM13, author = {Arun Raghavan and Yixin Luo and Anuj Chandawalla and Marios C. Papaefthymiou and Kevin P. Pipe and Thomas F. Wenisch and Milo M. K. Martin}, title = {Designing for Responsiveness with Computational Sprinting}, journal = {{IEEE} Micro}, volume = {33}, number = {3}, pages = {8--15}, year = {2013}, url = {https://doi.org/10.1109/MM.2013.51}, doi = {10.1109/MM.2013.51}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/RaghavanLCPPWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/RaghavanESPPWM13, author = {Arun Raghavan and Laurel Emurian and Lei Shao and Marios C. Papaefthymiou and Kevin P. Pipe and Thomas F. Wenisch and Milo M. K. Martin}, title = {Utilizing Dark Silicon to Save Energy with Computational Sprinting}, journal = {{IEEE} Micro}, volume = {33}, number = {5}, pages = {20--28}, year = {2013}, url = {https://doi.org/10.1109/MM.2013.76}, doi = {10.1109/MM.2013.76}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/RaghavanESPPWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/PelleyWGB13, author = {Steven Pelley and Thomas F. Wenisch and Brian T. Gold and Bill Bridge}, title = {Storage Management in the {NVRAM} Era}, journal = {Proc. {VLDB} Endow.}, volume = {7}, number = {2}, pages = {121--132}, year = {2013}, url = {http://www.vldb.org/pvldb/vol7/p121-pelley.pdf}, doi = {10.14778/2732228.2732231}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/PelleyWGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/RaghavanESPPWM13, author = {Arun Raghavan and Laurel Emurian and Lei Shao and Marios C. Papaefthymiou and Kevin P. Pipe and Thomas F. Wenisch and Milo M. K. Martin}, editor = {Vivek Sarkar and Rastislav Bod{\'{\i}}k}, title = {Computational sprinting on a hardware/software testbed}, booktitle = {Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2013, Houston, TX, USA, March 16-20, 2013}, pages = {155--166}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2451116.2451135}, doi = {10.1145/2451116.2451135}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/RaghavanESPPWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SampsonYWCW13, author = {Richard Sampson and Ming Yang and Siyuan Wei and Chaitali Chakrabarti and Thomas F. Wenisch}, title = {Sonic Millip3De: {A} massively parallel 3D-stacked accelerator for 3D ultrasound}, booktitle = {19th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2013, Shenzhen, China, February 23-27, 2013}, pages = {318--329}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/HPCA.2013.6522329}, doi = {10.1109/HPCA.2013.6522329}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SampsonYWCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/TandonCW13, author = {Prateek Tandon and Michael J. Cafarella and Thomas F. Wenisch}, title = {Minimizing Remote Accesses in MapReduce Clusters}, booktitle = {2013 {IEEE} International Symposium on Parallel {\&} Distributed Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24, 2013}, pages = {1928--1936}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IPDPSW.2013.195}, doi = {10.1109/IPDPSW.2013.195}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/TandonCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LimMSRW13, author = {Kevin T. Lim and David Meisner and Ali G. Saidi and Parthasarathy Ranganathan and Thomas F. Wenisch}, editor = {Avi Mendelson}, title = {Thin servers with smart pipes: designing SoC accelerators for memcached}, booktitle = {The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013}, pages = {36--47}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2485922.2485926}, doi = {10.1145/2485922.2485926}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/LimMSRW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/TandonQCRDW13, author = {Prateek Tandon and Vahed Qazvinian and Jichuan Chang and Parthasarathy Ranganathan and Ronald G. Dreslinski and Thomas F. Wenisch}, editor = {Pai H. Chou and Ru Huang and Yuan Xie and Tanay Karnik}, title = {Hardware acceleration for similarity measurement in natural language processing}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}, pages = {409--414}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISLPED.2013.6629333}, doi = {10.1109/ISLPED.2013.6629333}, timestamp = {Thu, 06 Jun 2024 10:53:08 +0200}, biburl = {https://dblp.org/rec/conf/islped/TandonQCRDW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KolliSW13, author = {Aasheesh Kolli and Ali G. Saidi and Thomas F. Wenisch}, editor = {Matthew K. Farrens and Christos Kozyrakis}, title = {{RDIP:} return-address-stack directed instruction prefetching}, booktitle = {The 46th Annual {IEEE/ACM} International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7-11, 2013}, pages = {260--271}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2540708.2540731}, doi = {10.1145/2540708.2540731}, timestamp = {Wed, 11 Aug 2021 11:51:26 +0200}, biburl = {https://dblp.org/rec/conf/micro/KolliSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/YangSWC13, author = {Ming Yang and Richard Sampson and Thomas F. Wenisch and Chaitali Chakrabarti}, title = {Separable beamforming for 3-D synthetic aperture ultrasound imaging}, booktitle = {{IEEE} Workshop on Signal Processing Systems, SiPS 2013, Taipei City, Taiwan, October 16-18, 2013}, pages = {207--212}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SiPS.2013.6674506}, doi = {10.1109/SIPS.2013.6674506}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/YangSWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/SewellDMSPBCDWSBM12, author = {Korey Sewell and Ronald G. Dreslinski and Thomas Manville and Sudhir Satpathy and Nathaniel Ross Pinckney and Geoffrey Blake and Michael Cieslak and Reetuparna Das and Thomas F. Wenisch and Dennis Sylvester and David T. Blaauw and Trevor N. Mudge}, title = {Swizzle-Switch Networks for Many-Core Systems}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {2}, number = {2}, pages = {278--294}, year = {2012}, url = {https://doi.org/10.1109/JETCAS.2012.2193936}, doi = {10.1109/JETCAS.2012.2193936}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/SewellDMSPBCDWSBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/DengRBMW12, author = {Qingyuan Deng and Luiz E. Ramos and Ricardo Bianchini and David Meisner and Thomas F. Wenisch}, title = {Active Low-Power Modes for Main Memory with MemScale}, journal = {{IEEE} Micro}, volume = {32}, number = {3}, pages = {60--69}, year = {2012}, url = {https://doi.org/10.1109/MM.2012.21}, doi = {10.1109/MM.2012.21}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/DengRBMW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/WenischB12, author = {Thomas F. Wenisch and Alper Buyuktosunoglu}, title = {Energy-Aware Computing}, journal = {{IEEE} Micro}, volume = {32}, number = {5}, pages = {6--8}, year = {2012}, url = {https://doi.org/10.1109/MM.2012.78}, doi = {10.1109/MM.2012.78}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/WenischB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MeisnerW12, author = {David Meisner and Thomas F. Wenisch}, editor = {Tim Harris and Michael L. Scott}, title = {DreamWeaver: architectural support for deep sleep}, booktitle = {Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2012, London, UK, March 3-7, 2012}, pages = {313--324}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2150976.2151009}, doi = {10.1145/2150976.2151009}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/MeisnerW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DreslinskiSMSPB12, author = {Ronald G. Dreslinski and Korey Sewell and Thomas Manville and Sudhir Satpathy and Nathaniel Ross Pinckney and Geoffrey Blake and Michael Cieslak and Reetuparna Das and Thomas F. Wenisch and Dennis Sylvester and David T. Blaauw and Trevor N. Mudge}, title = {Swizzle Switch: {A} self-arbitrating high-radix crossbar for NoC systems}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476481}, doi = {10.1109/HOTCHIPS.2012.7476481}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/DreslinskiSMSPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LimTSACRW12, author = {Kevin T. Lim and Yoshio Turner and Jose Renato Santos and Alvin AuYoung and Jichuan Chang and Parthasarathy Ranganathan and Thomas F. Wenisch}, title = {System-level implications of disaggregated memory}, booktitle = {18th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2012, New Orleans, LA, USA, 25-29 February, 2012}, pages = {189--200}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCA.2012.6168955}, doi = {10.1109/HPCA.2012.6168955}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/LimTSACRW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/RaghavanLCPPWM12, author = {Arun Raghavan and Yixin Luo and Anuj Chandawalla and Marios C. Papaefthymiou and Kevin P. Pipe and Thomas F. Wenisch and Milo M. K. Martin}, title = {Computational sprinting}, booktitle = {18th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2012, New Orleans, LA, USA, 25-29 February, 2012}, pages = {249--260}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCA.2012.6169031}, doi = {10.1109/HPCA.2012.6169031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/RaghavanLCPPWM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SleimanDW12, author = {Faissal M. Sleiman and Ronald G. Dreslinski and Thomas F. Wenisch}, title = {Embedded way prediction for last-level caches}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {167--174}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378636}, doi = {10.1109/ICCD.2012.6378636}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SleimanDW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DengMBWB12, author = {Qingyuan Deng and David Meisner and Abhishek Bhattacharjee and Thomas F. Wenisch and Ricardo Bianchini}, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {MultiScale: memory system {DVFS} with multiple memory controllers}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, pages = {297--302}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2333660.2333727}, doi = {10.1145/2333660.2333727}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/DengMBWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MeisnerWW12, author = {David Meisner and Junjie Wu and Thomas F. Wenisch}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {BigHouse: {A} simulation infrastructure for data center systems}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {35--45}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189204}, doi = {10.1109/ISPASS.2012.6189204}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MeisnerWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/DengMBWB12, author = {Qingyuan Deng and David Meisner and Abhishek Bhattacharjee and Thomas F. Wenisch and Ricardo Bianchini}, title = {CoScale: Coordinating {CPU} and Memory System {DVFS} in Server Systems}, booktitle = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012}, pages = {143--154}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MICRO.2012.22}, doi = {10.1109/MICRO.2012.22}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/DengMBWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LukefahrPDSDWM12, author = {Andrew Lukefahr and Shruti Padmanabha and Reetuparna Das and Faissal M. Sleiman and Ronald G. Dreslinski and Thomas F. Wenisch and Scott A. Mahlke}, title = {Composite Cores: Pushing Heterogeneity Into a Core}, booktitle = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012}, pages = {317--328}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MICRO.2012.37}, doi = {10.1109/MICRO.2012.37}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/LukefahrPDSDWM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/osdi/2012hotpower, editor = {Mani Srivastava and Thomas F. Wenisch}, title = {2012 Workshop on Power-Aware Computing Systems, HotPower'12, Hollywood, CA, USA, October 7, 2012}, publisher = {{USENIX} Association}, year = {2012}, url = {https://www.usenix.org/conference/hotpower12}, timestamp = {Fri, 08 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/osdi/2012hotpower.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jilp/SomogyiWFF11, author = {Stephen Somogyi and Thomas F. Wenisch and Michael Ferdman and Babak Falsafi}, title = {Spatial Memory Streaming}, journal = {J. Instr. Level Parallelism}, volume = {13}, year = {2011}, url = {http://www.jilp.org/vol13/v13paper8.pdf}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jilp/SomogyiWFF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/MeisnerGW11, author = {David Meisner and Brian T. Gold and Thomas F. Wenisch}, title = {The PowerNap Server Architecture}, journal = {{ACM} Trans. Comput. Syst.}, volume = {29}, number = {1}, pages = {3:1--3:24}, year = {2011}, url = {https://doi.org/10.1145/1925109.1925112}, doi = {10.1145/1925109.1925112}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/MeisnerGW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/DengMRWB11, author = {Qingyuan Deng and David Meisner and Luiz E. Ramos and Thomas F. Wenisch and Ricardo Bianchini}, editor = {Rajiv Gupta and Todd C. Mowry}, title = {MemScale: active low-power modes for main memory}, booktitle = {Proceedings of the 16th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2011, Newport Beach, CA, USA, March 5-11, 2011}, pages = {225--238}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1950365.1950392}, doi = {10.1145/1950365.1950392}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/DengMRWB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GutierrezDWMSEP11, author = {Anthony Gutierrez and Ronald G. Dreslinski and Thomas F. Wenisch and Trevor N. Mudge and Ali G. Saidi and Christopher D. Emmons and Nigel C. Paver}, title = {Full-system analysis and characterization of interactive smartphone applications}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {81--90}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114205}, doi = {10.1109/IISWC.2011.6114205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GutierrezDWMSEP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/MeisnerSBWW11, author = {David Meisner and Christopher M. Sadler and Luiz Andr{\'{e}} Barroso and Wolf{-}Dietrich Weber and Thomas F. Wenisch}, editor = {Ravi R. Iyer and Qing Yang and Antonio Gonz{\'{a}}lez}, title = {Power management of online data-intensive services}, booktitle = {38th International Symposium on Computer Architecture {(ISCA} 2011), June 4-8, 2011, San Jose, CA, {USA}}, pages = {319--330}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2000064.2000103}, doi = {10.1145/2000064.2000103}, timestamp = {Mon, 15 May 2023 22:11:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/MeisnerSBWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MeisnerW11, author = {David Meisner and Thomas F. Wenisch}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {Does low-power design imply energy efficiency for data centers?}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {109--114}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016833\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/MeisnerW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MeisnerWW11, author = {David Meisner and Junjie Wu and Thomas F. Wenisch}, title = {Towards a scalable data center-level evaluation methodology}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {121--122}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762723}, doi = {10.1109/ISPASS.2011.5762723}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MeisnerWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/PelleyLW11, author = {Steven Pelley and Kristen LeFevre and Thomas F. Wenisch}, editor = {Rajesh Bordawekar and Christian A. Lang}, title = {Do Query Optimizers Need to be SSD-aware?}, booktitle = {International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures - {ADMS} 2011, Seattle, WA, USA, September 2, 2011}, pages = {44--51}, year = {2011}, url = {http://www.adms-conf.org/p44-PELLEY.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vldb/PelleyLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/WenischFAFM10, author = {Thomas F. Wenisch and Michael Ferdman and Anastasia Ailamaki and Babak Falsafi and Andreas Moshovos}, title = {Making Address-Correlated Prefetching Practical}, journal = {{IEEE} Micro}, volume = {30}, number = {1}, pages = {50--59}, year = {2010}, url = {https://doi.org/10.1109/MM.2010.21}, doi = {10.1109/MM.2010.21}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/WenischFAFM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/PelleyMZWU10, author = {Steven Pelley and David Meisner and Pooya Zandevakili and Thomas F. Wenisch and Jack Underwood}, editor = {James C. Hoe and Vikram S. Adve}, title = {Power routing: dynamic power provisioning in the data center}, booktitle = {Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010}, pages = {231--242}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1736020.1736047}, doi = {10.1145/1736020.1736047}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/PelleyMZWU10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MeisnerW10, author = {David Meisner and Thomas F. Wenisch}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Peak power modeling for data center servers with switched-mode power supplies}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {319--324}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840911}, doi = {10.1145/1840845.1840911}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/MeisnerW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MeisnerGW09, author = {David Meisner and Brian T. Gold and Thomas F. Wenisch}, editor = {Mary Lou Soffa and Mary Jane Irwin}, title = {PowerNap: eliminating server idle power}, booktitle = {Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2009, Washington, DC, USA, March 7-11, 2009}, pages = {205--216}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1508244.1508269}, doi = {10.1145/1508244.1508269}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/MeisnerGW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/WenischFAFM09, author = {Thomas F. Wenisch and Michael Ferdman and Anastasia Ailamaki and Babak Falsafi and Andreas Moshovos}, title = {Practical off-chip meta-data for temporal memory streaming}, booktitle = {15th International Conference on High-Performance Computer Architecture {(HPCA-15} 2009), 14-18 February 2009, Raleigh, North Carolina, {USA}}, pages = {79--90}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HPCA.2009.4798239}, doi = {10.1109/HPCA.2009.4798239}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/hpca/WenischFAFM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SomogyiWAF09, author = {Stephen Somogyi and Thomas F. Wenisch and Anastasia Ailamaki and Babak Falsafi}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {Spatio-temporal memory streaming}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {69--80}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555766}, doi = {10.1145/1555754.1555766}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/SomogyiWAF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BlundellMW09, author = {Colin Blundell and Milo M. K. Martin and Thomas F. Wenisch}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {InvisiFence: performance-transparent memory ordering in conventional multiprocessors}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {233--244}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555785}, doi = {10.1145/1555754.1555785}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/BlundellMW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LimCMRRW09, author = {Kevin T. Lim and Jichuan Chang and Trevor N. Mudge and Parthasarathy Ranganathan and Steven K. Reinhardt and Thomas F. Wenisch}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {Disaggregated memory for expansion and sharing in blade servers}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {267--278}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555789}, doi = {10.1145/1555754.1555789}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/LimCMRRW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Wenisch09, author = {Thomas F. Wenisch}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {Thinking outside the box: power management at the system level {\&} beyond}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {151--152}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594269}, doi = {10.1145/1594233.1594269}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Wenisch09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WenischFAFM08, author = {Thomas F. Wenisch and Michael Ferdman and Anastasia Ailamaki and Babak Falsafi and Andreas Moshovos}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Temporal streams in commercial server applications}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {99--108}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636095}, doi = {10.1109/IISWC.2008.4636095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/WenischFAFM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FerdmanWAFM08, author = {Michael Ferdman and Thomas F. Wenisch and Anastasia Ailamaki and Babak Falsafi and Andreas Moshovos}, title = {Temporal instruction fetch streaming}, booktitle = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MICRO.2008.4771774}, doi = {10.1109/MICRO.2008.4771774}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/FerdmanWAFM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WenischAFM07, author = {Thomas F. Wenisch and Anastassia Ailamaki and Babak Falsafi and Andreas Moshovos}, editor = {Dean M. Tullsen and Brad Calder}, title = {Mechanisms for store-wait-free multiprocessors}, booktitle = {34th International Symposium on Computer Architecture {(ISCA} 2007), June 9-13, 2007, San Diego, California, {USA}}, pages = {266--277}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1250662.1250696}, doi = {10.1145/1250662.1250696}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/WenischAFM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/WenischWFAFH06, author = {Thomas F. Wenisch and Roland E. Wunderlich and Michael Ferdman and Anastassia Ailamaki and Babak Falsafi and James C. Hoe}, title = {SimFlex: Statistical Sampling of Computer System Simulation}, journal = {{IEEE} Micro}, volume = {26}, number = {4}, pages = {18--31}, year = {2006}, url = {https://doi.org/10.1109/MM.2006.79}, doi = {10.1109/MM.2006.79}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/WenischWFAFH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomacs/WunderlichWFH06, author = {Roland E. Wunderlich and Thomas F. Wenisch and Babak Falsafi and James C. Hoe}, title = {Statistical sampling of microarchitecture simulation}, journal = {{ACM} Trans. Model. Comput. Simul.}, volume = {16}, number = {3}, pages = {197--224}, year = {2006}, url = {https://doi.org/10.1145/1147224.1147225}, doi = {10.1145/1147224.1147225}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tomacs/WunderlichWFH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WenischWFH06, author = {Thomas F. Wenisch and Roland E. Wunderlich and Babak Falsafi and James C. Hoe}, title = {Statistical sampling of microarchitecture simulation}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639584}, doi = {10.1109/IPDPS.2006.1639584}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/WenischWFH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SomogyiWAFM06, author = {Stephen Somogyi and Thomas F. Wenisch and Anastassia Ailamaki and Babak Falsafi and Andreas Moshovos}, title = {Spatial Memory Streaming}, booktitle = {33rd International Symposium on Computer Architecture {(ISCA} 2006), June 17-21, 2006, Boston, MA, {USA}}, pages = {252--263}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISCA.2006.38}, doi = {10.1109/ISCA.2006.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SomogyiWAFM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WenischWFH06, author = {Thomas F. Wenisch and Roland E. Wunderlich and Babak Falsafi and James C. Hoe}, title = {Simulation sampling with live-points}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {2--12}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620785}, doi = {10.1109/ISPASS.2006.1620785}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WenischWFH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/WenischSHKGAF05, author = {Thomas F. Wenisch and Stephen Somogyi and Nikolaos Hardavellas and Jangwoo Kim and Chris Gniady and Anastassia Ailamaki and Babak Falsafi}, title = {Store-Ordered Streaming of Shared Memory}, booktitle = {14th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2005), 17-21 September 2005, St. Louis, MO, {USA}}, pages = {75--86}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/PACT.2005.37}, doi = {10.1109/PACT.2005.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/WenischSHKGAF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WenischSHKAF05, author = {Thomas F. Wenisch and Stephen Somogyi and Nikolaos Hardavellas and Jangwoo Kim and Anastassia Ailamaki and Babak Falsafi}, title = {Temporal Streaming of Shared Memory}, booktitle = {32st International Symposium on Computer Architecture {(ISCA} 2005), 4-8 June 2005, Madison, Wisconsin, {USA}}, pages = {222--233}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISCA.2005.50}, doi = {10.1109/ISCA.2005.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/WenischSHKAF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/WenischWFH05, author = {Thomas F. Wenisch and Roland E. Wunderlich and Babak Falsafi and James C. Hoe}, editor = {Derek L. Eager and Carey L. Williamson and Sem C. Borst and John C. S. Lui}, title = {TurboSMARTS: accurate microarchitecture simulation sampling in minutes}, booktitle = {Proceedings of the International Conference on Measurements and Modeling of Computer Systems, {SIGMETRICS} 2005, June 6-10, 2005, Banff, Alberta, Canada}, pages = {408--409}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1064212.1064278}, doi = {10.1145/1064212.1064278}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/WenischWFH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmetrics/HardavellasSWWCKFHN04, author = {Nikolaos Hardavellas and Stephen Somogyi and Thomas F. Wenisch and Roland E. Wunderlich and Shelley Chen and Jangwoo Kim and Babak Falsafi and James C. Hoe and Andreas Nowatzyk}, title = {SimFlex: a fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture}, journal = {{SIGMETRICS} Perform. Evaluation Rev.}, volume = {31}, number = {4}, pages = {31--34}, year = {2004}, url = {https://doi.org/10.1145/1054907.1054914}, doi = {10.1145/1054907.1054914}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/HardavellasSWWCKFHN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmpi/SomogyiWHKAF04, author = {Stephen Somogyi and Thomas F. Wenisch and Nikolaos Hardavellas and Jangwoo Kim and Anastassia Ailamaki and Babak Falsafi}, editor = {John B. Carter and Lixin Zhang}, title = {Memory coherence activity prediction in commercial workloads}, booktitle = {Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004}, pages = {37--45}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1054943.1054949}, doi = {10.1145/1054943.1054949}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmpi/SomogyiWHKAF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WunderlichWFH03, author = {Roland E. Wunderlich and Thomas F. Wenisch and Babak Falsafi and James C. Hoe}, editor = {Allan Gottlieb and Kai Li}, title = {{SMARTS:} Accelerating Microarchitecture Simulation via Rigorous Statistical Sampling}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {84--95}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISCA.2003.1206991}, doi = {10.1109/ISCA.2003.1206991}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/WunderlichWFH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.