BibTeX records: Aaron Thean

download as .bib file

@article{DBLP:journals/tnn/VeluriCLTT23,
  author       = {Hasita Veluri and
                  Umesh Chand and
                  Yida Li and
                  Baoshan Tang and
                  Aaron Voon{-}Yew Thean},
  title        = {A Low-Power {DNN} Accelerator Enabled by a Novel Staircase {RRAM}
                  Array},
  journal      = {{IEEE} Trans. Neural Networks Learn. Syst.},
  volume       = {34},
  number       = {8},
  pages        = {4416--4427},
  year         = {2023},
  url          = {https://doi.org/10.1109/TNNLS.2021.3118451},
  doi          = {10.1109/TNNLS.2021.3118451},
  timestamp    = {Fri, 18 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tnn/VeluriCLTT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/ZhouPSTS23,
  author       = {Bangjian Zhou and
                  Jieming Pan and
                  Maheswari Sivan and
                  Aaron Voon{-}Yew Thean and
                  J. Senthilnath},
  title        = {Quantile Online Learning for Semiconductor Failure Analysis},
  booktitle    = {{IEEE} International Conference on Acoustics, Speech and Signal Processing
                  {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICASSP49357.2023.10097116},
  doi          = {10.1109/ICASSP49357.2023.10097116},
  timestamp    = {Sun, 05 Nov 2023 16:51:21 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/ZhouPSTS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsit/HoodaCLTZT23,
  author       = {Sonu Hooda and
                  Chun{-}Kuei Chen and
                  Manohar Lal and
                  Shih{-}Hao Tsai and
                  Evgeny Zamburg and
                  Aaron Voon{-}Yew Thean},
  title        = {Overcoming Negative nFET {VTH} by Defect-Compensated Low-Thermal Budget
                  {ITO-IGZO} Hetero-Oxide Channel to Achieve Record Mobility and Enhancement-mode
                  Operation},
  booktitle    = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology
                  and Circuits), Kyoto, Japan, June 11-16, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185266},
  doi          = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185266},
  timestamp    = {Fri, 28 Jul 2023 10:40:41 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsit/HoodaCLTZT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2303-07062,
  author       = {Bangjian Zhou and
                  Jieming Pan and
                  Maheswari Sivan and
                  Aaron Voon{-}Yew Thean and
                  J. Senthilnath},
  title        = {Quantile Online Learning for Semiconductor Failure Analysis},
  journal      = {CoRR},
  volume       = {abs/2303.07062},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2303.07062},
  doi          = {10.48550/ARXIV.2303.07062},
  eprinttype    = {arXiv},
  eprint       = {2303.07062},
  timestamp    = {Thu, 16 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2303-07062.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/kbs/FerdausZYLPGWLT22,
  author       = {Md Meftahul Ferdaus and
                  Bangjian Zhou and
                  Ji Wei Yoon and
                  Kain Lu Low and
                  Jieming Pan and
                  Joydeep Ghosh and
                  Min Wu and
                  Xiaoli Li and
                  Aaron Voon{-}Yew Thean and
                  J. Senthilnath},
  title        = {Significance of activation functions in developing an online classifier
                  for semiconductor defect detection},
  journal      = {Knowl. Based Syst.},
  volume       = {248},
  pages        = {108818},
  year         = {2022},
  url          = {https://doi.org/10.1016/j.knosys.2022.108818},
  doi          = {10.1016/J.KNOSYS.2022.108818},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/kbs/FerdausZYLPGWLT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsit/ChandALCHTFVT22,
  author       = {Umesh Chand and
                  Mohamed M. Sabry Aly and
                  Manohar Lal and
                  Chen Chun{-}Kuei and
                  Sonu Hooda and
                  Shih{-}Hao Tsai and
                  Zihang Fang and
                  Hasita Veluri and
                  Aaron Voon{-}Yew Thean},
  title        = {Sub-10nm Ultra-thin ZnO Channel {FET} with Record-High 561 {\(\mathrm{\mu}\)}A/{\(\mathrm{\mu}\)}m
                  {ION} at {VDS} 1V, High {\(\mathrm{\mu}\)}-84 cm\({}^{\mbox{2}}\)/V-s
                  and1T-1RRAM Memory Cell Demonstration Memory Implications for Energy-Efficient
                  Deep-Learning Computing},
  booktitle    = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology
                  and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022},
  pages        = {326--327},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830250},
  doi          = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830250},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsit/ChandALCHTFVT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsit/VenkatesanLGPMM22,
  author       = {Suresh Venkatesan and
                  James Lee and
                  Simon Chun Kiat Goh and
                  Brian Pile and
                  Daniel Meerovich and
                  Jinyu Mo and
                  Yang Jing and
                  Lucas Soldano and
                  Baochang Xu and
                  Yu Zhang and
                  Aaron Voon{-}Yew Thean and
                  Yeow Kheng Lim},
  title        = {A Wafer Scale Hybrid Integration Platform for Co-packaged Photonics
                  using a {CMOS} based Optical Interposer\({}^{\mbox{TM}}\)},
  booktitle    = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology
                  and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022},
  pages        = {381--382},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830432},
  doi          = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830432},
  timestamp    = {Thu, 04 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsit/VenkatesanLGPMM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iotj/VeluriLNZT21,
  author       = {Hasita Veluri and
                  Yida Li and
                  Jessie Xuhua Niu and
                  Evgeny Zamburg and
                  Aaron Voon{-}Yew Thean},
  title        = {High-Throughput, Area-Efficient, and Variation-Tolerant 3-D In-Memory
                  Compute System for Deep Convolutional Neural Networks},
  journal      = {{IEEE} Internet Things J.},
  volume       = {8},
  number       = {11},
  pages        = {9219--9232},
  year         = {2021},
  url          = {https://doi.org/10.1109/JIOT.2021.3058015},
  doi          = {10.1109/JIOT.2021.3058015},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iotj/VeluriLNZT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LuoLTH21,
  author       = {Yuxuan Luo and
                  Yida Li and
                  Aaron Voon{-}Yew Thean and
                  Chun{-}Huat Heng},
  title        = {A 70-{\(\mu\)}W 1.35-mm\({}^{\mbox{2}}\) Wireless Sensor With 32 Channels
                  of Resistive and Capacitive Sensors and Edge-Encoded {PWM} {UWB} Transceiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {56},
  number       = {7},
  pages        = {2065--2076},
  year         = {2021},
  url          = {https://doi.org/10.1109/JSSC.2020.3030995},
  doi          = {10.1109/JSSC.2020.3030995},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LuoLTH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LuoLTH20,
  author       = {Yuxuan Luo and
                  Yida Li and
                  Aaron Voon{-}Yew Thean and
                  Chun{-}Huat Heng},
  title        = {An 8.2- {\textdollar}{\textbackslash}mu{\textdollar} {W} 0.14-mm\({}^{\mbox{2}}\)
                  16-Channel CDMA-Like Capacitance-to-Digital Converter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {5},
  pages        = {1361--1373},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2019.2949232},
  doi          = {10.1109/JSSC.2019.2949232},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LuoLTH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/XiongLTH20,
  author       = {Bo Xiong and
                  Yida Li and
                  Aaron Voon{-}Yew Thean and
                  Chun{-}Huat Heng},
  title        = {A {\textdollar}7{\textbackslash}times7{\textbackslash}times2{\textdollar}
                  mm\({}^{\mbox{3}}\) 8.6- {\textdollar}{\textbackslash}mu{\textdollar}
                  {W} 500-kb/s Transmitter With Robust Injection-Locking-Based Frequency-to-Amplitude
                  Conversion Receiver Targeting for Implantable Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {6},
  pages        = {1698--1708},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2019.2961855},
  doi          = {10.1109/JSSC.2019.2961855},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/XiongLTH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/PanLLTHT20,
  author       = {Jieming Pan and
                  Yuxuan Luo and
                  Yida Li and
                  Chen{-}Khong Tham and
                  Chun{-}Huat Heng and
                  Aaron Voon{-}Yew Thean},
  title        = {A Wireless Multi-Channel Capacitive Sensor System for Efficient Glove-Based
                  Gesture Recognition With {AI} at the Edge},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {67-II},
  number       = {9},
  pages        = {1624--1628},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSII.2020.3010318},
  doi          = {10.1109/TCSII.2020.3010318},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/PanLLTHT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/FelfelDDVZTA20,
  author       = {Abdallah M. Felfel and
                  Kamalika Datta and
                  Arko Dutt and
                  Hasita Veluri and
                  Ahmed Zaky and
                  Aaron Voon{-}Yew Thean and
                  Mohamed M. Sabry Aly},
  title        = {Quantifying the Benefits of Monolithic 3D Computing Systems Enabled
                  by {TFT} and {RRAM}},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {43--48},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116410},
  doi          = {10.23919/DATE48585.2020.9116410},
  timestamp    = {Thu, 25 Jun 2020 12:55:44 +0200},
  biburl       = {https://dblp.org/rec/conf/date/FelfelDDVZTA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DattaDZCSLHTA20,
  author       = {Kamalika Datta and
                  Arko Dutt and
                  Ahmed Zaky and
                  Umesh Chand and
                  Devendra Singh and
                  Yida Li and
                  Jackson Chun{-}Yang Huang and
                  Aaron Thean and
                  Mohamed M. Sabry Aly},
  title        = {Fledge: Flexible Edge Platforms Enabled by In-memory Computing},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1181--1186},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116423},
  doi          = {10.23919/DATE48585.2020.9116423},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DattaDZCSLHTA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ieeesensors/PanLLZYWNTT20,
  author       = {Jieming Pan and
                  Yida Li and
                  Yuxuan Luo and
                  Xiangyu Zhang and
                  Zaifeng Yang and
                  David Liang Tai Wong and
                  Jessie Xuhua Niu and
                  Chen{-}Khong Tham and
                  Aaron Voon{-}Yew Thean},
  title        = {Seal Integrity Testing Utilizing Non-Destructive Capacitive Sensing
                  for Product Packaging Assurance},
  booktitle    = {2020 {IEEE} Sensors, Rotterdam, The Netherlands, October 25-28, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SENSORS47125.2020.9278879},
  doi          = {10.1109/SENSORS47125.2020.9278879},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ieeesensors/PanLLZYWNTT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/LuoLTH20,
  author       = {Yuxuan Luo and
                  Yida Li and
                  Aaron Voon{-}Yew Thean and
                  Chun{-}Huat Heng},
  title        = {23.2 {A} 70{\(\mathrm{\mu}\)}W 1.19mm\({}^{\mbox{2}}\) Wireless Sensor
                  with 32 Channels of Resistive and Capacitive Sensors and Edge-Encoded
                  {PWM} {UWB} Transceiver},
  booktitle    = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC}
                  2020, San Francisco, CA, USA, February 16-20, 2020},
  pages        = {346--348},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISSCC19947.2020.9063079},
  doi          = {10.1109/ISSCC19947.2020.9063079},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/LuoLTH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/XiongLTH19,
  author       = {Bo Xiong and
                  Yida Li and
                  Aaron Voon{-}Yew Thean and
                  Chun{-}Huat Heng},
  title        = {A 7{\texttimes}7{\texttimes}2mm\({}^{\mbox{3}}\) 8.6-{\(\mu\)} 500-kb/s
                  Transmitter with Robust Injection-Locking Based Frequency-to-Amplitude
                  Conversion Receiver Targeting for Implantable Applications},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2019, Austin,
                  TX, USA, April 14-17, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CICC.2019.8780226},
  doi          = {10.1109/CICC.2019.8780226},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/XiongLTH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/NiuVLCLZST19,
  author       = {Jessie Xuhua Niu and
                  Hasita Veluri and
                  Yida Li and
                  Umesh Chand and
                  Jin Feng Leong and
                  Evgeny Zamburg and
                  Maheswari Sivan and
                  Aaron Voon{-}Yew Thean},
  title        = {Design of Artificial Spiking Neuron with SiO2 Memristive Synapse to
                  Demonstrate Neuron-Level Spike Timing Dependent Plasticity},
  booktitle    = {International Conference on {IC} Design and Technology, {ICICDT} 2019,
                  Suzhou, China, June 17-19, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICICDT.2019.8790886},
  doi          = {10.1109/ICICDT.2019.8790886},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/NiuVLCLZST19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BaoRTMVTW17,
  author       = {Trong Huynh Bao and
                  Julien Ryckaert and
                  Zsolt Tokei and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Voon{-}Yew Thean and
                  Piet Wambacq},
  title        = {Statistical Timing Analysis Considering Device and Interconnect Variability
                  for {BEOL} Requirements in the 5-nm Node and Beyond},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {5},
  pages        = {1669--1680},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2647853},
  doi          = {10.1109/TVLSI.2017.2647853},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BaoRTMVTW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/AgarwalRRFTHD16,
  author       = {Tarun Agarwal and
                  Iuliana P. Radu and
                  Praveen Raghavan and
                  Gianluca Fiori and
                  Aaron Thean and
                  Marc M. Heyns and
                  Wim Dehaene},
  title        = {Effect of material parameters on two-dimensional materials based TFETs:
                  An energy-delay perspective},
  booktitle    = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State
                  Circuits Conference, Lausanne, Switzerland, September 12-15, 2016},
  pages        = {55--58},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ESSCIRC.2016.7598241},
  doi          = {10.1109/ESSCIRC.2016.7598241},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/AgarwalRRFTHD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/ChiarellaKRRHEK16,
  author       = {Thomas Chiarella and
                  Stefan Kubicek and
                  E. Rosseel and
                  Romain Ritzenthaler and
                  Andriy Hikavyy and
                  P. Eyben and
                  An De Keersgieter and
                  L.{-}{\AA}. Ragnarsson and
                  M.{-}S. Kim and
                  S.{-}A. Chew and
                  Tom Schram and
                  S. Demuynck and
                  Miroslav Cup{\'{a}}k and
                  Luc Rijnders and
                  Morin Dehan and
                  Naoto Horiguchi and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Dan Mocuta and
                  Anda Mocuta and
                  Aaron Voon{-}Yew Thean},
  title        = {Towards high performance sub-10nm finW bulk FinFET technology},
  booktitle    = {46th European Solid-State Device Research Conference, {ESSDERC} 2016,
                  Lausanne, Switzerland, September 12-15, 2016},
  pages        = {131--134},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ESSDERC.2016.7599605},
  doi          = {10.1109/ESSDERC.2016.7599605},
  timestamp    = {Fri, 28 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/ChiarellaKRRHEK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/VerreckVSCMTG16,
  author       = {Devin Verreck and
                  Anne S. Verhulst and
                  Bart Soree and
                  Nadine Collaert and
                  Anda Mocuta and
                  Aaron Thean and
                  Guido Groeseneken},
  title        = {Non-uniform strain in lattice-mismatched heterostructure tunnel field-effect
                  transistors},
  booktitle    = {46th European Solid-State Device Research Conference, {ESSDERC} 2016,
                  Lausanne, Switzerland, September 12-15, 2016},
  pages        = {412--415},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ESSDERC.2016.7599673},
  doi          = {10.1109/ESSDERC.2016.7599673},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/VerreckVSCMTG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/CollaertAABEFIL16,
  author       = {Nadine Collaert and
                  AliReza Alian and
                  Hiroaki Arimura and
                  Geert Boccardi and
                  Geert Eneman and
                  Jacopo Franco and
                  Tsvetan Ivanov and
                  Dennis Lin and
                  J{\'{e}}r{\^{o}}me Mitard and
                  S. Ramesh and
                  R. Rooyackers and
                  Marc Schaekers and
                  A. Sibaya{-}Hernandez and
                  S. Sioncke and
                  Quentin Smets and
                  Abhitosh Vais and
                  A. Vandooren and
                  Anabela Veloso and
                  Anne S. Verhulst and
                  Devin Verreck and
                  Niamh Waldron and
                  Amey Walke and
                  Liesbeth Witters and
                  H. Yu and
                  X. Zhou and
                  Aaron Voon{-}Yew Thean},
  title        = {Beyond-Si materials and devices for more Moore and more than Moore
                  applications},
  booktitle    = {International Conference on {IC} Design and Technology, {ICICDT} 2016,
                  Ho Chi Minh, Vietnam, June 27-29, 2016},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICICDT.2016.7542050},
  doi          = {10.1109/ICICDT.2016.7542050},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/CollaertAABEFIL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KuDMRVTL16,
  author       = {Bon Woong Ku and
                  Peter Debacker and
                  Dragomir Milojevic and
                  Praveen Raghavan and
                  Diederik Verkest and
                  Aaron Thean and
                  Sung Kyu Lim},
  title        = {Physical Design Solutions to Tackle {FEOL/BEOL} Degradation in Gate-level
                  Monolithic 3D ICs},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {76--81},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934622},
  doi          = {10.1145/2934583.2934622},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KuDMRVTL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RaghavanBDSJBVT16,
  author       = {Praveen Raghavan and
                  Marie Garcia Bardon and
                  Peter Debacker and
                  P. Schuddinck and
                  Doyoung Jang and
                  Rogier Baert and
                  Diederik Verkest and
                  Aaron Voon{-}Yew Thean},
  title        = {5nm: Has the time for a device change come?},
  booktitle    = {17th International Symposium on Quality Electronic Design, {ISQED}
                  2016, Santa Clara, CA, USA, March 15-16, 2016},
  pages        = {275--277},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISQED.2016.7479213},
  doi          = {10.1109/ISQED.2016.7479213},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RaghavanBDSJBVT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ParvaisWMVTSNOA15,
  author       = {Bertrand Parvais and
                  Piet Wambacq and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean and
                  Ken Sawada and
                  Kazuki Nomoto and
                  Tetsuya Oishi and
                  Hiroaki Ammo},
  title        = {A digital intensive circuit for low-frequency noise monitoring in
                  28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men,
                  China, November 9-11, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASSCC.2015.7387446},
  doi          = {10.1109/ASSCC.2015.7387446},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ParvaisWMVTSNOA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/RaghavanBJSYRMH15,
  author       = {Praveen Raghavan and
                  Marie Garcia Bardon and
                  Doyoung Jang and
                  P. Schuddinck and
                  Dmitry Yakimets and
                  Julien Ryckaert and
                  Abdelkarim Mercha and
                  Naoto Horiguchi and
                  Nadine Collaert and
                  Anda Mocuta and
                  Dan Mocuta and
                  Zsolt Tokei and
                  Diederik Verkest and
                  Aaron Thean and
                  An Steegen},
  title        = {Holisitic device exploration for 7nm node},
  booktitle    = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San
                  Jose, CA, USA, September 28-30, 2015},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CICC.2015.7338377},
  doi          = {10.1109/CICC.2015.7338377},
  timestamp    = {Wed, 27 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/RaghavanBJSYRMH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/WeckxKRFSRLTVCG15,
  author       = {Pieter Weckx and
                  Ben Kaczer and
                  Praveen Raghavan and
                  Jacopo Franco and
                  Marko Simicic and
                  Philippe J. Roussel and
                  Dimitri Linten and
                  Aaron Thean and
                  Diederik Verkest and
                  Francky Catthoor and
                  Guido Groeseneken},
  title        = {Characterization and simulation methodology for time-dependent variability
                  in advanced technologies},
  booktitle    = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San
                  Jose, CA, USA, September 28-30, 2015},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CICC.2015.7338379},
  doi          = {10.1109/CICC.2015.7338379},
  timestamp    = {Sun, 19 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/WeckxKRFSRLTVCG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BaoSRYMVTW15,
  author       = {Trong Huynh Bao and
                  Sushil Sakhare and
                  Julien Ryckaert and
                  Dmitry Yakimets and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Voon{-}Yew Thean and
                  Piet Wambacq},
  title        = {Design technology co-optimization for enabling 5nm gate-all-around
                  nanowire 6T {SRAM}},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165874},
  doi          = {10.1109/ICICDT.2015.7165874},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BaoSRYMVTW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/BardonSRJYMVT15,
  author       = {Marie Garcia Bardon and
                  P. Schuddinck and
                  Praveen Raghavan and
                  Doyoung Jang and
                  Dmitry Yakimets and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Dimensioning for power and performance under 10nm: The limits of FinFETs
                  scaling},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165883},
  doi          = {10.1109/ICICDT.2015.7165883},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/BardonSRJYMVT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/EnemanKMCT15,
  author       = {Geert Eneman and
                  An De Keersgieter and
                  Anda Mocuta and
                  Nadine Collaert and
                  Aaron Thean},
  title        = {FinFET stressor efficiency on alternative wafer and channel orientations
                  for the 14 nm node and below},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165898},
  doi          = {10.1109/ICICDT.2015.7165898},
  timestamp    = {Mon, 09 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/EnemanKMCT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/FievetRBRMVT15,
  author       = {Nathalie Fievet and
                  Praveen Raghavan and
                  Rogier Baert and
                  Fr{\'{e}}d{\'{e}}ric Robert and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Impact of device and interconnect process variability on clock distribution},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165895},
  doi          = {10.1109/ICICDT.2015.7165895},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/FievetRBRMVT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/MiyaguchiPRWRMM15,
  author       = {Kenichi Miyaguchi and
                  Bertrand Parvais and
                  Lars{-}{\AA}ke Ragnarsson and
                  Piet Wambacq and
                  Praveen Raghavan and
                  Abdelkarim Mercha and
                  Anda Mocuta and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Modeling FinFET metal gate stack resistance for 14nm node and beyond},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165885},
  doi          = {10.1109/ICICDT.2015.7165885},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/MiyaguchiPRWRMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/PourghaderiMT15,
  author       = {M. Ali Pourghaderi and
                  Anda Mocuta and
                  Aaron Thean},
  title        = {Nonparabolicity and confinement effects of {IIIV} materials in novel
                  transistors},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165888},
  doi          = {10.1109/ICICDT.2015.7165888},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/PourghaderiMT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/RitzenthalerSCM15,
  author       = {Romain Ritzenthaler and
                  Tom Schram and
                  M. J. Cho and
                  Anda Mocuta and
                  Naoto Horiguchi and
                  Aaron Voon{-}Yew Thean and
                  Alessio Spessot and
                  Christian Caillat and
                  Marc Aoulaiche and
                  Pierre Fazan and
                  K. B. Noh and
                  Y. Son},
  title        = {{I/O} thick oxide device integration using Diffusion and Gate Replacement
                  (D{\&}GR) gate stack integration},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165908},
  doi          = {10.1109/ICICDT.2015.7165908},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/RitzenthalerSCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/RitzenthalerSEM15,
  author       = {Romain Ritzenthaler and
                  Tom Schram and
                  Geert Eneman and
                  Anda Mocuta and
                  Naoto Horiguchi and
                  Aaron Voon{-}Yew Thean and
                  Alessio Spessot and
                  Marc Aoulaiche and
                  Pierre Fazan and
                  K. B. Noh and
                  Y. Son},
  title        = {Assessment of SiGe quantum well transistors for {DRAM} peripheral
                  applications},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165875},
  doi          = {10.1109/ICICDT.2015.7165875},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/RitzenthalerSEM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/TomidaHDHJMCKMH15,
  author       = {Kazuyuki Tomida and
                  Keizo Hiraga and
                  Morin Dehan and
                  Geert Hellings and
                  Doyoung Jang and
                  Kenichi Miyaguchi and
                  Thomas Chiarella and
                  Minsoo Kim and
                  Anda Mocuta and
                  Naoto Horiguchi and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean},
  title        = {Impact of fin shape variability on device performance towards 10nm
                  node},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165884},
  doi          = {10.1109/ICICDT.2015.7165884},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/TomidaHDHJMCKMH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/YakimetsJREMSMB15,
  author       = {Dmitry Yakimets and
                  Doyoung Jang and
                  Praveen Raghavan and
                  Geert Eneman and
                  Hans Mertens and
                  P. Schuddinck and
                  Arindam Mallik and
                  Marie Garcia Bardon and
                  Nadine Collaert and
                  Abdelkarim Mercha and
                  Diederik Verkest and
                  Aaron Thean and
                  Kristin De Meyer},
  title        = {Lateral {NWFET} optimization for beyond 7nm nodes},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165887},
  doi          = {10.1109/ICICDT.2015.7165887},
  timestamp    = {Sun, 21 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/YakimetsJREMSMB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ZografosRSVCSLR15,
  author       = {Odysseas Zografos and
                  Praveen Raghavan and
                  Yasser Sherazi and
                  Adrien Vaysset and
                  Florin Ciubotaru and
                  Bart Soree and
                  Rudy Lauwereins and
                  Iuliana P. Radu and
                  Aaron Thean},
  title        = {Area and routing efficiency of {SWD} circuits compared to advanced
                  {CMOS}},
  booktitle    = {2015 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2015, Leuven, Belgium, June 1-3, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICICDT.2015.7165881},
  doi          = {10.1109/ICICDT.2015.7165881},
  timestamp    = {Tue, 28 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/ZografosRSVCSLR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FrancoKRBMRGHTG15,
  author       = {Jacopo Franco and
                  Ben Kaczer and
                  Philippe J. Roussel and
                  Erik Bury and
                  Hans Mertens and
                  Romain Ritzenthaler and
                  Tibor Grasser and
                  Naoto Horiguchi and
                  Aaron Thean and
                  Guido Groeseneken},
  title        = {{NBTI} in Si0.55Ge0.45 cladding p-FinFETs: Porting the superior reliability
                  from planar to 3D architectures},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey,
                  CA, USA, April 19-23, 2015},
  pages        = {2},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/IRPS.2015.7112694},
  doi          = {10.1109/IRPS.2015.7112694},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FrancoKRBMRGHTG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KaczerFCGRTBWPT15,
  author       = {Ben Kaczer and
                  Jacopo Franco and
                  M. Cho and
                  Tibor Grasser and
                  Philippe J. Roussel and
                  Stanislav Tyaginov and
                  M. Bina and
                  Yannick Wimmer and
                  Luis{-}Miguel Procel and
                  Lionel Trojman and
                  Felice Crupi and
                  Gregory Pitner and
                  Vamsi Putcha and
                  Pieter Weckx and
                  Erik Bury and
                  Z. Ji and
                  An De Keersgieter and
                  Thomas Chiarella and
                  Naoto Horiguchi and
                  Guido Groeseneken and
                  Aaron Thean},
  title        = {Origins and implications of increased channel hot carrier variability
                  in nFinFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey,
                  CA, USA, April 19-23, 2015},
  pages        = {3},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/IRPS.2015.7112706},
  doi          = {10.1109/IRPS.2015.7112706},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/KaczerFCGRTBWPT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VaisMFLARSCTHGD15,
  author       = {Abhitosh Vais and
                  Koen Martens and
                  Jacopo Franco and
                  Dennis Lin and
                  AliReza Alian and
                  Philippe Roussel and
                  S. Sioncke and
                  Nadine Collaert and
                  Aaron Thean and
                  Marc M. Heyns and
                  Guido Groeseneken and
                  Kristin De Meyer},
  title        = {The relationship between border traps characterized by {AC} admittance
                  and {BTI} in {III-V} {MOS} devices},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey,
                  CA, USA, April 19-23, 2015},
  pages        = {5},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/IRPS.2015.7112742},
  doi          = {10.1109/IRPS.2015.7112742},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VaisMFLARSCTHGD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/HellingsSDVBNLH15,
  author       = {Geert Hellings and
                  Mirko Scholz and
                  Mikael Detalle and
                  Dimitrios Velenis and
                  Muriel de Potter de ten Broeck and
                  C. Roda Neve and
                  Y. Li and
                  Stefaan Van Huylenbroeck and
                  Shih{-}Hung Chen and
                  Erik Jan Marinissen and
                  Antonio La Manna and
                  Geert Van der Plas and
                  Dimitri Linten and
                  Eric Beyne and
                  Aaron Thean},
  title        = {Active-lite interposer for 2.5 {\&} 3D integration},
  booktitle    = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19,
                  2015},
  pages        = {222},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSIC.2015.7231374},
  doi          = {10.1109/VLSIC.2015.7231374},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/HellingsSDVBNLH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/DouLVICMKITTG14,
  author       = {Chunmeng Dou and
                  Dennis Lin and
                  Abhitosh Vais and
                  Tsvetan Ivanov and
                  Han{-}Ping Chen and
                  Koen Martens and
                  Kuniyuki Kakushima and
                  Hiroshi Iwai and
                  Yuan Taur and
                  Aaron Thean and
                  Guido Groeseneken},
  title        = {Determination of energy and spatial distribution of oxide border traps
                  in In\({}_{\mbox{0.53}}\)Ga\({}_{\mbox{0.47}}\)As {MOS} capacitors
                  from capacitance-voltage characteristics measured at various temperatures},
  journal      = {Microelectron. Reliab.},
  volume       = {54},
  number       = {4},
  pages        = {746--754},
  year         = {2014},
  url          = {https://doi.org/10.1016/j.microrel.2013.12.023},
  doi          = {10.1016/J.MICROREL.2013.12.023},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/DouLVICMKITTG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/RyckaertRBBDMSVWCCDJLLMPSWMBHMTTCVS14,
  author       = {Julien Ryckaert and
                  Praveen Raghavan and
                  Rogier Baert and
                  Marie Garcia Bardon and
                  Mircea Dusa and
                  Arindam Mallik and
                  Sushil Sakhare and
                  Boris Vandewalle and
                  Piet Wambacq and
                  Bharani Chava and
                  Kris Croes and
                  Morin Dehan and
                  Doyoung Jang and
                  Philippe Leray and
                  Tsung{-}Te Liu and
                  Kenichi Miyaguchi and
                  Bertrand Parvais and
                  Pieter Schuddinck and
                  Philippe Weemaes and
                  Abdelkarim Mercha and
                  J{\"{u}}rgen B{\"{o}}mmels and
                  Naoto Horiguchi and
                  Greg McIntyre and
                  Aaron Thean and
                  Zsolt T{\"{o}}kei and
                  Shaunee Cheng and
                  Diederik Verkest and
                  An Steegen},
  title        = {Design Technology co-optimization for {N10}},
  booktitle    = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference,
                  {CICC} 2014, San Jose, CA, USA, September 15-17, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/CICC.2014.6946037},
  doi          = {10.1109/CICC.2014.6946037},
  timestamp    = {Mon, 02 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/RyckaertRBBDMSVWCCDJLLMPSWMBHMTTCVS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/BaoYRCBVBCRDRMTVTW14,
  author       = {Trong Huynh Bao and
                  Dmitry Yakimets and
                  Julien Ryckaert and
                  Ivan Ciofi and
                  Rogier Baert and
                  Anabela Veloso and
                  J{\"{u}}rgen B{\"{o}}mmels and
                  Nadine Collaert and
                  Philippe Roussel and
                  S. Demuynck and
                  Praveen Raghavan and
                  Abdelkarim Mercha and
                  Zsolt Tokei and
                  Diederik Verkest and
                  Aaron Thean and
                  Piet Wambacq},
  title        = {Circuit and process co-design with vertical gate-all-around nanowire
                  {FET} technology to extend {CMOS} scaling for 5nm and beyond technologies},
  booktitle    = {44th European Solid State Device Research Conference, {ESSDERC} 2014,
                  Venice Lido, Italy, September 22-26, 2014},
  pages        = {102--105},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ESSDERC.2014.6948768},
  doi          = {10.1109/ESSDERC.2014.6948768},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/BaoYRCBVBCRDRMTVTW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/ZografosRASLRVT14,
  author       = {Odysseas Zografos and
                  Praveen Raghavan and
                  Luca Gaetano Amar{\`{u}} and
                  Bart Soree and
                  Rudy Lauwereins and
                  Iuliana P. Radu and
                  Diederik Verkest and
                  Aaron Thean},
  editor       = {Jacques{-}Olivier Klein and
                  Csaba Andras Moritz and
                  Sorin Cotofana},
  title        = {System-level assessment and area evaluation of Spin Wave logic circuits},
  booktitle    = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2014, Paris, France, July 8-10, 2014},
  pages        = {25--30},
  publisher    = {{IEEE} Computer Society/ACM},
  year         = {2014},
  url          = {https://doi.org/10.1109/NANOARCH.2014.6880475},
  doi          = {10.1109/NANOARCH.2014.6880475},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/ZografosRASLRVT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/AoulaicheSRSACKGHTFCSCFNSN13,
  author       = {Marc Aoulaiche and
                  Eddy Simoen and
                  Romain Ritzenthaler and
                  Tom Schram and
                  Hiroaki Arimura and
                  Moonju Cho and
                  Thomas Kauerauf and
                  Guido Groeseneken and
                  Naoto Horiguchi and
                  Aaron Thean and
                  Antonio Federico and
                  Felice Crupi and
                  Alessio Spessot and
                  Christian Caillat and
                  Pierre Fazan and
                  Hyuokju Na and
                  Y. Son and
                  K. B. Noh},
  title        = {Impact of Al2O3 position on performances and reliability in high-k
                  metal gated {DRAM} periphery transistors},
  booktitle    = {Proceedings of the European Solid-State Device Research Conference,
                  {ESSDERC} 2013, Bucharest, Romania, September 16-20, 2013},
  pages        = {190--193},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ESSDERC.2013.6818851},
  doi          = {10.1109/ESSDERC.2013.6818851},
  timestamp    = {Fri, 08 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/essderc/AoulaicheSRSACKGHTFCSCFNSN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/RitzenthalerSBMRGHTSCSF12,
  author       = {Romain Ritzenthaler and
                  Tom Schram and
                  Erik Bury and
                  J{\'{e}}r{\^{o}}me Mitard and
                  L.{-}{\AA}. Ragnarsson and
                  Guido Groeseneken and
                  N. Horiguchi and
                  Aaron Thean and
                  Alessio Spessot and
                  Christian Caillat and
                  V. Srividya and
                  Pierre Fazan},
  title        = {Low-power DRAM-compatible Replacement Gate High-k/Metal Gate stacks},
  booktitle    = {Proceedings of the 2012 European Solid-State Device Research Conference,
                  {ESSDERC} 2012, Bordeaux, France, September 17-21, 2012},
  pages        = {242--245},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ESSDERC.2012.6343378},
  doi          = {10.1109/ESSDERC.2012.6343378},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/RitzenthalerSBMRGHTSCSF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/RomeoPSKTHMTGCC12,
  author       = {Tommaso Romeo and
                  Luigi Pantisano and
                  Eddy Simoen and
                  Raymond Krom and
                  Mitsuhiro Togo and
                  N. Horiguchi and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Aaron Thean and
                  Guido Groeseneken and
                  Cor Claeys and
                  Felice Crupi},
  title        = {Low-frequency noise assessment of the transport mechanisms in SiGe
                  channel bulk FinFETs},
  booktitle    = {Proceedings of the 2012 European Solid-State Device Research Conference,
                  {ESSDERC} 2012, Bordeaux, France, September 17-21, 2012},
  pages        = {330--333},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ESSDERC.2012.6343400},
  doi          = {10.1109/ESSDERC.2012.6343400},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/essderc/RomeoPSKTHMTGCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Thean01,
  author       = {Aaron Thean},
  title        = {Computer Modeling of Silicon Quantum Dot Floating -Gate Flash Memory
                  Devices},
  school       = {University of Illinois Urbana-Champaign, {USA}},
  year         = {2001},
  url          = {https://hdl.handle.net/2142/80741},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Thean01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics