default search action
BibTeX records: Sheldon X.-D. Tan
@article{DBLP:journals/integration/SachdevaLAT24, author = {Sachin Sachdeva and Jincong Lu and Hussam Amrouch and Sheldon X.{-}D. Tan}, title = {Exploring {BTI} aging effects on spatial power density and temperature profiles of {VLSI} chips}, journal = {Integr.}, volume = {97}, pages = {102202}, year = {2024}, url = {https://doi.org/10.1016/j.vlsi.2024.102202}, doi = {10.1016/J.VLSI.2024.102202}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/SachdevaLAT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuYTT24, author = {Yibo Liu and Shuyuan Yu and Maliha Tasnim and Sheldon X.{-}D. Tan}, title = {Fast and Scaled Counting-Based Stochastic Computing Divider Design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {8}, pages = {2277--2287}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2024.3365426}, doi = {10.1109/TCAD.2024.3365426}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuYTT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuT24, author = {Yibo Liu and Sheldon X.{-}D. Tan}, title = {GridVAE: Fast Power Grid EM-Aware {IR} Drop Prediction and Fixing Accelerated by Variational AutoEncoder}, booktitle = {25th International Symposium on Quality Electronic Design, {ISQED} 2024, San Francisco, CA, USA, April 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISQED60706.2024.10528766}, doi = {10.1109/ISQED60706.2024.10528766}, timestamp = {Wed, 05 Jun 2024 21:25:50 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LiuT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/TasnimRT24, author = {Maliha Tasnim and Chinmay Raje and Sheldon X.{-}D. Tan}, title = {Multi-ALM: Run-time Multi-Level Reconfigurable Approximate Logarithmic Multiplier}, booktitle = {25th International Symposium on Quality Electronic Design, {ISQED} 2024, San Francisco, CA, USA, April 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISQED60706.2024.10528751}, doi = {10.1109/ISQED60706.2024.10528751}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/TasnimRT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-08975, author = {Maliha Tasnim and Sachin Sachdeva and Yibo Liu and Sheldon X.{-}D. Tan}, title = {Hybrid Temporal Computing for Lower Power Hardware Accelerators}, journal = {CoRR}, volume = {abs/2407.08975}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.08975}, doi = {10.48550/ARXIV.2407.08975}, eprinttype = {arXiv}, eprint = {2407.08975}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-08975.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ZhangSCTSAT23, author = {Jinwei Zhang and Sheriff Sadiqbatcha and Liang Chen and Cuong Thi and Sachin Sachdeva and Hussam Amrouch and Sheldon X.{-}D. Tan}, title = {Hot-spot aware thermoelectric array based cooling for multicore processors}, journal = {Integr.}, volume = {89}, pages = {73--82}, year = {2023}, url = {https://doi.org/10.1016/j.vlsi.2022.11.006}, doi = {10.1016/J.VLSI.2022.11.006}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/ZhangSCTSAT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/TasnimRYST23, author = {Maliha Tasnim and Chinmay Raje and Shuyuan Yu and Elaheh Sadredini and Sheldon X.{-}D. Tan}, title = {{MAGIC-DHT:} Fast in-memory computing for Discrete Hadamard Transform}, journal = {Integr.}, volume = {93}, pages = {102060}, year = {2023}, url = {https://doi.org/10.1016/j.vlsi.2023.102060}, doi = {10.1016/J.VLSI.2023.102060}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/TasnimRYST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhouLJT23, author = {Han Zhou and Yibo Liu and Wentian Jin and Sheldon X.{-}D. Tan}, title = {GridNetOpt: Fast Full-Chip EM-Aware Power Grid Optimization Accelerated by Deep Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {5}, pages = {1662--1675}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3206397}, doi = {10.1109/TCAD.2022.3206397}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ZhouLJT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhangST23, author = {Jinwei Zhang and Sheriff Sadiqbatcha and Sheldon X.{-}D. Tan}, title = {Hot-Trim: Thermal and Reliability Management for Commercial Multicore Processors Considering Workload Dependent Hot Spots}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {7}, pages = {2290--2302}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3216552}, doi = {10.1109/TCAD.2022.3216552}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhangST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenJZT23, author = {Liang Chen and Wentian Jin and Jinwei Zhang and Sheldon X.{-}D. Tan}, title = {Thermoelectric Cooler Modeling and Optimization via Surrogate Modeling Using Implicit Physics-Constrained Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {11}, pages = {4090--4101}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3269385}, doi = {10.1109/TCAD.2023.3269385}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChenJZT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenJKLT23, author = {Liang Chen and Wentian Jin and Mohammadamir Kavousi and Subed Lamichhane and Sheldon X.{-}D. Tan}, title = {Linear Time Electromigration Analysis Based on Physics-Informed Sparse Regression}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {11}, pages = {4126--4138}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3269393}, doi = {10.1109/TCAD.2023.3269393}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChenJKLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuZJST23, author = {Jincong Lu and Jinwei Zhang and Wentian Jin and Sachin Sachdeva and Sheldon X.{-}D. Tan}, editor = {Atsushi Takahashi}, title = {Learning Based Spatial Power Characterization and Full-Chip Power Estimation for Commercial TPUs}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {98--103}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3568347}, doi = {10.1145/3566097.3568347}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuZJST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuT23, author = {Shuyuan Yu and Sheldon X.{-}D. Tan}, editor = {Atsushi Takahashi}, title = {{PAALM:} Power Density Aware Approximate Logarithmic Multiplier Design}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {128--133}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567884}, doi = {10.1145/3566097.3567884}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YuT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenLJT23, author = {Liang Chen and Jincong Lu and Wentian Jin and Sheldon X.{-}D. Tan}, title = {Fast Full-Chip Parametric Thermal Analysis Based on Enhanced Physics Enforced Neural Networks}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323696}, doi = {10.1109/ICCAD57390.2023.10323696}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenLJT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LamichhaneJCKT23, author = {Subed Lamichhane and Wentian Jin and Liang Chen and Mohammadamir Kavousi and Sheldon X.{-}D. Tan}, title = {PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323686}, doi = {10.1109/ICCAD57390.2023.10323686}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LamichhaneJCKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuZT23, author = {Jincong Lu and Jinwei Zhang and Sheldon X.{-}D. Tan}, title = {Real-time Thermal Map Estimation for {AMD} Multi-Core CPUs Using Transformer}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323817}, doi = {10.1109/ICCAD57390.2023.10323817}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuZT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/SachdevaZAT23, author = {Sachin Sachdeva and Jinwei Zhang and Hussam Amrouch and Sheldon X.{-}D. Tan}, title = {Long-Term Aging Impacts on Spatial On-Chip Power Density and Temperature}, booktitle = {19th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2023, Funchal, Portugal, July 3-5, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMACD58065.2023.10192234}, doi = {10.1109/SMACD58065.2023.10192234}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/SachdevaZAT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SadiqbatchaZAT22, author = {Sheriff Sadiqbatcha and Jinwei Zhang and Hussam Amrouch and Sheldon X.{-}D. Tan}, title = {Real-Time Full-Chip Thermal Tracking: {A} Post-Silicon, Machine Learning Perspective}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {6}, pages = {1411--1424}, year = {2022}, url = {https://doi.org/10.1109/TC.2021.3086112}, doi = {10.1109/TC.2021.3086112}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SadiqbatchaZAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhangSOAT22, author = {Jinwei Zhang and Sheriff Sadiqbatcha and Michael O'Dea and Hussam Amrouch and Sheldon X.{-}D. Tan}, title = {Full-Chip Power Density and Thermal Map Characterization for Commercial Microprocessors Under Heat Sink Cooling}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {5}, pages = {1453--1466}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3088081}, doi = {10.1109/TCAD.2021.3088081}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhangSOAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenSAT22, author = {Liang Chen and Sheriff Sadiqbatcha and Hussam Amrouch and Sheldon X.{-}D. Tan}, title = {Electrothermal Simulation and Optimal Design of Thermoelectric Cooler Using Analytical Approach}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {9}, pages = {3066--3077}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3120533}, doi = {10.1109/TCAD.2021.3120533}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChenSAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuTT22, author = {Shuyuan Yu and Maliha Tasnim and Sheldon X.{-}D. Tan}, title = {{HEALM:} Hardware-Efficient Approximate Logarithmic Multiplier with Reduced Error}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {37--42}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712543}, doi = {10.1109/ASP-DAC52403.2022.9712543}, timestamp = {Fri, 04 Mar 2022 13:11:07 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YuTT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KavousiCT22, author = {Mohammadamir Kavousi and Liang Chen and Sheldon X.{-}D. Tan}, title = {Fast Electromigration Stress Analysis Considering Spatial Joule Heating Effects}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {208--213}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712535}, doi = {10.1109/ASP-DAC52403.2022.9712535}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KavousiCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenJT22, author = {Liang Chen and Wentian Jin and Sheldon X.{-}D. Tan}, title = {Fast Thermal Analysis for Chiplet Design based on Graph Convolution Networks}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {485--492}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712583}, doi = {10.1109/ASP-DAC52403.2022.9712583}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenJT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuT22, author = {Shuyuan Yu and Sheldon X.{-}D. Tan}, editor = {Rob Oshana}, title = {Scaled-CBSC: scaled counting-based stochastic computing multiplication for improved accuracy}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1003--1008}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530499}, doi = {10.1145/3489517.3530499}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/YuT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JinCLKT22, author = {Wentian Jin and Liang Chen and Subed Lamichhane and Mohammadamir Kavousi and Sheldon X.{-}D. Tan}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {HierPINN-EM: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnects Using Hierarchical Physics-Informed Neural Network}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {28:1--28:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549371}, doi = {10.1145/3508352.3549371}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JinCLKT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/Tan22, author = {Sheldon X.{-}D. Tan}, title = {{EDAML} 2022 Invited Speaker 9: Thermal and Power Monitoring and Estimation for Commercial Multicore Processors - {A} Machine Learning Perspective}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} Workshops 2022, Lyon, France, May 30 - June 3, 2022}, pages = {1190}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IPDPSW55747.2022.00202}, doi = {10.1109/IPDPSW55747.2022.00202}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/Tan22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/ChowJBTW22, author = {Marcus Chow and Ali Jahanshahi and Ana Cardenas Beltran and Sheldon X.{-}D. Tan and Daniel Wong}, title = {GPUCalorie: Floorplan Estimation for {GPU} Thermal Evaluation}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {239--241}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00034}, doi = {10.1109/ISPASS55109.2022.00034}, timestamp = {Mon, 04 Jul 2022 17:06:18 +0200}, biburl = {https://dblp.org/rec/conf/ispass/ChowJBTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TanH21, author = {Sheldon X.{-}D. Tan and Toshihiro Hattori}, title = {The 2021 Asia and South Pacific Design Automation Conference {(ASPDAC)}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {3}, pages = {121--122}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3065318}, doi = {10.1109/MDAT.2021.3065318}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TanH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ZhouCT21, author = {Han Zhou and Liang Chen and Sheldon X.{-}D. Tan}, title = {Robust power grid network design considering {EM} aging effects for multi-segment wires}, journal = {Integr.}, volume = {77}, pages = {38--47}, year = {2021}, url = {https://doi.org/10.1016/j.vlsi.2020.10.001}, doi = {10.1016/J.VLSI.2020.10.001}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/ZhouCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenTSPTM21, author = {Liang Chen and Sheldon X.{-}D. Tan and Zeyu Sun and Shaoyi Peng and Min Tang and Junfa Mao}, title = {A Fast Semi-Analytic Approach for Combined Electromigration and Thermomigration Analysis for General Multisegment Interconnects}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {2}, pages = {350--363}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.2994271}, doi = {10.1109/TCAD.2020.2994271}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChenTSPTM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangMTCCYY21, author = {Xiaoyi Wang and Shaobin Ma and Sheldon X.{-}D. Tan and Chase Cook and Liang Chen and Jianlei Yang and Wenjian Yu}, title = {Fast Physics-Based Electromigration Analysis for Full-Chip Networks by Efficient Eigenfunction-Based Solution}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {3}, pages = {507--520}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3001264}, doi = {10.1109/TCAD.2020.3001264}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/WangMTCCYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SadiqbatchaZZAH21, author = {Sheriff Sadiqbatcha and Jinwei Zhang and Hengyang Zhao and Hussam Amrouch and J{\"{o}}rg Henkel and Sheldon X.{-}D. Tan}, title = {Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {4}, pages = {694--707}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3007541}, doi = {10.1109/TCAD.2020.3007541}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SadiqbatchaZZAH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuLT21, author = {Shuyuan Yu and Yibo Liu and Sheldon X.{-}D. Tan}, title = {{COSAIM:} Counter-based Stochastic-behaving Approximate Integer Multiplier for Deep Neural Networks}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {499--504}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586097}, doi = {10.1109/DAC18074.2021.9586097}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JinCSPT21, author = {Wentian Jin and Liang Chen and Sheriff Sadiqbatcha and Shaoyi Peng and Sheldon X.{-}D. Tan}, title = {EMGraph: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnect Using Graph Convolution Networks}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {919--924}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586239}, doi = {10.1109/DAC18074.2021.9586239}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JinCSPT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JinPT21, author = {Wentian Jin and Shaoyi Peng and Sheldon X.{-}D. Tan}, title = {Data-Driven Electrostatics Analysis based on Physics-Constrained Deep learning}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1382--1387}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474231}, doi = {10.23919/DATE51398.2021.9474231}, timestamp = {Wed, 21 Jul 2021 10:04:34 +0200}, biburl = {https://dblp.org/rec/conf/date/JinPT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuYPT21, author = {Yibo Liu and Shuyuan Yu and Shaoyi Peng and Sheldon X.{-}D. Tan}, title = {Runtime Long-Term Reliability Management Using Stochastic Computing in Deep Neural Networks}, booktitle = {22nd International Symposium on Quality Electronic Design, {ISQED} 2021, Santa Clara, CA, USA, April 7-9, 2021}, pages = {553--558}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISQED51717.2021.9424285}, doi = {10.1109/ISQED51717.2021.9424285}, timestamp = {Mon, 17 May 2021 16:05:56 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LiuYPT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlcad/LamichhanePJT21, author = {Subed Lamichhane and Shaoyi Peng and Wentian Jin and Sheldon X.{-}D. Tan}, title = {Fast Electrostatic Analysis For {VLSI} Aging based on Generative Learning}, booktitle = {3rd {ACM/IEEE} Workshop on Machine Learning for CAD, {MLCAD} 2021, Raleigh, NC, USA, August 30 - Sept. 3, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MLCAD52597.2021.9531320}, doi = {10.1109/MLCAD52597.2021.9531320}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mlcad/LamichhanePJT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlcad/YuLT21, author = {Shuyuan Yu and Yibo Liu and Sheldon X.{-}D. Tan}, title = {Approximate Divider Design Based on Counting-Based Stochastic Computing Division}, booktitle = {3rd {ACM/IEEE} Workshop on Machine Learning for CAD, {MLCAD} 2021, Raleigh, NC, USA, August 30 - Sept. 3, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MLCAD52597.2021.9531079}, doi = {10.1109/MLCAD52597.2021.9531079}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mlcad/YuLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/AmrouchCJKKKPST21, author = {Hussam Amrouch and Animesh Basak Chowdhury and Wentian Jin and Ramesh Karri and Farshad Khorrami and Prashanth Krishnamurthy and Ilia Polian and Victor M. van Santen and Benjamin Tan and Sheldon X.{-}D. Tan}, title = {Special Session: Machine Learning for Semiconductor Test and Reliability}, booktitle = {39th {IEEE} {VLSI} Test Symposium, {VTS} 2021, San Diego, CA, USA, April 25-28, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTS50974.2021.9441052}, doi = {10.1109/VTS50974.2021.9441052}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/AmrouchCJKKKPST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PengT20, author = {Shaoyi Peng and Sheldon X.{-}D. Tan}, title = {{GLU3.0:} Fast GPU-based Parallel Sparse {LU} Factorization for Circuit Simulation}, journal = {{IEEE} Des. Test}, volume = {37}, number = {3}, pages = {78--90}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2974910}, doi = {10.1109/MDAT.2020.2974910}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PengT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/PengDST20, author = {Shaoyi Peng and Ertugrul Demircan and Mehul D. Shroff and Sheldon X.{-}D. Tan}, title = {Full-chip wire-oriented back-end-of-line {TDDB} hotspot detection and lifetime analysis}, journal = {Integr.}, volume = {70}, pages = {90--98}, year = {2020}, url = {https://doi.org/10.1016/j.vlsi.2019.09.012}, doi = {10.1016/J.VLSI.2019.09.012}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/PengDST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/TanSS20, author = {Sheldon X.{-}D. Tan and Zeyu Sun and Sheriff Sadiqbatcha}, title = {Interconnect Electromigration Modeling and Analysis for Nanometer ICs: From Physics to Full-Chip}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {13}, pages = {42--55}, year = {2020}, url = {https://doi.org/10.2197/ipsjtsldm.13.42}, doi = {10.2197/IPSJTSLDM.13.42}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipsj/TanSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SadiqbatchaST20, author = {Sheriff Sadiqbatcha and Zeyu Sun and Sheldon X.{-}D. Tan}, title = {Accelerating Electromigration Aging: Fast Failure Detection for Nanometer ICs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {4}, pages = {885--894}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2907908}, doi = {10.1109/TCAD.2019.2907908}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SadiqbatchaST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangGTZTY20, author = {Hai Wang and Xingxing Guo and Sheldon X.{-}D. Tan and Chi Zhang and He Tang and Yuan Yuan}, title = {Leakage-Aware Predictive Thermal Management for Multicore Systems Using Echo State Network}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {7}, pages = {1400--1413}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2915316}, doi = {10.1109/TCAD.2019.2915316}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/WangGTZTY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenTSPTM20, author = {Liang Chen and Sheldon X.{-}D. Tan and Zeyu Sun and Shaoyi Peng and Min Tang and Junfa Mao}, title = {Fast Analytic Electromigration Analysis for General Multisegment Interconnect Wires}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {2}, pages = {421--432}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2019.2940197}, doi = {10.1109/TVLSI.2019.2940197}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenTSPTM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MaWTCH20, author = {Shaobin Ma and Xiaoyi Wang and Sheldon X.{-}D. Tan and Liang Chen and Jian He}, title = {An Adaptive Electromigration Assessment Algorithm for Full-chip Power/Ground Networks}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {38--43}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045102}, doi = {10.1109/ASP-DAC47756.2020.9045102}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/MaWTCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouYST20, author = {Han Zhou and Shuyuan Yu and Zeyu Sun and Sheldon X.{-}D. Tan}, title = {Reliable Power Grid Network Design Framework Considering {EM} Immortalities for Multi-Segment Wires}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {74--79}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045673}, doi = {10.1109/ASP-DAC47756.2020.9045673}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouYST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SadiqbatchaZZAH20, author = {Sheriff Sadiqbatcha and Yue Zhao and Jinwei Zhang and Hussam Amrouch and J{\"{o}}rg Henkel and Sheldon X.{-}D. Tan}, title = {Machine Learning Based Online Full-Chip Heatmap Estimation}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {229--234}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045204}, doi = {10.1109/ASP-DAC47756.2020.9045204}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SadiqbatchaZZAH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/YuZPAHT20, author = {Shuyuan Yu and Han Zhou and Shaoyi Peng and Hussam Amrouch and J{\"{o}}rg Henkel and Sheldon X.{-}D. Tan}, editor = {Tulika Mitra and Andreas Gerstlauer}, title = {Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management: Work-in-Progress}, booktitle = {International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2020, Singapore, September 20-25, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CASES51649.2020.9243711}, doi = {10.1109/CASES51649.2020.9243711}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/YuZPAHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangSJT20, author = {Jinwei Zhang and Sheriff Sadiqbatcha and Wentian Jin and Sheldon X.{-}D. Tan}, title = {Accurate Power Density Map Estimation for Commercial Multi-Core Microprocessors}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1085--1090}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116545}, doi = {10.23919/DATE48585.2020.9116545}, timestamp = {Thu, 25 Jun 2020 12:55:44 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangSJT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KavousiCT20, author = {Mohammadamir Kavousi and Liang Chen and Sheldon X.{-}D. Tan}, title = {Electromigration Immortality Check considering Joule Heating Effect for Multisegment Wires}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {6:1--6:8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415634}, doi = {10.1145/3400302.3415634}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KavousiCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JinSZT20, author = {Wentian Jin and Sheriff Sadiqbatcha and Jinwei Zhang and Sheldon X.{-}D. Tan}, title = {Full-Chip Thermal Map Estimation for Commercial Multi-Core CPUs with Generative Adversarial Learning}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {14:1--14:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415764}, doi = {10.1145/3400302.3415764}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JinSZT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouJT20, author = {Han Zhou and Wentian Jin and Sheldon X.{-}D. Tan}, title = {GridNet: Fast Data-Driven EM-Induced {IR} Drop Prediction and Localized Fixing for On-Chip Power Grid Networks}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {160:1--160:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415714}, doi = {10.1145/3400302.3415714}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhouJT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JinSSZT20, author = {Wentian Jin and Sheriff Sadiqbatcha and Zeyu Sun and Han Zhou and Sheldon X.{-}D. Tan}, title = {{EM-GAN:} Data-Driven Fast Stress Analysis for Multi-Segment Interconnects}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {296--303}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00057}, doi = {10.1109/ICCD50377.2020.00057}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JinSSZT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlcad/PengJCT20, author = {Shaoyi Peng and Wentian Jin and Liang Chen and Sheldon X.{-}D. Tan}, editor = {Ulf Schlichtmann and Raviv Gal and Hussam Amrouch and Hai (Helen) Li}, title = {Data-Driven Fast Electrostatics and {TDDB} Aging Analysis}, booktitle = {{MLCAD} '20: 2020 {ACM/IEEE} Workshop on Machine Learning for CAD, Virtual Event, Iceland, November 16-20, 2020}, pages = {71--76}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3380446.3430620}, doi = {10.1145/3380446.3430620}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mlcad/PengJCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlcad/ZhangSGOYT20, author = {Jinwei Zhang and Sheriff Sadiqbatcha and Yuanqi Gao and Michael O'Dea and Nanpeng Yu and Sheldon X.{-}D. Tan}, editor = {Ulf Schlichtmann and Raviv Gal and Hussam Amrouch and Hai (Helen) Li}, title = {{HAT-DRL:} Hotspot-Aware Task Mapping for Lifetime Improvement of Multicore System using Deep Reinforcement Learning}, booktitle = {{MLCAD} '20: 2020 {ACM/IEEE} Workshop on Machine Learning for CAD, Virtual Event, Iceland, November 16-20, 2020}, pages = {77--82}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3380446.3430623}, doi = {10.1145/3380446.3430623}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mlcad/ZhangSGOYT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-13181, author = {Wentian Jin and Sheriff Sadiqbatcha and Jinwei Zhang and Sheldon X.{-}D. Tan}, title = {{EM-GAN:} Fast Stress Analysis for Multi-Segment Interconnect Using Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/2004.13181}, year = {2020}, url = {https://arxiv.org/abs/2004.13181}, eprinttype = {arXiv}, eprint = {2004.13181}, timestamp = {Sat, 02 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-13181.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-13320, author = {Shuyuan Yu and Han Zhou and Shaoyi Peng and Hussam Amrouch and J{\"{o}}rg Henkel and Sheldon X.{-}D. Tan}, title = {Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management}, journal = {CoRR}, volume = {abs/2004.13320}, year = {2020}, url = {https://arxiv.org/abs/2004.13320}, eprinttype = {arXiv}, eprint = {2004.13320}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-13320.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/CookSST19, author = {Chase Cook and Sheriff Sadiqbatcha and Zeyu Sun and Sheldon X.{-}D. Tan}, title = {Reliability based hardware Trojan design using physics-based electromigration models}, journal = {Integr.}, volume = {66}, pages = {9--15}, year = {2019}, url = {https://doi.org/10.1016/j.vlsi.2019.01.011}, doi = {10.1016/J.VLSI.2019.01.011}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/CookSST19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/CookZSHT19, author = {Chase Cook and Hengyang Zhao and Takashi Sato and Masayuki Hiromoto and Sheldon X.{-}D. Tan}, title = {GPU-based Ising computing for solving max-cut combinatorial optimization problems}, journal = {Integr.}, volume = {69}, pages = {335--344}, year = {2019}, url = {https://doi.org/10.1016/j.vlsi.2019.07.003}, doi = {10.1016/J.VLSI.2019.07.003}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/CookZSHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WangTZTZTY19, author = {Hai Wang and Diya Tang and Ming Zhang and Sheldon X.{-}D. Tan and Chi Zhang and He Tang and Yuan Yuan}, title = {{GDP:} {A} Greedy Based Dynamic Power Budgeting Method for Multi/Many-Core Systems in Dark Silicon}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {4}, pages = {526--541}, year = {2019}, url = {https://doi.org/10.1109/TC.2018.2875986}, doi = {10.1109/TC.2018.2875986}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/WangTZTZTY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhouSSCT19, author = {Han Zhou and Zeyu Sun and Sheriff Sadiqbatcha and Naehyuck Chang and Sheldon X.{-}D. Tan}, title = {EM-Aware and Lifetime-Constrained Optimization for Multisegment Power Grid Networks}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {4}, pages = {940--953}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2889079}, doi = {10.1109/TVLSI.2018.2889079}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhouSSCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SunSZT19, author = {Zeyu Sun and Sheriff Sadiqbatcha and Hengyang Zhao and Sheldon X.{-}D. Tan}, title = {Saturation-Volume Estimation for Multisegment Copper Interconnect Wires}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {7}, pages = {1666--1674}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2901824}, doi = {10.1109/TVLSI.2019.2901824}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SunSZT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangPTC19, author = {Ke Yang and Shaoyi Peng and Sheldon X.{-}D. Tan and Hai{-}Bao Chen}, title = {Multi-Thread Assembling for Fast {FEM} Power Delivery {DC} Integrity Analysis}, booktitle = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing, China, October 29 - November 1, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASICON47005.2019.8983609}, doi = {10.1109/ASICON47005.2019.8983609}, timestamp = {Wed, 12 Feb 2020 16:13:42 +0100}, biburl = {https://dblp.org/rec/conf/asicon/YangPTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SadiqbatchaZAHT19, author = {Sheriff Sadiqbatcha and Hengyang Zhao and Hussam Amrouch and J{\"{o}}rg Henkel and Sheldon X.{-}D. Tan}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {48--53}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714918}, doi = {10.23919/DATE.2019.8714918}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SadiqbatchaZAHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/SunKCPZK0T19, author = {Zeyu Sun and Taeyoung Kim and Marcus Chow and Shaoyi Peng and Han Zhou and Hyoseung Kim and Daniel Wong and Sheldon X.{-}D. Tan}, title = {Long-Term Reliability Management For Multitasking GPGPUs}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {213--216}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795243}, doi = {10.1109/SMACD.2019.8795243}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smacd/SunKCPZK0T19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/SunZT19, author = {Zeyu Sun and Han Zhou and Sheldon X.{-}D. Tan}, title = {Dynamic Reliability Management for Multi-Core Processor Based on Deep Reinforcement Learning}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {217--220}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795268}, doi = {10.1109/SMACD.2019.8795268}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smacd/SunZT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/19/TTKWS2019, editor = {Sheldon X.{-}D. Tan and Mehdi Baradaran Tahoori and Taeyoung Kim and Shengcheng Wang and Zeyu Sun and Saman Kiamehr}, title = {Long-Term Reliability of Nanometer {VLSI} Systems, Modeling, Analysis and Optimization}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26172-6}, doi = {10.1007/978-3-030-26172-6}, isbn = {978-3-030-26171-9}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/19/TTKWS2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-00204, author = {Shaoyi Peng and Sheldon X.{-}D. Tan}, title = {{GLU3.0:} Fast GPU-based Parallel Sparse {LU} Factorization for Circuit Simulation}, journal = {CoRR}, volume = {abs/1908.00204}, year = {2019}, url = {http://arxiv.org/abs/1908.00204}, eprinttype = {arXiv}, eprint = {1908.00204}, timestamp = {Fri, 09 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-00204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-00210, author = {Chase Cook and Wentian Jin and Sheldon X.{-}D. Tan}, title = {GPU-based Ising Computing for Solving Balanced Min-Cut Graph Partitioning Problem}, journal = {CoRR}, volume = {abs/1908.00210}, year = {2019}, url = {http://arxiv.org/abs/1908.00210}, eprinttype = {arXiv}, eprint = {1908.00210}, timestamp = {Fri, 09 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-00210.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/TanAKSCH18, author = {Sheldon X.{-}D. Tan and Hussam Amrouch and Taeyoung Kim and Zeyu Sun and Chase Cook and J{\"{o}}rg Henkel}, title = {Recent advances in {EM} and {BTI} induced reliability modeling, analysis and optimization (invited)}, journal = {Integr.}, volume = {60}, pages = {132--152}, year = {2018}, url = {https://doi.org/10.1016/j.vlsi.2017.08.009}, doi = {10.1016/J.VLSI.2017.08.009}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/TanAKSCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/KimTCS18, author = {Taeyoung Kim and Sheldon X.{-}D. Tan and Chase Cook and Zeyu Sun}, title = {Detection of counterfeited ICs via on-chip sensor and post-fabrication authentication policy}, journal = {Integr.}, volume = {63}, pages = {31--40}, year = {2018}, url = {https://doi.org/10.1016/j.vlsi.2018.05.002}, doi = {10.1016/J.VLSI.2018.05.002}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/KimTCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/KimLT18, author = {Taeyoung Kim and Zao Liu and Sheldon X.{-}D. Tan}, title = {Dynamic reliability management based on resource-based {EM} modeling for multi-core microprocessors}, journal = {Microelectron. J.}, volume = {74}, pages = {106--115}, year = {2018}, url = {https://doi.org/10.1016/j.mejo.2018.01.024}, doi = {10.1016/J.MEJO.2018.01.024}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/KimLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/0002WTZTYHZ18, author = {Hai Wang and Jiachun Wan and Sheldon X.{-}D. Tan and Chi Zhang and He Tang and Yuan Yuan and Keheng Huang and Zhenghong Zhang}, title = {A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {5}, pages = {617--630}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2778066}, doi = {10.1109/TC.2017.2778066}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/0002WTZTYHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SunDSCT18, author = {Zeyu Sun and Ertugrul Demircan and Mehul D. Shroff and Chase Cook and Sheldon X.{-}D. Tan}, title = {Fast Electromigration Immortality Analysis for Multisegment Copper Interconnect Wires}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {12}, pages = {3137--3150}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2018.2801221}, doi = {10.1109/TCAD.2018.2801221}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SunDSCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ZhaoHCYWTT18, author = {Hengyang Zhao and Qi Hua and Hai{-}Bao Chen and Yaoyao Ye and Hai Wang and Sheldon X.{-}D. Tan and Esteban Tlelo{-}Cuautle}, title = {Thermal-Sensor-Based Occupancy Detection for Smart Buildings Using Machine-Learning Methods}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {4}, pages = {54:1--54:21}, year = {2018}, url = {https://doi.org/10.1145/3200904}, doi = {10.1145/3200904}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/ZhaoHCYWTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PengZKCT18, author = {Shaoyi Peng and Han Zhou and Taeyoung Kim and Hai{-}Bao Chen and Sheldon X.{-}D. Tan}, title = {Physics-Based Compact {TDDB} Models for Low-k {BEOL} Copper Interconnects With Time-Varying Voltage Stressing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {2}, pages = {239--248}, year = {2018}, url = {https://doi.org/10.1109/TVLSI.2017.2764880}, doi = {10.1109/TVLSI.2017.2764880}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/PengZKCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WangKSTT18, author = {Shengcheng Wang and Taeyoung Kim and Zeyu Sun and Sheldon X.{-}D. Tan and Mehdi Baradaran Tahoori}, title = {Recovery-Aware Proactive {TSV} Repair for Electromigration Lifetime Enhancement in 3-D ICs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {3}, pages = {531--543}, year = {2018}, url = {https://doi.org/10.1109/TVLSI.2017.2775586}, doi = {10.1109/TVLSI.2017.2775586}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WangKSTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/CookSDST18, author = {Chase Cook and Zeyu Sun and Ertugrul Demircan and Mehul D. Shroff and Sheldon X.{-}D. Tan}, title = {Fast Electromigration Stress Evolution Analysis for Interconnect Trees Using Krylov Subspace Method}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {5}, pages = {969--980}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2800707}, doi = {10.1109/TVLSI.2018.2800707}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/CookSDST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhaoT18, author = {Hengyang Zhao and Sheldon X.{-}D. Tan}, title = {Postvoiding {FEM} Analysis for Electromigration Failure Characterization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {11}, pages = {2483--2493}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2861358}, doi = {10.1109/TVLSI.2018.2861358}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhaoT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouSSZT18, author = {Han Zhou and Yijing Sun and Zeyu Sun and Hengyang Zhao and Sheldon X.{-}D. Tan}, editor = {Youngsoo Shin}, title = {Electromigration-lifetime constrained power grid optimization considering multi-segment interconnect wires}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {399--404}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297356}, doi = {10.1109/ASPDAC.2018.8297356}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouSSZT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SunSZT18, author = {Zeyu Sun and Sheriff Sadiqbatcha and Hengyang Zhao and Sheldon X.{-}D. Tan}, editor = {Youngsoo Shin}, title = {Accelerating electromigration aging for fast failure detection for nanometer ICs}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {623--630}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297392}, doi = {10.1109/ASPDAC.2018.8297392}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SunSZT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaoT18, author = {Hengyang Zhao and Sheldon X.{-}D. Tan}, editor = {Iris Bahar}, title = {Multi-physics-based {FEM} analysis for post-voiding analysis of electromigration failure effects}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {124}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243486}, doi = {10.1145/3240765.3243486}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhaoT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/Pano-AzucenaTT18, author = {Ana Dalia Pano{-}Azucena and Esteban Tlelo{-}Cuautle and Sheldon X.{-}D. Tan}, title = {Electronic System for Chaotic Time Series Prediction Associated to Human Disease}, booktitle = {{IEEE} International Conference on Healthcare Informatics, {ICHI} 2018, New York City, NY, USA, June 4-7, 2018}, pages = {323--327}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICHI.2018.00044}, doi = {10.1109/ICHI.2018.00044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichi/Pano-AzucenaTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mocast/Pano-AzucenaTT18, author = {Ana Dalia Pano{-}Azucena and Esteban Tlelo{-}Cuautle and Sheldon X.{-}D. Tan}, title = {Prediction of chaotic time series by using ANNs, {ANFIS} and SVMs}, booktitle = {7th International Conference on Modern Circuits and Systems Technologies, {MOCAST} 2018, Thessaloniki, Greece, May 7-9, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/MOCAST.2018.8376560}, doi = {10.1109/MOCAST.2018.8376560}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mocast/Pano-AzucenaTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/CookSST18, author = {Chase Cook and Sheriff Sadiqbatcha and Zeyu Sun and Sheldon X.{-}D. Tan}, title = {Reliability Based Hardware Trojan Design Using Physics-Based Electromigration Models}, booktitle = {15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2018, Prague, Czech Republic, July 2-5, 2018}, pages = {5--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMACD.2018.8434890}, doi = {10.1109/SMACD.2018.8434890}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smacd/CookSST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/SadiqbatchaCST18, author = {Sheriff Sadiqbatcha and Chase Cook and Zeyu Sun and Sheldon X.{-}D. Tan}, title = {Accelerating Electromigration Wear-Out Effects Based on Configurable Sink-Structured Wires}, booktitle = {15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2018, Prague, Czech Republic, July 2-5, 2018}, pages = {21--24}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMACD.2018.8434891}, doi = {10.1109/SMACD.2018.8434891}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smacd/SadiqbatchaCST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-07935, author = {Yuan Cheng and Guangya Li and Hai{-}Bao Chen and Sheldon X.{-}D. Tan and Hao Yu}, title = {{DEEPEYE:} {A} Compact and Accurate Video Comprehension at Terminal Devices Compressed with Quantization and Tensorization}, journal = {CoRR}, volume = {abs/1805.07935}, year = {2018}, url = {http://arxiv.org/abs/1805.07935}, eprinttype = {arXiv}, eprint = {1805.07935}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-07935.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HuangSKT17, author = {Xin Huang and Valeriy Sukharev and Taeyoung Kim and Sheldon X.{-}D. Tan}, title = {Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing}, journal = {Integr.}, volume = {58}, pages = {518--527}, year = {2017}, url = {https://doi.org/10.1016/j.vlsi.2016.10.007}, doi = {10.1016/J.VLSI.2016.10.007}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HuangSKT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChakrabartyABBC17, author = {Krishnendu Chakrabarty and Massimo Alioto and Bevan M. Baas and Chirn Chye Boon and Meng{-}Fan Chang and Naehyuck Chang and Yao{-}Wen Chang and Chip{-}Hong Chang and Shih{-}Chieh Chang and Poki Chen and Masud H. Chowdhury and Pasquale Corsonello and Ibrahim Abe M. Elfadel and Said Hamdioui and Masanori Hashimoto and Tsung{-}Yi Ho and Houman Homayoun and Yuh{-}Shyan Hwang and Rajiv V. Joshi and Tanay Karnik and Mehran Mozaffari Kermani and Chulwoo Kim and Tae{-}Hyoung Kim and Jaydeep P. Kulkarni and Eren Kursun and Erik Larsson and Hai (Helen) Li and Huawei Li and Patrick P. Mercier and Prabhat Mishra and Makoto Nagata and Arun S. Natarajan and Koji Nii and Partha Pratim Pande and Ioannis Savidis and Mingoo Seok and Sheldon X.{-}D. Tan and Mark M. Tehranipoor and Aida Todri{-}Sanial and Miroslav N. Velev and Xiaoqing Wen and Jiang Xu and Wei Zhang and Zhengya Zhang and Stacey Weber Jackson}, title = {Editorial}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {1}, pages = {1--20}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2638578}, doi = {10.1109/TVLSI.2016.2638578}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChakrabartyABBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimSCWT17, author = {Taeyoung Kim and Zeyu Sun and Hai{-}Bao Chen and Hai Wang and Sheldon X.{-}D. Tan}, title = {Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {9}, pages = {2561--2574}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2707401}, doi = {10.1109/TVLSI.2017.2707401}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimSCWT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/HeZQCT17, author = {Wei He and Hengyang Zhao and Zhongdong Qi and Hai{-}Bao Chen and Sheldon X.{-}D. Tan}, editor = {Yajie Qin and Zhiliang Hong and Ting{-}Ao Tang}, title = {Fast two-dimensional finite element analysis for power network {DC} integrity checks of PCBs}, booktitle = {12th {IEEE} International Conference on ASIC, {ASICON} 2017, Guiyang, China, October 25-28, 2017}, pages = {76--79}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASICON.2017.8252415}, doi = {10.1109/ASICON.2017.8252415}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/HeZQCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PengCZST17, author = {Jiangtao Peng and Hai{-}Bao Chen and Hengyang Zhao and Zeyu Sun and Sheldon X.{-}D. Tan}, editor = {Yajie Qin and Zhiliang Hong and Ting{-}Ao Tang}, title = {Dynamic temperature-aware reliability modeling for multi-branch interconnect trees}, booktitle = {12th {IEEE} International Conference on ASIC, {ASICON} 2017, Guiyang, China, October 25-28, 2017}, pages = {92--95}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASICON.2017.8252419}, doi = {10.1109/ASICON.2017.8252419}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/PengCZST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangZTT17, author = {Shengcheng Wang and Hengyang Zhao and Sheldon X.{-}D. Tan and Mehdi Baradaran Tahoori}, editor = {David Atienza and Giorgio Di Natale}, title = {Recovery-aware proactive {TSV} repair for electromigration in 3D ICs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {220--225}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7926986}, doi = {10.23919/DATE.2017.7926986}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/WangZTT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangWHTCY17, author = {Xiaoyi Wang and Hongyu Wang and Jian He and Sheldon X.{-}D. Tan and Yici Cai and Shengqi Yang}, editor = {David Atienza and Giorgio Di Natale}, title = {Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1727--1732}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927272}, doi = {10.23919/DATE.2017.7927272}, timestamp = {Mon, 14 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangWHTCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangYHTCY17, author = {Xiaoyi Wang and Yan Yan and Jian He and Sheldon X.{-}D. Tan and Chase Cook and Shengqi Yang}, editor = {Sri Parameswaran}, title = {Fast physics-based electromigration analysis for multi-branch interconnect trees}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {169--176}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203775}, doi = {10.1109/ICCAD.2017.8203775}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangYHTCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangSCTT17, author = {Shengcheng Wang and Zeyu Sun and Yuan Cheng and Sheldon X.{-}D. Tan and Mehdi Baradaran Tahoori}, editor = {Sri Parameswaran}, title = {Leveraging recovery effect to reduce electromigration degradation in power/ground {TSV}}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {811--818}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203861}, doi = {10.1109/ICCAD.2017.8203861}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangSCTT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/Pano-AzucenaTFS17, author = {Ana Dalia Pano{-}Azucena and Esteban Tlelo{-}Cuautle and Luis Gerardo de la Fraga and Carlos S{\'{a}}nchez{-}L{\'{o}}pez and Jose de Jesus Rangel{-}Magdaleno and Sheldon X.{-}D. Tan}, title = {Prediction of chaotic time-series with different {MLE} values using FPGA-based ANNs}, booktitle = {14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2017, Giardini Naxos, Italy, June 12-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMACD.2017.7981603}, doi = {10.1109/SMACD.2017.7981603}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/Pano-AzucenaTFS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/Ye0C0TT17, author = {Yaoyao Ye and Taeyoung Kim and Hai{-}Bao Chen and Hai Wang and Esteban Tlelo{-}Cuautle and Sheldon X.{-}D. Tan}, title = {Comprehensive detection of counterfeit ICs via on-chip sensor and post-fabrication authentication policy}, booktitle = {14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2017, Giardini Naxos, Italy, June 12-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMACD.2017.7981561}, doi = {10.1109/SMACD.2017.7981561}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smacd/Ye0C0TT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HeHT16, author = {Kai He and Xin Huang and Sheldon X.{-}D. Tan}, title = {EM-Based On-Chip Aging Sensor for Detection of Recycled ICs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {5}, pages = {56--64}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2582830}, doi = {10.1109/MDAT.2016.2582830}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HeHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HeTZLWS16, author = {Kai He and Sheldon X.{-}D. Tan and Hengyang Zhao and Xuexin Liu and Hai Wang and Guoyong Shi}, title = {Parallel {GMRES} solver for fast analysis of large linear dynamic systems on {GPU} platforms}, journal = {Integr.}, volume = {52}, pages = {10--22}, year = {2016}, url = {https://doi.org/10.1016/j.vlsi.2015.07.005}, doi = {10.1016/J.VLSI.2015.07.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HeTZLWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HuangSCCKT16, author = {Xin Huang and Valeriy Sukharev and Jun{-}Ho Choy and Marko Chew and Taeyoung Kim and Sheldon X.{-}D. Tan}, title = {Electromigration assessment for power grid networks considering temperature and thermal stress effects}, journal = {Integr.}, volume = {55}, pages = {307--315}, year = {2016}, url = {https://doi.org/10.1016/j.vlsi.2016.04.001}, doi = {10.1016/J.VLSI.2016.04.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HuangSCCKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LiTW16, author = {Xin Li and Sheldon X.{-}D. Tan and Yu Wang}, title = {Editorial: Special Issue on The 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics 2015)}, journal = {Integr.}, volume = {55}, pages = {425}, year = {2016}, url = {https://doi.org/10.1016/j.vlsi.2016.09.002}, doi = {10.1016/J.VLSI.2016.09.002}, timestamp = {Thu, 22 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/LiTW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenTHKS16, author = {Hai{-}Bao Chen and Sheldon X.{-}D. Tan and Xin Huang and Taeyoung Kim and Valeriy Sukharev}, title = {Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {11}, pages = {1811--1824}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2523898}, doi = {10.1109/TCAD.2016.2523898}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenTHKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuangKTS16, author = {Xin Huang and Armen Kteyan and Sheldon X.{-}D. Tan and Valeriy Sukharev}, title = {Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {11}, pages = {1848--1861}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2524540}, doi = {10.1109/TCAD.2016.2524540}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuangKTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ZhaoKSTLCW16, author = {Yue Zhao and Taeyoung Kim and Hosoon Shin and Sheldon X.{-}D. Tan and Xin Li and Hai{-}Bao Chen and Hai Wang}, title = {Statistical Rare-Event Analysis and Parameter Guidance by Elite Learning Sample Selection}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {21}, number = {4}, pages = {56:1--56:21}, year = {2016}, url = {https://doi.org/10.1145/2875422}, doi = {10.1145/2875422}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ZhaoKSTLCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WangMTZTHZ16, author = {Hai Wang and Jian Ma and Sheldon X.{-}D. Tan and Chi Zhang and He Tang and Keheng Huang and Zhenghong Zhang}, title = {Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {1}, pages = {1:1--1:21}, year = {2016}, url = {https://doi.org/10.1145/2891409}, doi = {10.1145/2891409}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/WangMTZTHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HeTWS16, author = {Kai He and Sheldon X.{-}D. Tan and Hai Wang and Guoyong Shi}, title = {GPU-Accelerated Parallel Sparse {LU} Factorization Method for Fast Circuit Analysis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {3}, pages = {1140--1150}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2421287}, doi = {10.1109/TVLSI.2015.2421287}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HeTWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HeT16, author = {Kai He and Sheldon X.{-}D. Tan}, title = {Corrections to "GPU-Accelerated Parallel Sparse {LU} Factorization Method for Fast Circuit Analysis"}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {3}, pages = {1212}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2507135}, doi = {10.1109/TVLSI.2015.2507135}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HeT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangSKCT16, author = {Xin Huang and Valeriy Sukharev and Taeyoung Kim and Hai{-}Bao Chen and Sheldon X.{-}D. Tan}, title = {Electromigration recovery modeling and analysis under time-dependent current and temperature stressing}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {244--249}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428018}, doi = {10.1109/ASPDAC.2016.7428018}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangSKCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuWZWCFMLT16, author = {Wandi Liu and Hai Wang and Hengyang Zhao and Shujuan Wang and Hai{-}Bao Chen and Yuzhuo Fu and Jian Ma and Xin Li and Sheldon X.{-}D. Tan}, title = {Thermal modeling for energy-efficient smart building with advanced overfitting mitigation technique}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {417--422}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428047}, doi = {10.1109/ASPDAC.2016.7428047}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiuWZWCFMLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimSCZLWT16, author = {Taeyoung Kim and Zeyu Sun and Chase Cook and Hengyang Zhao and Ruiwen Li and Daniel Wong and Sheldon X.{-}D. Tan}, title = {Invited - Cross-layer modeling and optimization for electromigration induced reliability}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {30:1--30:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2905010}, doi = {10.1145/2897937.2905010}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimSCZLWT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangSQKT16, author = {Xin Huang and Valeriy Sukharev and Zhongdong Qi and Taeyoung Kim and Sheldon X.{-}D. Tan}, title = {Physics-based full-chip {TDDB} assessment for {BEOL} interconnects}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {45:1--45:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898062}, doi = {10.1145/2897937.2898062}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangSQKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHCST16, author = {Taeyoung Kim and Xin Huang and Hai{-}Bao Chen and Valeriy Sukharev and Sheldon X.{-}D. Tan}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Learning-based dynamic reliability management for dark silicon processor considering {EM} effects}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {463--468}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459355/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimHCST16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimSCGWCT16, author = {Taeyoung Kim and Zeyu Sun and Chase Cook and Jagadeesh Gaddipati and Hai Wang and Hai{-}Bao Chen and Sheldon X.{-}D. Tan}, editor = {Frank Liu}, title = {Dynamic reliability management for near-threshold dark silicon processors}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {70}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2980080}, doi = {10.1145/2966986.2980080}, timestamp = {Fri, 23 Jun 2023 22:29:48 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimSCGWCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunDSKHT16, author = {Zeyu Sun and Ertugrul Demircan and Mehul D. Shroff and Taeyoung Kim and Xin Huang and Sheldon X.{-}D. Tan}, editor = {Frank Liu}, title = {Voltage-based electromigration immortality check for general multi-branch interconnects}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {113}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967083}, doi = {10.1145/2966986.2967083}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunDSKHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenLT16, author = {Xiaoming Chen and Xin Li and Sheldon X.{-}D. Tan}, title = {Overview of cyber-physical temperature estimation in smart buildings: From modeling to measurements}, booktitle = {{IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2016, San Francisco, CA, USA, April 10-14, 2016}, pages = {251--256}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/INFCOMW.2016.7562081}, doi = {10.1109/INFCOMW.2016.7562081}, timestamp = {Thu, 22 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoQWVWCT16, author = {Hengyang Zhao and Zhongdong Qi and Shujuan Wang and Kambiz Vafai and Hai Wang and Hai{-}Bao Chen and Sheldon X.{-}D. Tan}, title = {Learning-based occupancy behavior detection for smart buildings}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {954--957}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527400}, doi = {10.1109/ISCAS.2016.7527400}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoQWVWCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZhangWT16, author = {Lang Zhang and Hai Wang and Sheldon X.{-}D. Tan}, title = {Fast stress analysis for runtime reliability enhancement of 3D {IC} using artificial neural network}, booktitle = {17th International Symposium on Quality Electronic Design, {ISQED} 2016, Santa Clara, CA, USA, March 15-16, 2016}, pages = {173--178}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISQED.2016.7479196}, doi = {10.1109/ISQED.2016.7479196}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ZhangWT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ZhaoTWC16, author = {Hengyang Zhao and Sheldon X.{-}D. Tan and Hai Wang and Hai{-}Bao Chen}, title = {Online Unusual Behavior Detection for Temperature Sensor Networks}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh, PA, USA, July 11-13, 2016}, pages = {59--62}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISVLSI.2016.120}, doi = {10.1109/ISVLSI.2016.120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ZhaoTWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/CookS0T16, author = {Chase Cook and Zeyu Sun and Taeyoung Kim and Sheldon X.{-}D. Tan}, title = {Finite difference method for electromigration analysis of multi-branch interconnects}, booktitle = {13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2016, Lisbon, Portugal, June 27-30, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMACD.2016.7520752}, doi = {10.1109/SMACD.2016.7520752}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smacd/CookS0T16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangZTZYHZ16, author = {Hai Wang and Ming Zhang and Sheldon X.{-}D. Tan and Chi Zhang and Yuan Yuan and Keheng Huang and Zhenghong Zhang}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {New power budgeting and thermal management scheme for multi-core systems in dark silicon}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {344--349}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905507}, doi = {10.1109/SOCC.2016.7905507}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/WangZTZYHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChenTSH0S15, author = {Hai{-}Bao Chen and Sheldon X.{-}D. Tan and David H. Shin and Xin Huang and Hai Wang and Guoyong Shi}, title = {H\({}^{\mbox{2}}\)-matrix-based finite element linear solver for fast transient thermal analysis of high-performance ICs}, journal = {Int. J. Circuit Theory Appl.}, volume = {43}, number = {12}, pages = {1953--1970}, year = {2015}, url = {https://doi.org/10.1002/cta.2051}, doi = {10.1002/CTA.2051}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChenTSH0S15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ChenLTHWW15, author = {Hai{-}Bao Chen and Ying{-}Chi Li and Sheldon X.{-}D. Tan and Xin Huang and Hai Wang and Ngai Wong}, title = {\emph{H}-Matrix-Based Finite-Element-Based Thermal Analysis for 3D ICs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {4}, pages = {47:1--47:25}, year = {2015}, url = {https://doi.org/10.1145/2714563}, doi = {10.1145/2714563}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ChenLTHWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuTHW15, author = {Zao Liu and Sheldon X.{-}D. Tan and Xin Huang and Hai Wang}, title = {Task Migrations for Distributed Thermal Management Considering Transient Effects}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {2}, pages = {397--401}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2309331}, doi = {10.1109/TVLSI.2014.2309331}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuTHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuYT15, author = {Xuexin Liu and Hao Yu and Sheldon X.{-}D. Tan}, title = {A GPU-Accelerated Parallel Shooting Algorithm for Analysis of Radio Frequency and Microwave Integrated Circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {3}, pages = {480--492}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2309606}, doi = {10.1109/TVLSI.2014.2309606}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuZLHTY15, author = {Xuexin Liu and Kuangya Zhai and Zao Liu and Kai He and Sheldon X.{-}D. Tan and Wenjian Yu}, title = {Parallel Thermal Analysis of 3-D Integrated Circuits With Liquid Cooling on {CPU-GPU} Platforms}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {3}, pages = {575--579}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2309617}, doi = {10.1109/TVLSI.2014.2309617}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuZLHTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenTHS15, author = {Hai{-}Bao Chen and Sheldon X.{-}D. Tan and Xin Huang and Valeriy Sukharev}, title = {New electromigration modeling and analysis considering time-varying temperature and current densities}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {352--357}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7059030}, doi = {10.1109/ASPDAC.2015.7059030}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenTHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhuT15, author = {Yan Zhu and Sheldon X.{-}D. Tan}, title = {GPU-accelerated parallel Monte Carlo analysis of analog circuits by hierarchical graph-based solver}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {719--724}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7059095}, doi = {10.1109/ASPDAC.2015.7059095}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhuT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenTSHK15, author = {Hai{-}Bao Chen and Sheldon X.{-}D. Tan and Valeriy Sukharev and Xin Huang and Taeyoung Kim}, title = {Interconnect reliability modeling and analysis for multi-branch interconnect trees}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {90:1--90:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747953}, doi = {10.1145/2744769.2747953}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenTSHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeHT15, author = {Kai He and Xin Huang and Sheldon X.{-}D. Tan}, editor = {Diana Marculescu and Frank Liu}, title = {EM-Based on-Chip Aging Sensor for Detection and Prevention of Counterfeit and Recycled ICs}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {146--151}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372562}, doi = {10.1109/ICCAD.2015.7372562}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HeHT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaoQWWCLT15, author = {Hengyang Zhao and Daniel Quach and Shujuan Wang and Hai Wang and Hai{-}Bao Chen and Xin Li and Sheldon X.{-}D. Tan}, editor = {Diana Marculescu and Frank Liu}, title = {Learning Based Compact Thermal Modeling for Energy-Efficient Smart Building Management: (invited)}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {450--456}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372604}, doi = {10.1109/ICCAD.2015.7372604}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhaoQWWCLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenLT15, author = {Xiaoming Chen and Xin Li and Sheldon X.{-}D. Tan}, editor = {Diana Marculescu and Frank Liu}, title = {From Robust Chip to Smart Building: {CAD} Algorithms and Methodologies for Uncertainty Analysis of Building Performance}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {457--464}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372605}, doi = {10.1109/ICCAD.2015.7372605}, timestamp = {Thu, 22 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/ShinTST15, author = {Hosoon Shin and Sheldon X.{-}D. Tan and Guoyong Shi and Esteban Tlelo{-}Cuautle}, title = {Rare event diagnosis by iterative failure region locating and elite learning sample selection}, booktitle = {16th Latin-American Test Symposium, {LATS} 2015, Puerto Vallarta, Mexico, March 25-27, 2015}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/LATW.2015.7102505}, doi = {10.1109/LATW.2015.7102505}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/ShinTST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhaoSCTSL15, author = {Yue Zhao and Hosoon Shin and Hai{-}Bao Chen and Sheldon X.{-}D. Tan and Guoyong Shi and Xin Li}, title = {Statistical rare event analysis using smart sampling and parameter guidance}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {53--58}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406910}, doi = {10.1109/SOCC.2015.7406910}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/ZhaoSCTSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LiuTWH014, author = {Zao Liu and Sheldon X.{-}D. Tan and Hai Wang and Yingbo Hua and Ashish Gupta}, title = {Compact thermal modeling for packaged microprocessor design with practical power maps}, journal = {Integr.}, volume = {47}, number = {1}, pages = {71--85}, year = {2014}, url = {https://doi.org/10.1016/j.vlsi.2013.07.003}, doi = {10.1016/J.VLSI.2013.07.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LiuTWH014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuSTCW14, author = {Zao Liu and Sahana Swarup and Sheldon X.{-}D. Tan and Hai{-}Bao Chen and Hai Wang}, title = {Compact Lateral Thermal Resistance Model of TSVs for Fast Finite-Difference Based Thermal Analysis of 3-D Stacked ICs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {10}, pages = {1490--1502}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2334321}, doi = {10.1109/TCAD.2014.2334321}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuSTCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/MaWTZT14, author = {Jian Ma and Hai Wang and Sheldon X.{-}D. Tan and Chi Zhang and He Tang}, title = {Hybrid dynamic thermal management method with model predictive control}, booktitle = {2014 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2014, Ishigaki, Japan, November 17-20, 2014}, pages = {743--746}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APCCAS.2014.7032888}, doi = {10.1109/APCCAS.2014.7032888}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/MaWTZT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuTCT14, author = {Tan Yu and Sheldon X.{-}D. Tan and Yici Cai and Puying Tang}, title = {Time-domain performance bound analysis for analog and interconnect circuits considering process variations}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {455--460}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742933}, doi = {10.1109/ASPDAC.2014.6742933}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YuTCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangYST14, author = {Xin Huang and Tan Yu and Valeriy Sukharev and Sheldon X.{-}D. Tan}, title = {Physics-based Electromigration Assessment for Power Grid Networks}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {80:1--80:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593180}, doi = {10.1145/2593069.2593180}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangYST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WeiKPZTCUM14, author = {Tianshu Wei and Taeyoung Kim and Sangyoung Park and Qi Zhu and Sheldon X.{-}D. Tan and Naehyuck Chang and Sadrul Ula and Mehdi Maasoumy}, title = {Battery Management and Application for Energy-Efficient Buildings}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {136:1--136:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2596670}, doi = {10.1145/2593069.2596670}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WeiKPZTCUM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SukharevHCT14, author = {Valeriy Sukharev and Xin Huang and Hai{-}Bao Chen and Sheldon X.{-}D. Tan}, editor = {Yao{-}Wen Chang}, title = {IR-drop based electromigration assessment: parametric failure chip-scale analysis}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {428--433}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001387}, doi = {10.1109/ICCAD.2014.7001387}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SukharevHCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimZCZST14, author = {Taeyoung Kim and Bowen Zheng and Hai{-}Bao Chen and Qi Zhu and Valeriy Sukharev and Sheldon X.{-}D. Tan}, editor = {Yao{-}Wen Chang}, title = {Lifetime optimization for real-time embedded systems considering electromigration effects}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {434--439}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001388}, doi = {10.1109/ICCAD.2014.7001388}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimZCZST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiTYHW14, author = {Ying{-}Chi Li and Sheldon X.{-}D. Tan and Tan Yu and Xin Huang and Ngai Wong}, title = {Direct finite-element-based solver for 3D-IC thermal analysis via H-matrix representation}, booktitle = {Fifteenth International Symposium on Quality Electronic Design, {ISQED} 2014, Santa Clara, CA, USA, March 3-5, 2014}, pages = {386--391}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISQED.2014.6783351}, doi = {10.1109/ISQED.2014.6783351}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LiTYHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HeTT0T14, author = {Kai He and Sheldon X.{-}D. Tan and Esteban Tlelo{-}Cuautle and Hai Wang and He Tang}, title = {A new segmentation-based GPU-accelerated sparse matrix-vector multiplication}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1013--1016}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908589}, doi = {10.1109/MWSCAS.2014.6908589}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HeTT0T14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HaoTS13, author = {Zhigang Hao and Sheldon X.{-}D. Tan and Guoyong Shi}, title = {Statistical full-chip total power estimation considering spatially correlated process variations}, journal = {Integr.}, volume = {46}, number = {1}, pages = {80--88}, year = {2013}, url = {https://doi.org/10.1016/j.vlsi.2011.12.004}, doi = {10.1016/J.VLSI.2011.12.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HaoTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WangTL0Y13, author = {Hai Wang and Sheldon X.{-}D. Tan and Duo Li and Ashish Gupta and Yuan Yuan}, title = {Composable thermal modeling and simulation for architecture-level thermal designs of multicore microprocessors}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {2}, pages = {28:1--28:27}, year = {2013}, url = {https://doi.org/10.1145/2442087.2442099}, doi = {10.1145/2442087.2442099}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/WangTL0Y13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LiuTPTS13, author = {Xuexin Liu and Sheldon X.{-}D. Tan and Adolfo Adair Palma{-}Rodriguez and Esteban Tlelo{-}Cuautle and Guoyong Shi}, title = {Performance bound analysis of analog circuits in frequency- and time-domain considering process variations}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {19}, number = {1}, pages = {6:1--6:22}, year = {2013}, url = {https://doi.org/10.1145/2534395}, doi = {10.1145/2534395}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/LiuTPTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HaoSTT13, author = {Zhigang Hao and Guoyong Shi and Sheldon X.{-}D. Tan and Esteban Tlelo{-}Cuautle}, title = {Symbolic Moment Computation for Statistical Analysis of Large Interconnect Networks}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {5}, pages = {944--957}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2197835}, doi = {10.1109/TVLSI.2012.2197835}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HaoSTT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuHTWT13, author = {Zao Liu and Xin Huang and Sheldon X.{-}D. Tan and Hai Wang and He Tang}, title = {Distributed task migration for thermal hot spot reduction in many-core microprocessors}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811821}, doi = {10.1109/ASICON.2013.6811821}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuHTWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuTWS013, author = {Zao Liu and Sheldon X.{-}D. Tan and Hai Wang and Sahana Swarup and Ashish Gupta}, title = {Compact nonlinear thermal modeling of packaged integrated systems}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {157--162}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509589}, doi = {10.1109/ASPDAC.2013.6509589}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuTWS013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuXTW13, author = {Zao Liu and Tailong Xu and Sheldon X.{-}D. Tan and Hai Wang}, title = {Dynamic thermal management for multi-core microprocessors considering transient thermal effects}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {473--478}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509641}, doi = {10.1109/ASPDAC.2013.6509641}, timestamp = {Tue, 20 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiuXTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuPRTTC13, author = {Xuexin Liu and Adolfo Adair Palma{-}Rodriguez and Santiago Rodriguez{-}Chavez and Sheldon X.{-}D. Tan and Esteban Tlelo{-}Cuautle and Yici Cai}, title = {Performance bound and yield analysis for analog circuits under process variations}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {761--766}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509692}, doi = {10.1109/ASPDAC.2013.6509692}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuPRTTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangTSL13, author = {Hai Wang and Sheldon X.{-}D. Tan and Sahana Swarup and Xuexin Liu}, editor = {Enrico Macii}, title = {A power-driven thermal sensor placement algorithm for dynamic thermal management}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1215--1220}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.252}, doi = {10.7873/DATE.2013.252}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/WangTSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuST13, author = {Zao Liu and Sahana Swarup and Sheldon X.{-}D. Tan}, editor = {J{\"{o}}rg Henkel}, title = {Compact lateral thermal resistance modeling and characterization for {TSV} and {TSV} array}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {275--280}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691132}, doi = {10.1109/ICCAD.2013.6691132}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuWT13, author = {Xuexin Liu and Hai Wang and Sheldon X.{-}D. Tan}, editor = {J{\"{o}}rg Henkel}, title = {Parallel power grid analysis using preconditioned {GMRES} solver on {CPU-GPU} platforms}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {561--568}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691171}, doi = {10.1109/ICCAD.2013.6691171}, timestamp = {Tue, 20 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0035475, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Hao Yu}, title = {Statistical Performance Analysis and Modeling Techniques for Nanometer {VLSI} Designs}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-1-4614-0788-1}, doi = {10.1007/978-1-4614-0788-1}, isbn = {978-1-4614-0787-4}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0035475.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LuoZGT12, author = {Zuying Luo and Guoxing Zhao and Joseph A. Gordon and Sheldon X.{-}D. Tan}, title = {Localized relaxation theory of circuits and its applications in electro-thermal analyses}, journal = {Sci. China Inf. Sci.}, volume = {55}, number = {4}, pages = {938--950}, year = {2012}, url = {https://doi.org/10.1007/s11432-011-4479-1}, doi = {10.1007/S11432-011-4479-1}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/LuoZGT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/WangYT12, author = {Hai Wang and Hao Yu and Sheldon X.{-}D. Tan}, title = {Fast timing analysis of clock networks considering environmental uncertainty}, journal = {Integr.}, volume = {45}, number = {4}, pages = {376--387}, year = {2012}, url = {https://doi.org/10.1016/j.vlsi.2011.03.001}, doi = {10.1016/J.VLSI.2011.03.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/WangYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WangTR12, author = {Hai Wang and Sheldon X.{-}D. Tan and Ryan Rakib}, title = {Compact Modeling of Interconnect Circuits over Wide Frequency Band by Adaptive Complex-Valued Sampling Method}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {17}, number = {1}, pages = {5:1--5:22}, year = {2012}, url = {https://doi.org/10.1145/2071356.2071361}, doi = {10.1145/2071356.2071361}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/WangTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/GongLYTRH12, author = {Fang Gong and Xuexin Liu and Hao Yu and Sheldon X.{-}D. Tan and Junyan Ren and Lei He}, title = {A Fast Non-Monte-Carlo Yield Analysis and Optimization by Stochastic Orthogonal Polynomials}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {17}, number = {1}, pages = {10:1--10:23}, year = {2012}, url = {https://doi.org/10.1145/2071356.2071366}, doi = {10.1145/2071356.2071366}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/GongLYTRH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ShenTWX12, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Hai Wang and Jinjun Xiong}, title = {Fast Statistical Full-Chip Leakage Analysis for Nanometer {VLSI} Systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {17}, number = {4}, pages = {51:1--51:19}, year = {2012}, url = {https://doi.org/10.1145/2348839.2348855}, doi = {10.1145/2348839.2348855}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ShenTWX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/EguiaTSLPTW12, author = {Thom Jefferson A. Eguia and Sheldon X.{-}D. Tan and Ruijing Shen and Duo Li and Eduardo H. Pacheco and Murli Tirumala and Lingli Wang}, title = {General Parameterized Thermal Modeling for High-Performance Microprocessor Design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {2}, pages = {211--224}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2010.2098054}, doi = {10.1109/TVLSI.2010.2098054}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/EguiaTSLPTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YanTZCS12, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Lingfei Zhou and Jie Chen and Ruijing Shen}, title = {Decentralized and Passive Model Order Reduction of Linear Networks With Massive Ports}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {5}, pages = {865--877}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2126612}, doi = {10.1109/TVLSI.2011.2126612}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YanTZCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuTHS12, author = {Xuexin Liu and Sheldon X.{-}D. Tan and Zhigang Hao and Guoyong Shi}, title = {Time-domain performance bound analysis of analog circuits considering process variations}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {535--540}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6165011}, doi = {10.1109/ASPDAC.2012.6165011}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuTHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangTLG12, author = {Hai Wang and Sheldon X.{-}D. Tan and Xuexin Liu and Ashish Gupta}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Runtime power estimator calibration for high-performance microprocessors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {352--357}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176496}, doi = {10.1109/DATE.2012.6176496}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangTLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuTW12, author = {Xuexin Liu and Sheldon X.{-}D. Tan and Hai Wang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Parallel statistical analysis of analog circuits by GPU-accelerated graph-based approach}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {852--857}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176615}, doi = {10.1109/DATE.2012.6176615}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuTWY12, author = {Xuexin Liu and Sheldon X.{-}D. Tan and Hai Wang and Hao Yu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A GPU-accelerated envelope-following method for switching power converter simulation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1349--1354}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176701}, doi = {10.1109/DATE.2012.6176701}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuTWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuLTG12, author = {Xuexin Liu and Zao Liu and Sheldon X.{-}D. Tan and Joseph A. Gordon}, editor = {Keith A. Bowman and Kamesh V. Gadepally and Pallab Chatterjee and Mark M. Budnik and Lalitha Immaneni}, title = {Full-chip thermal analysis of 3D ICs with liquid cooling by GPU-accelerated {GMRES} method}, booktitle = {Thirteenth International Symposium on Quality Electronic Design, {ISQED} 2012, Santa Clara, CA, USA, March 19-21, 2012}, pages = {123--128}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISQED.2012.6187484}, doi = {10.1109/ISQED.2012.6187484}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LiuLTG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ShenTL12, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Xuexin Liu}, editor = {Keith A. Bowman and Kamesh V. Gadepally and Pallab Chatterjee and Mark M. Budnik and Lalitha Immaneni}, title = {A new voltage binning technique for yield improvement based on graph theory}, booktitle = {Thirteenth International Symposium on Quality Electronic Design, {ISQED} 2012, Santa Clara, CA, USA, March 19-21, 2012}, pages = {243--248}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISQED.2012.6187501}, doi = {10.1109/ISQED.2012.6187501}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ShenTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/Tlelo-CuautleST12, author = {Esteban Tlelo{-}Cuautle and Carlos S{\'{a}}nchez{-}L{\'{o}}pez and Sheldon X.{-}D. Tan}, title = {Symbolic nodal analysis of analog integrated circuits using pathological elements}, booktitle = {10th {IEEE} International {NEWCAS} Conference, Montreal, QC, Canada, June 17-20, 2012}, pages = {161--164}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEWCAS.2012.6328981}, doi = {10.1109/NEWCAS.2012.6328981}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/newcas/Tlelo-CuautleST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/LiuTL0X12, author = {Xuexin Liu and Sheldon X.{-}D. Tan and Zao Liu and Hai Wang and Tailong Xu}, title = {Transient analysis of large linear dynamic networks on hybrid GPU-multicore platforms}, booktitle = {10th {IEEE} International {NEWCAS} Conference, Montreal, QC, Canada, June 17-20, 2012}, pages = {173--176}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEWCAS.2012.6328984}, doi = {10.1109/NEWCAS.2012.6328984}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/LiuTL0X12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/Sanchez-LopezFTT11, author = {Carlos S{\'{a}}nchez{-}L{\'{o}}pez and Francisco V. Fern{\'{a}}ndez and Esteban Tlelo{-}Cuautle and Sheldon X.{-}D. Tan}, title = {Pathological Element-Based Active Device Models and Their Application to Symbolic Analysis}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {6}, pages = {1382--1395}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2097696}, doi = {10.1109/TCSI.2010.2097696}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/Sanchez-LopezFTT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/SwarupTLWHS11, author = {Sahana Swarup and Sheldon X.{-}D. Tan and Zao Liu and Hai Wang and Zhigang Hao and Guoyong Shi}, title = {Battery state of charge estimation using adaptive subspace identification method}, booktitle = {2011 {IEEE} 9th International Conference on ASIC, {ASICON} 2011, Xiamen, China, October 25-28, 2011}, pages = {91--94}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASICON.2011.6157130}, doi = {10.1109/ASICON.2011.6157130}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/SwarupTLWHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuYRT11, author = {Xuexin Liu and Hao Yu and Jacob Relles and Sheldon X.{-}D. Tan}, title = {A structured parallel periodic Arnoldi shooting algorithm for {RF-PSS} analysis based on {GPU} platforms}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {13--18}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722172}, doi = {10.1109/ASPDAC.2011.5722172}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiuYRT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HaoTSS11, author = {Zhigang Hao and Sheldon X.{-}D. Tan and Ruijing Shen and Guoyong Shi}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Performance bound analysis of analog circuits considering process variations}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {310--315}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2024724.2024799}, doi = {10.1145/2024724.2024799}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HaoTSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/LiuTWQG11, author = {Zao Liu and Sheldon X.{-}D. Tan and Hai Wang and Rafael Quintanilla and Ashish Gupta}, title = {Compact thermal modeling for package design with practical power maps}, booktitle = {2011 International Green Computing Conference and Workshops, {IGCC} 2012, Orlando, FL, USA, July 25-28, 2011}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IGCC.2011.6008577}, doi = {10.1109/IGCC.2011.6008577}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/LiuTWQG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangTLQG11, author = {Hai Wang and Sheldon X.{-}D. Tan and Guangdeng Liao and Rafael Quintanilla and Ashish Gupta}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Full-chip runtime error-tolerant thermal estimation and prediction for practical thermal management}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {716--723}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105408}, doi = {10.1109/ICCAD.2011.6105408}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangTLQG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HaoTS11, author = {Zhigang Hao and Sheldon X.{-}D. Tan and Guoyong Shi}, title = {An efficient statistical chip-level total power estimation method considering process variations with spatial correlation}, booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, {ISQED} 2011, Santa Clara, California, USA, 14-16 March 2011}, pages = {671--676}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISQED.2011.5770801}, doi = {10.1109/ISQED.2011.5770801}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HaoTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HaoSTLSC11, author = {Zhigang Hao and Ruijing Shen and Sheldon X.{-}D. Tan and Bao Liu and Guoyong Shi and Yici Cai}, title = {Statistical full-chip dynamic power estimation considering spatial correlations}, booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, {ISQED} 2011, Santa Clara, California, USA, 14-16 March 2011}, pages = {677--682}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISQED.2011.5770802}, doi = {10.1109/ISQED.2011.5770802}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HaoSTLSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ShenTMC10, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Ning Mi and Yici Cai}, title = {Statistical modeling and analysis of chip-level leakage power by spectral stochastic method}, journal = {Integr.}, volume = {43}, number = {1}, pages = {156--165}, year = {2010}, url = {https://doi.org/10.1016/j.vlsi.2009.09.003}, doi = {10.1016/J.VLSI.2009.09.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/ShenTMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LiT10, author = {Duo Li and Sheldon X.{-}D. Tan}, title = {Statistical analysis of large on-chip power grid networks by variational reduction scheme}, journal = {Integr.}, volume = {43}, number = {2}, pages = {167--175}, year = {2010}, url = {https://doi.org/10.1016/j.vlsi.2010.01.004}, doi = {10.1016/J.VLSI.2010.01.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LiT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YanTF10, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Jeffrey Fan}, title = {Passive Rational Interpolation-Based Reduction via Carath{\'{e}}odory Extension for General Systems}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {9}, pages = {750--755}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2056010}, doi = {10.1109/TCSII.2010.2056010}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YanTF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LiTPT10, author = {Duo Li and Sheldon X.{-}D. Tan and Eduardo H. Pacheco and Murli Tirumala}, title = {Parameterized architecture-level dynamic thermal models for multicore microprocessors}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {15}, number = {2}, pages = {16:1--16:22}, year = {2010}, url = {https://doi.org/10.1145/1698759.1698766}, doi = {10.1145/1698759.1698766}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/LiTPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YuCSSHT10, author = {Hao Yu and Chunta Chu and Yiyu Shi and David Smart and Lei He and Sheldon X.{-}D. Tan}, title = {Fast Analysis of a Large-Scale Inductive Interconnect by Block-Structure-Preserved Macromodeling}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {10}, pages = {1399--1411}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2024343}, doi = {10.1109/TVLSI.2009.2024343}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YuCSSHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShenTCYCC10, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Jian Cui and Wenjian Yu and Yici Cai and Gengsheng Chen}, title = {Variational Capacitance Extraction and Modeling Based on Orthogonal Polynomial Method}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {11}, pages = {1556--1566}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2025378}, doi = {10.1109/TVLSI.2009.2025378}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShenTCYCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YanTCC10, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Gengsheng Chen and Yici Cai}, title = {Efficient model reduction of interconnects via double gramians approximation}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {25--30}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419923}, doi = {10.1109/ASPDAC.2010.5419923}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YanTCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangTC10, author = {Hai Wang and Sheldon X.{-}D. Tan and Gengsheng Chen}, title = {Wideband reduced modeling of interconnect circuits by adaptive complex-valued sampling method}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {31--36}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419924}, doi = {10.1109/ASPDAC.2010.5419924}, timestamp = {Tue, 20 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuLWT10, author = {Hao Yu and Xuexin Liu and Hai Wang and Sheldon X.{-}D. Tan}, title = {A fast analog mismatch analysis by an incremental and stochastic trajectory piecewise linear macromodel}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {211--216}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419894}, doi = {10.1109/ASPDAC.2010.5419894}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YuLWT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiTMC10, author = {Duo Li and Sheldon X.{-}D. Tan and Ning Mi and Yici Cai}, title = {Efficient power grid integrity analysis using on-the-fly error check and reduction}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {763--768}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419788}, doi = {10.1109/ASPDAC.2010.5419788}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiTMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShenTX10, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Jinjun Xiong}, editor = {Sachin S. Sapatnekar}, title = {A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {481--486}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837394}, doi = {10.1145/1837274.1837394}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShenTX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuYT10, author = {Xuexin Liu and Hao Yu and Sheldon X.{-}D. Tan}, editor = {Sachin S. Sapatnekar}, title = {A robust periodic arnoldi shooting algorithm for efficient analysis of large-scale {RF/MM} ICs}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {573--578}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837415}, doi = {10.1145/1837274.1837415}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuYT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EguiaTSPT10, author = {Thom Jefferson A. Eguia and Sheldon X.{-}D. Tan and Ruijing Shen and Eduardo H. Pacheco and Murli Tirumala}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {General behavioral thermal modeling and characterization for multi-core microprocessor design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1136--1141}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456979}, doi = {10.1109/DATE.2010.5456979}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/EguiaTSPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MaWZTT10, author = {Kejie Ma and Lingli Wang and Xuegong Zhou and Sheldon X.{-}D. Tan and Jiarong Tong}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {General switch box modeling and optimization for {FPGA} routing architectures}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {320--323}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681437}, doi = {10.1109/FPT.2010.5681437}, timestamp = {Thu, 01 Feb 2018 14:20:39 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MaWZTT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ShenTX10, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Jinjun Xiong}, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {A linear statistical analysis for full-chip leakage power with spatial correlation}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {227--232}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481.1785536}, doi = {10.1145/1785481.1785536}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ShenTX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceee/Tlelo-CuautleMST10, author = {Esteban Tlelo{-}Cuautle and Elyoenai Mart{\'{\i}}nez{-}Romero and Carlos S{\'{a}}nchez{-}L{\'{o}}pez and Sheldon X.{-}D. Tan}, title = {Symbolic behavioral modeling of low voltage amplifiers}, booktitle = {Proceedings of the 7th International Conference on Electrical Engineering, Computing Science and Automatic Control, {CCE} 2010 (Formerly known as ICEEE), September 8-10, 2010, Tuxtla Gutierrez, Mexico}, pages = {510--514}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICEEE.2010.5608604}, doi = {10.1109/ICEEE.2010.5608604}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/iceee/Tlelo-CuautleMST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LiT09, author = {Duo Li and Sheldon X.{-}D. Tan}, title = {Fast Analysis of On-Chip Power Grid Circuits by Extended Truncated Balanced Realization Method}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {12}, pages = {3061--3069}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.3061}, doi = {10.1587/TRANSFUN.E92.A.3061}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LiT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/MiTY09, author = {Ning Mi and Sheldon X.{-}D. Tan and Boyuan Yan}, title = {Multiple block structure-preserving reduced order modeling of interconnect circuits}, journal = {Integr.}, volume = {42}, number = {2}, pages = {158--168}, year = {2009}, url = {https://doi.org/10.1016/j.vlsi.2008.04.006}, doi = {10.1016/J.VLSI.2008.04.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/MiTY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LiTW09, author = {Duo Li and Sheldon X.{-}D. Tan and Lifeng Wu}, title = {Hierarchical Krylov subspace based reduction of large interconnects}, journal = {Integr.}, volume = {42}, number = {2}, pages = {193--202}, year = {2009}, url = {https://doi.org/10.1016/j.vlsi.2008.06.004}, doi = {10.1016/J.VLSI.2008.06.004}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/LiTW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiTPT09, author = {Duo Li and Sheldon X.{-}D. Tan and Eduardo H. Pacheco and Murli Tirumala}, title = {Architecture-Level Thermal Characterization for Multicore Microprocessors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {10}, pages = {1495--1507}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2005193}, doi = {10.1109/TVLSI.2008.2005193}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiTPT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShenMTCH09, author = {Ruijing Shen and Ning Mi and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, editor = {Kazutoshi Wakabayashi}, title = {Statistical modeling and analysis of chip-level leakage power by spectral stochastic method}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {161--166}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796474}, doi = {10.1109/ASPDAC.2009.4796474}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShenMTCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiTCZ09, author = {Duo Li and Sheldon X.{-}D. Tan and Gengsheng Chen and Xuan Zeng}, editor = {Kazutoshi Wakabayashi}, title = {Statistical analysis of on-chip power grid networks by variational extended truncated balanced realization method}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {272--277}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796492}, doi = {10.1109/ASPDAC.2009.4796492}, timestamp = {Fri, 21 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiTCZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangYT09, author = {Hai Wang and Hao Yu and Sheldon X.{-}D. Tan}, editor = {Kazutoshi Wakabayashi}, title = {Fast analysis of nontree-clock network considering environmental uncertainty by parameterized and incremental macromodeling}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {379--384}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796510}, doi = {10.1109/ASPDAC.2009.4796510}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangYT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShiCHMTHW09, author = {Jin Shi and Yici Cai and Wenting Hou and Liwei Ma and Sheldon X.{-}D. Tan and Pei{-}Hsin Ho and Xiaoyi Wang}, title = {{GPU} friendly fast Poisson solver for structured power grid network analysis}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {178--183}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1629961}, doi = {10.1145/1629911.1629961}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShiCHMTHW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangCTHR09, author = {Xiaoyi Wang and Yici Cai and Sheldon X.{-}D. Tan and Xianlong Hong and Jacob Relles}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {An efficient decoupling capacitance optimization using piecewise polynomial models}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1190--1195}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090843}, doi = {10.1109/DATE.2009.5090843}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/WangCTHR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangCZTE09, author = {Xiaoyi Wang and Yici Cai and Qiang Zhou and Sheldon X.{-}D. Tan and Thom Jefferson A. Eguia}, editor = {Jaijeet S. Roychowdhury}, title = {Decoupling capacitance efficient placement for reducing transient power supply noise}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {745--751}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687538}, doi = {10.1145/1687399.1687538}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangCZTE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/Tlelo-CuautleMST09, author = {Esteban Tlelo{-}Cuautle and Elyoenai Mart{\'{\i}}nez{-}Romero and Carlos S{\'{a}}nchez{-}L{\'{o}}pez and Sheldon X.{-}D. Tan}, title = {Symbolic formulation method for mixed-mode analog circuits using nullors}, booktitle = {16th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2009, Yasmine Hammamet, Tunisia, 13-19 December, 2009}, pages = {856--859}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICECS.2009.5410758}, doi = {10.1109/ICECS.2009.5410758}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/Tlelo-CuautleMST09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoFT09, author = {Zuying Luo and Jeffrey Fan and Sheldon X.{-}D. Tan}, title = {Localized Statistical 3D Thermal Analysis Considering Electro-Thermal Coupling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {1289--1292}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117999}, doi = {10.1109/ISCAS.2009.5117999}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoFT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/EguiaMT09, author = {Thom Jefferson A. Eguia and Ning Mi and Sheldon X.{-}D. Tan}, title = {Statistical decoupling capacitance allocation by efficient numerical quadrature method}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {309--316}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810313}, doi = {10.1109/ISQED.2009.4810313}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/EguiaMT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/CaiSPHT08, author = {Yici Cai and Jin Shi and Zhu Pan and Xianlong Hong and Sheldon X.{-}D. Tan}, title = {Large scale {P/G} grid transient simulation using hierarchical relaxed approach}, journal = {Integr.}, volume = {41}, number = {1}, pages = {153--160}, year = {2008}, url = {https://doi.org/10.1016/j.vlsi.2007.04.003}, doi = {10.1016/J.VLSI.2007.04.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/CaiSPHT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LiuTYM08, author = {Pu Liu and Sheldon X.{-}D. Tan and Boyuan Yan and Bruce McGaughy}, title = {An efficient terminal and model order reduction algorithm}, journal = {Integr.}, volume = {41}, number = {2}, pages = {210--218}, year = {2008}, url = {https://doi.org/10.1016/j.vlsi.2007.05.004}, doi = {10.1016/J.VLSI.2007.05.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LiuTYM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/TanLJWT08, author = {Sheldon X.{-}D. Tan and Pu Liu and Lin Jiang and Wei Wu and Murli Tirumala}, title = {A Fast Architecture-Level Thermal Analysis Method for Runtime Thermal Regulation}, journal = {J. Low Power Electron.}, volume = {4}, number = {2}, pages = {139--148}, year = {2008}, url = {https://doi.org/10.1166/jolpe.2008.272}, doi = {10.1166/JOLPE.2008.272}, timestamp = {Fri, 05 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jolpe/TanLJWT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MiTCH08, author = {Ning Mi and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, title = {Fast Variational Analysis of On-Chip Power Grids by Stochastic Extended Krylov Subspace Method}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {11}, pages = {1996--2006}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.2006077}, doi = {10.1109/TCAD.2008.2006077}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MiTCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/CaiKSHT08, author = {Yici Cai and Le Kang and Jin Shi and Xianlong Hong and Sheldon X.{-}D. Tan}, title = {Random Walk Guided Decap Embedding for Power/Ground Network Optimization}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {55-II}, number = {1}, pages = {36--40}, year = {2008}, url = {https://doi.org/10.1109/TCSII.2007.909869}, doi = {10.1109/TCSII.2007.909869}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/CaiKSHT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MiFTCH08, author = {Ning Mi and Jeffrey Fan and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, title = {Statistical Analysis of On-Chip Power Delivery Networks Considering Lognormal Leakage Current Variations With Spatial Correlation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {55-I}, number = {7}, pages = {2064--2075}, year = {2008}, url = {https://doi.org/10.1109/TCSI.2008.918215}, doi = {10.1109/TCSI.2008.918215}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MiFTCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YanTM08, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Bruce McGaughy}, title = {Second-Order Balanced Truncation for Passive-Order Reduction of \emph{RLCK} Circuits}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {55-II}, number = {9}, pages = {942--946}, year = {2008}, url = {https://doi.org/10.1109/TCSII.2008.925655}, doi = {10.1109/TCSII.2008.925655}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YanTM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiT08, author = {Duo Li and Sheldon X.{-}D. Tan}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Hierarchical Krylov subspace reduced order modeling of large {RLC} circuits}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {170--175}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483934}, doi = {10.1109/ASPDAC.2008.4483934}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiTT08, author = {Duo Li and Sheldon X.{-}D. Tan and Murli Tirumala}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Architecture-level thermal behavioral characterization for multi-core microprocessors}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {456--461}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483994}, doi = {10.1109/ASPDAC.2008.4483994}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YanZTCM08, author = {Boyuan Yan and Lingfei Zhou and Sheldon X.{-}D. Tan and Jie Chen and Bruce McGaughy}, editor = {Limor Fix}, title = {DeMOR: decentralized model order reduction of linear networks with massive ports}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {409--414}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391577}, doi = {10.1145/1391469.1391577}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YanZTCM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiTM08, author = {Duo Li and Sheldon X.{-}D. Tan and Bruce McGaughy}, editor = {Donatella Sciuto}, title = {{ETBR:} Extended Truncated Balanced Realization Method for On-Chip Power Grid Network Analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {432--437}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484719}, doi = {10.1109/DATE.2008.4484719}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiTM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CuiCSTYT08, author = {Jian Cui and Gengsheng Chen and Ruijing Shen and Sheldon X.{-}D. Tan and Wenjian Yu and Jiarong Tong}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Variational capacitance modeling using orthogonal polynomial method}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {23--28}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366119}, doi = {10.1145/1366110.1366119}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CuiCSTYT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiuTWT08, author = {Pu Liu and Sheldon X.{-}D. Tan and Wei Wu and Murli Tirumala}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {{FEKIS:} a fast architecture-level thermal analyzer for online thermal regulation}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {411--416}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366209}, doi = {10.1145/1366110.1366209}, timestamp = {Fri, 05 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LiuTWT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiTPT08, author = {Duo Li and Sheldon X.{-}D. Tan and Eduardo H. Pacheco and Murli Tirumala}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Parameterized transient thermal behavioral modeling for chip multiprocessors}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {611--617}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681640}, doi = {10.1109/ICCAD.2008.4681640}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiTPT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YanTCW08, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Gengsheng Chen and Lifeng Wu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Modeling and simulation for on-chip power grid networks by locally dominant Krylov subspace method}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {744--749}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681659}, doi = {10.1109/ICCAD.2008.4681659}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YanTCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LuoT08, author = {Zuying Luo and Sheldon X.{-}D. Tan}, title = {Statistic Analysis of Power/Ground Networks Using Single-Node {SOR} Method}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {867--872}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479852}, doi = {10.1109/ISQED.2008.4479852}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LuoT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/FanTCH07, author = {Jeffrey Fan and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, title = {Partitioning-based decoupling capacitor budgeting via sequence of linear programming}, journal = {Integr.}, volume = {40}, number = {4}, pages = {516--524}, year = {2007}, url = {https://doi.org/10.1016/j.vlsi.2006.10.002}, doi = {10.1016/J.VLSI.2006.10.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/FanTCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShiCTFH07, author = {Jin Shi and Yici Cai and Sheldon X.{-}D. Tan and Jeffrey Fan and Xianlong Hong}, title = {Pattern-Based Iterative Method for Extreme Large Power/Ground Analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {4}, pages = {680--692}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.892337}, doi = {10.1109/TCAD.2007.892337}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShiCTFH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuTMWH07, author = {Pu Liu and Sheldon X.{-}D. Tan and Bruce McGaughy and Lifeng Wu and Lei He}, title = {TermMerg: An Efficient Terminal-Reduction Method for Interconnect Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {8}, pages = {1382--1392}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.893554}, doi = {10.1109/TCAD.2007.893554}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuTMWH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WuJYLT07, author = {Wei Wu and Lingling Jin and Jun Yang and Pu Liu and Sheldon X.{-}D. Tan}, title = {Efficient power modeling and software thermal sensing for runtime temperature monitoring}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {12}, number = {3}, pages = {25:1--25:29}, year = {2007}, url = {https://doi.org/10.1145/1255456.1255462}, doi = {10.1145/1255456.1255462}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/WuJYLT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuT07, author = {Bao Liu and Sheldon X.{-}D. Tan}, title = {Minimum Decoupling Capacitor Insertion in {VLSI} Power/Ground Supply Networks by Semidefinite and Linear Programs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {11}, pages = {1284--1287}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.904132}, doi = {10.1109/TVLSI.2007.904132}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YanTLM07, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Pu Liu and Bruce McGaughy}, title = {Passive Interconnect Macromodeling Via Balanced Truncation of Linear Systems in Descriptor Form}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358011}, doi = {10.1109/ASPDAC.2007.358011}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YanTLM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZouCZHTK07, author = {Yi Zou and Yici Cai and Qiang Zhou and Xianlong Hong and Sheldon X.{-}D. Tan and Le Kang}, title = {Practical Implementation of Stochastic Parameterized Model Order Reduction via Hermite Polynomial Chaos}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {367--372}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358013}, doi = {10.1109/ASPDAC.2007.358013}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZouCZHTK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KangCZSHT07, author = {Le Kang and Yici Cai and Yi Zou and Jin Shi and Xianlong Hong and Sheldon X.{-}D. Tan}, title = {Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {751--756}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358079}, doi = {10.1109/ASPDAC.2007.358079}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KangCZSHT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cadgraphics/KangCSHTW07, author = {Le Kang and Yici Cai and Jin Shi and Xianlong Hong and Sheldon X.{-}D. Tan and Xiaoyi Wang}, title = {Simultaneous Switching Noise Consideration for Power/Ground Network Optimization}, booktitle = {10th International Conference on Computer-Aided Design and Computer Graphics, CAD/Graphics 2007, Beijing, China, 15-18 October, 2007}, pages = {332--337}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CADCG.2007.4407904}, doi = {10.1109/CADCG.2007.4407904}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/cadgraphics/KangCSHTW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YanTLM07, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Pu Liu and Bruce McGaughy}, title = {{SBPOR:} Second-Order Balanced Truncation for Passive Order Reduction of {RLC} Circuits}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {158--161}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278519}, doi = {10.1145/1278480.1278519}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YanTLM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FanMTCH07, author = {Jeffrey Fan and Ning Mi and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Statistical model order reduction for interconnect circuits considering spatial correlations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1508--1513}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266697}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/FanMTCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MiTLCCH07, author = {Ning Mi and Sheldon X.{-}D. Tan and Pu Liu and Jian Cui and Yici Cai and Xianlong Hong}, editor = {Georges G. E. Gielen}, title = {Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397242}, doi = {10.1109/ICCAD.2007.4397242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MiTLCCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FanMT07, author = {Jeffrey Fan and Ning Mi and Sheldon X.{-}D. Tan}, title = {Voltage drop reduction for on-chip power delivery considering leakage current variations}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {78--83}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601883}, doi = {10.1109/ICCD.2007.4601883}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FanMT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuTYL07, author = {Wei Wu and Sheldon X.{-}D. Tan and Jun Yang and Shih{-}Lien Lu}, title = {Improving the reliability of on-chip data caches under process variations}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {325--332}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601920}, doi = {10.1109/ICCD.2007.4601920}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuTYL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/YanLTM07, author = {Boyuan Yan and Pu Liu and Sheldon X.{-}D. Tan and Bruce McGaughy}, title = {Passive Modeling of Interconnects by Waveform Shaping}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {356--361}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.118}, doi = {10.1109/ISQED.2007.118}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/YanLTM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/MiYTFY07, author = {Ning Mi and Boyuan Yan and Sheldon X.{-}D. Tan and Jeffrey Fan and Hao Yu}, title = {General Block Structure-Preserving Reduced Order Modeling of Linear Dynamic Circuits}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {633--638}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.85}, doi = {10.1109/ISQED.2007.85}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/MiYTFY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LuoCTHWPF06, author = {Zuying Luo and Yici Cai and Sheldon X.{-}D. Tan and Xianlong Hong and Xiaoyi Wang and Zhu Pan and Jingjing Fu}, title = {Time-domain analysis methodology for large-scale {RLC} circuits and its applications}, journal = {Sci. China Ser. {F} Inf. Sci.}, volume = {49}, number = {5}, pages = {665--680}, year = {2006}, url = {https://doi.org/10.1007/s11432-006-2022-6}, doi = {10.1007/S11432-006-2022-6}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/LuoCTHWPF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/QiYLTH06, author = {Zhenyu Qi and Hao Yu and Pu Liu and Sheldon X.{-}D. Tan and Lei He}, title = {Wideband passive multiport model order reduction and realization of {RLCM} circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {8}, pages = {1496--1509}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.855937}, doi = {10.1109/TCAD.2005.855937}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/QiYLTH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiFQTWCH06, author = {Hang Li and Jeffrey Fan and Zhenyu Qi and Sheldon X.{-}D. Tan and Lifeng Wu and Yici Cai and Xianlong Hong}, title = {Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {11}, pages = {2402--2412}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.870862}, doi = {10.1109/TCAD.2006.870862}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiFQTWCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuLJWTY06, author = {Pu Liu and Hang Li and Lingling Jin and Wei Wu and Sheldon X.{-}D. Tan and Jun Yang}, title = {Fast Thermal Simulation for Runtime Temperature Tracking and Management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {12}, pages = {2882--2893}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.882594}, doi = {10.1109/TCAD.2006.882594}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LiuLJWTY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/CaiFHTL06, author = {Yici Cai and Jingjing Fu and Xianlong Hong and Sheldon X.{-}D. Tan and Zuying Luo}, title = {Power/Ground Network Optimization Considering Decap Leakage Currents}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {53-II}, number = {10}, pages = {1012--1016}, year = {2006}, url = {https://doi.org/10.1109/TCSII.2006.882207}, doi = {10.1109/TCSII.2006.882207}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/CaiFHTL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/Tan06, author = {Sheldon X.{-}D. Tan}, title = {Symbolic Analysis of Analog Circuits By Boolean Logic Operations}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {53-II}, number = {11}, pages = {1313--1317}, year = {2006}, url = {https://doi.org/10.1109/TCSII.2006.882356}, doi = {10.1109/TCSII.2006.882356}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/Tan06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShiCTH06, author = {Jin Shi and Yici Cai and Sheldon X.{-}D. Tan and Xianlong Hong}, editor = {Fumiyasu Hirose}, title = {Efficient early stage resonance estimation techniques for {C4} package}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {826--831}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594788}, doi = {10.1109/ASPDAC.2006.1594788}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShiCTH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WuJYLT06, author = {Wei Wu and Lingling Jin and Jun Yang and Pu Liu and Sheldon X.{-}D. Tan}, editor = {Ellen Sentovich}, title = {A systematic method for functional unit power estimation in microprocessors}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {554--557}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147053}, doi = {10.1145/1146909.1147053}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WuJYLT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MiFT06, author = {Ning Mi and Jeffrey Fan and Sheldon X.{-}D. Tan}, title = {Statistical Analysis of Power Grid Networks Considering Lognormal Leakage Current Variations with Spatial Correlation}, booktitle = {24th International Conference on Computer Design {(ICCD} 2006), 1-4 October 2006, San Jose, CA, {USA}}, pages = {56--62}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICCD.2006.4380794}, doi = {10.1109/ICCD.2006.4380794}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MiFT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/KahngLT06, author = {Andrew B. Kahng and Bao Liu and Sheldon X.{-}D. Tan}, editor = {Louis Scheffer}, title = {Efficient decoupling capacitor planning via convex programming methods}, booktitle = {Proceedings of the 2006 International Symposium on Physical Design, {ISPD} 2006, San Jose, California, USA, April 9-12, 2006}, pages = {102--107}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1123008.1123028}, doi = {10.1145/1123008.1123028}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/KahngLT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ShiCTH06, author = {Jin Shi and Yici Cai and Sheldon X.{-}D. Tan and Xianlong Hong}, editor = {Louis Scheffer}, title = {High accurate pattern based precondition method for extremely large power/ground grid analysis}, booktitle = {Proceedings of the 2006 International Symposium on Physical Design, {ISPD} 2006, San Jose, California, USA, April 9-12, 2006}, pages = {108--113}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1123008.1123029}, doi = {10.1145/1123008.1123029}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/ShiCTH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/FanLSCH06, author = {Jeffrey Fan and I{-}Fan Liao and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, title = {Localized On-Chip Power Delivery Network Optimization via Sequence of Linear Programming}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {272--277}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.81}, doi = {10.1109/ISQED.2006.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/FanLSCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuTMW06, author = {Pu Liu and Sheldon X.{-}D. Tan and Bruce McGaughy and Lifeng Wu}, title = {Compact Reduced Order Modeling for Multiple-Port Interconnects}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {413--418}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.35}, doi = {10.1109/ISQED.2006.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LiuTMW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KahngLT06, author = {Andrew B. Kahng and Bao Liu and Sheldon X.{-}D. Tan}, title = {{SMM:} Scalable Analysis of Power Delivery Networks by Stochastic Moment Matching}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {638--643}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.119}, doi = {10.1109/ISQED.2006.119}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KahngLT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ZouCZHT05, author = {Yi Zou and Yici Cai and Qiang Zhou and Xianlong Hong and Sheldon X.{-}D. Tan}, title = {A Fast Delay Computation for the Hybrid Structured Clock Network}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {7}, pages = {1964--1970}, year = {2005}, url = {https://doi.org/10.1093/ietfec/e88-a.7.1964}, doi = {10.1093/IETFEC/E88-A.7.1964}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ZouCZHT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/Tan05, author = {Sheldon X.{-}D. Tan}, title = {A general hierarchical circuit modeling and simulation algorithm}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {3}, pages = {418--434}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2004.842815}, doi = {10.1109/TCAD.2004.842815}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/Tan05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TanGQ05, author = {Sheldon X.{-}D. Tan and Weikun Guo and Zhenyu Qi}, title = {Hierarchical approach to exact symbolic analysis of large analog circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {8}, pages = {1241--1250}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.850812}, doi = {10.1109/TCAD.2005.850812}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TanGQ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZouZCHT05, author = {Yi Zou and Qiang Zhou and Yici Cai and Xianlong Hong and Sheldon X.{-}D. Tan}, editor = {Tingao Tang}, title = {Analysis of buffered hybrid structured clock networks}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {93--98}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120754}, doi = {10.1145/1120725.1120754}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZouZCHT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YuHQT05, author = {Hao Yu and Lei He and Zhenyu Qi and Sheldon X.{-}D. Tan}, editor = {Tingao Tang}, title = {A wideband hierarchical circuit reduction for massively coupled interconnects}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {111--114}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120758}, doi = {10.1145/1120725.1120758}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YuHQT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/QiTYH05, author = {Zhenyu Qi and Sheldon X.{-}D. Tan and Hao Yu and Lei He}, editor = {Tingao Tang}, title = {Wideband modeling of RF/Analog circuits via hierarchical multi-point model order reduction}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {224--229}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120811}, doi = {10.1145/1120725.1120811}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/QiTYH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FuLHCTP05, author = {Jingjing Fu and Zuying Luo and Xianlong Hong and Yici Cai and Sheldon X.{-}D. Tan and Zhu Pan}, editor = {Tingao Tang}, title = {{VLSI} on-chip power/ground network optimization considering decap leakage currents}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {735--738}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1121005}, doi = {10.1145/1120725.1121005}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/FuLHCTP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CaiPTHHW05, author = {Yici Cai and Zhu Pan and Sheldon X.{-}D. Tan and Xianlong Hong and Wenting Hou and Lifeng Wu}, editor = {Tingao Tang}, title = {Relaxed hierarchical power/ground grid analysis}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {1090--1093}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120831}, doi = {10.1145/1120725.1120831}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/CaiPTHHW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiQTWCH05, author = {Hang Li and Zhenyu Qi and Sheldon X.{-}D. Tan and Lifeng Wu and Yici Cai and Xianlong Hong}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Partitioning-based approach to fast on-chip decap budgeting and minimization}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {170--175}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065627}, doi = {10.1145/1065579.1065627}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiQTWCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/LyseckyVT05, author = {Roman L. Lysecky and Frank Vahid and Sheldon X.{-}D. Tan}, title = {A Study of the Scalability of On-Chip Routing for Just-in-Time {FPGA} Compilation}, booktitle = {13th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2005), 17-20 April 2005, Napa, CA, USA, Proceedings}, pages = {57--62}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/FCCM.2005.12}, doi = {10.1109/FCCM.2005.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/LyseckyVT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuQLJWTY05, author = {Pu Liu and Zhenyu Qi and Hang Li and Lingling Jin and Wei Wu and Sheldon X.{-}D. Tan and Jun Yang}, title = {Fast thermal simulation for architecture level dynamic thermal management}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {639--644}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560145}, doi = {10.1109/ICCAD.2005.1560145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuQLJWTY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuTLQKMH05, author = {Pu Liu and Sheldon X.{-}D. Tan and Hang Li and Zhenyu Qi and Jun Kong and Bruce McGaughy and Lei He}, title = {An efficient method for terminal reduction of interconnect circuits considering delay variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {821--826}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560176}, doi = {10.1109/ICCAD.2005.1560176}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuTLQKMH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LiLQJWTY05, author = {Hang Li and Pu Liu and Zhenyu Qi and Lingling Jin and Wei Wu and Sheldon X.{-}D. Tan and Jun Yang}, title = {Efficient Thermal Simulation for Run-Time Temperature Tracking and Management}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {130--136}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.46}, doi = {10.1109/ICCD.2005.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LiLQJWTY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/QiLTWCH05, author = {Zhenyu Qi and Hang Li and Sheldon X.{-}D. Tan and Lifeng Wu and Yici Cai and Xianlong Hong}, title = {Fast Decap Allocation Algorithm For Robust On-Chip Power Delivery}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {542--547}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.57}, doi = {10.1109/ISQED.2005.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/QiLTWCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuQT05, author = {Pu Liu and Zhenyu Qi and Sheldon X.{-}D. Tan}, title = {Passive Hierarchical Model Order Reduction and Realization of {RLCM} Circuits}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {603--608}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.92}, doi = {10.1109/ISQED.2005.92}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LiuQT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/ShiCHT05, author = {Jin Shi and Yici Cai and Xianlong Hong and Sheldon X.{-}D. Tan}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Efficient Simulation of Power/Ground Networks with Package and Vias}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {318--328}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_33}, doi = {10.1007/11556930\_33}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/ShiCHT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TanS04, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi}, title = {Efficient approximation of symbolic expressions for analog behavioral modeling and analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {6}, pages = {907--918}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.828135}, doi = {10.1109/TCAD.2004.828135}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TanS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FuLHCTP04, author = {Jingjing Fu and Zuying Luo and Xianlong Hong and Yici Cai and Sheldon X.{-}D. Tan and Zhu Pan}, editor = {Masaharu Imai}, title = {A fast decoupling capacitor budgeting algorithm for robust on-chip power delivery}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {505--510}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.15}, doi = {10.1109/ASPDAC.2004.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/FuLHCTP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TanGQ04, author = {Sheldon X.{-}D. Tan and Weikun Guo and Zhenyu Qi}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Hierarchical approach to exact symbolic analysis of large analog circuits}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {860--863}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996795}, doi = {10.1145/996566.996795}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TanGQ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LyseckyVT04, author = {Roman L. Lysecky and Frank Vahid and Sheldon X.{-}D. Tan}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Dynamic {FPGA} routing for just-in-time {FPGA} compilation}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {954--959}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996819}, doi = {10.1145/996566.996819}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LyseckyVT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TanQL04, author = {Sheldon X.{-}D. Tan and Zhenyu Qi and Hang Li}, title = {Hierarchical Modeling and Simulation of Large Analog Circuits}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {740--741}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268956}, doi = {10.1109/DATE.2004.1268956}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TanQL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZouCZHT04, author = {Yi Zou and Yici Cai and Qiang Zhou and Xianlong Hong and Sheldon X.{-}D. Tan}, title = {A Fast Delay Analysis Algorithm for The Hybrid Structured Clock Network}, booktitle = {22nd {IEEE} International Conference on Computer Design: {VLSI} in Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}, pages = {344--349}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICCD.2004.1347944}, doi = {10.1109/ICCD.2004.1347944}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZouCZHT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangT04, author = {Junjie Yang and Sheldon X.{-}D. Tan}, title = {Behavioural modelling of analog circuits by dynamic semi-symbolic analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {105--108}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangT04a, author = {Junjie Yang and Sheldon X.{-}D. Tan}, title = {An efficient algorithm for transient and distortion analysis of mildly nonlinear analog circuits}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {129--132}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangT04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoTLH04, author = {Weikun Guo and Sheldon X.{-}D. Tan and Zuying Luo and Xianlong Hong}, title = {Partial random walk for large linear network analysis}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {173--177}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoTLH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/PanCTLH04, author = {Zhu Pan and Yici Cai and Sheldon X.{-}D. Tan and Zuying Luo and Xianlong Hong}, title = {Transient Analysis of On-Chip Power Distribution Networks Using Equivalent Circuit Modeling}, booktitle = {5th International Symposium on Quality of Electronic Design {(ISQED} 2004), 22-24 March 2004, San Jose, CA, {USA}}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISQED.2004.1283651}, doi = {10.1109/ISQED.2004.1283651}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/PanCTLH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/FuLHCTP04, author = {Jingjing Fu and Zuying Luo and Xianlong Hong and Yici Cai and Sheldon X.{-}D. Tan and Zhu Pan}, editor = {Enrico Macii and Odysseas G. Koufopavlou and Vassilis Paliouras}, title = {Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, {PATMOS} 2004, Santorini, Greece, September 15-17, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3254}, pages = {433--441}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30205-6\_45}, doi = {10.1007/978-3-540-30205-6\_45}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/FuLHCTP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/TanS03, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi}, title = {Efficient DDD-Based Interpretable Symbolic Characterization of Large Analog Circuits}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {86-A}, number = {12}, pages = {3110--3118}, year = {2003}, url = {http://search.ieice.org/bin/summary.php?id=e86-a\_12\_3110}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/TanS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/TanS03, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi}, title = {Balanced multi-level multi-way partitioning of analog integrated circuits for hierarchical symbolic analysis}, journal = {Integr.}, volume = {34}, number = {1-2}, pages = {65--86}, year = {2003}, url = {https://doi.org/10.1016/S0167-9260(03)00002-6}, doi = {10.1016/S0167-9260(03)00002-6}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/TanS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TanS03, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi}, title = {Efficient very large scale integration power/ground network sizing based on equivalent circuit modeling}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {3}, pages = {277--284}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2002.807883}, doi = {10.1109/TCAD.2002.807883}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TanS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TanSL03, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi and Jyh{-}Chwen Lee}, title = {Reliability-constrained area optimization of {VLSI} power/ground networks via sequence of linear programmings}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {12}, pages = {1678--1684}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.819429}, doi = {10.1109/TCAD.2003.819429}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TanSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TanS03, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi}, editor = {Hiroto Yasuura}, title = {Efficient DDD-based term generation algorithm for analog circuit behavioral modeling}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {789--794}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119947}, doi = {10.1145/1119772.1119947}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TanS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Tan03, author = {Sheldon X.{-}D. Tan}, title = {A General S-Domain Hierarchical Network Reduction Algorithm}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {650--657}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257879}, doi = {10.1109/ICCAD.2003.1257879}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Tan03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/QianT03, author = {Qi{-}De Qian and Sheldon X.{-}D. Tan}, title = {Advanced Physical Models for Mask Data Verification and Impacts on Physical Layout Synthesis}, booktitle = {4th International Symposium on Quality of Electronic Design {(ISQED} 2003), 24-26 March 2003, San Jose, CA, {USA}}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISQED.2003.1194720}, doi = {10.1109/ISQED.2003.1194720}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/QianT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShiT01, author = {Chuanjin Richard Shi and Sheldon X.{-}D. Tan}, title = {Compact representation and efficient generation of s-expandedsymbolic network functions for computer-aided analog circuit design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {7}, pages = {813--827}, year = {2001}, url = {https://doi.org/10.1109/43.930996}, doi = {10.1109/43.930996}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShiT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TanS01, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi}, title = {Fast Power/Ground Network Optimization Based on Equivalent Circuit Modeling}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {550--554}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379021}, doi = {10.1145/378239.379021}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TanS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShiT00, author = {Chuanjin Richard Shi and Sheldon X.{-}D. Tan}, title = {Canonical symbolic analysis of large analog circuits withdeterminant decision diagrams}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {1}, pages = {1--18}, year = {2000}, url = {https://doi.org/10.1109/43.822616}, doi = {10.1109/43.822616}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShiT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TanS00, author = {Sheldon X.{-}D. Tan and Chuanjin Richard Shi}, title = {Hierarchical symbolic analysis of analog integrated circuits viadeterminant decision diagrams}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {4}, pages = {401--412}, year = {2000}, url = {https://doi.org/10.1109/43.838990}, doi = {10.1109/43.838990}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TanS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TanS00, author = {Xiang{-}Dong Tan and Chuanjin Richard Shi}, title = {Symbolic circuit-noise analysis and modeling with determinant decision diagrams}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {283--288}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368635}, doi = {10.1145/368434.368635}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TanS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TanS99, author = {Xiang{-}Dong Tan and Chuanjin Richard Shi}, title = {Balanced Multi-Level Multi-Way Partitioning of Large Analog Circuits for Hierarchical Symbolic Analysis}, booktitle = {Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ASPDAC.1999.759572}, doi = {10.1109/ASPDAC.1999.759572}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TanS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TanSLLY99, author = {Xiang{-}Dong Tan and Chuanjin Richard Shi and Dragos Lungeanu and Jyh{-}Chwen Lee and Li{-}Pen Yuan}, editor = {Mary Jane Irwin}, title = {Reliability-Constrained Area Optimization of {VLSI} Power/Ground Networks via Sequence of Linear Programmings}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {78--83}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309880}, doi = {10.1145/309847.309880}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TanSLLY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TanS99, author = {Xiang{-}Dong Tan and Chuanjin Richard Shi}, title = {Interpretable Symbolic Small-Signal Characterization of Large Analog Circuits using Determinant Decision Diagrams}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {448--453}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761164}, doi = {10.1109/DATE.1999.761164}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TanS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ShiT98, author = {Chuanjin Richard Shi and Xiang{-}Dong Tan}, title = {Efficient derivation of exact s-expanded symbolic expressions for behavioral modeling of analog circuits}, booktitle = {Proceedings of the {IEEE} 1998 Custom Integrated Circuits Conference, {CICC} 1998, Santa Clara, CA, USA, May 11-14, 1998}, pages = {463--466}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/CICC.1998.695019}, doi = {10.1109/CICC.1998.695019}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ShiT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShiT97, author = {Chuanjin Richard Shi and Xiang{-}Dong Tan}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Symbolic analysis of large analog circuits with determinant decision diagrams}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {366--373}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643562}, doi = {10.1109/ICCAD.1997.643562}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ShiT97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.