default search action
BibTeX records: Kevin Skadron
@article{DBLP:journals/ijpp/AhmedSS24, author = {Alif Ahmed and Farzana Ahmed Siddique and Kevin Skadron}, title = {GraphTango: {A} Hybrid Representation Format for Efficient Streaming Graph Updates and Analysis}, journal = {Int. J. Parallel Program.}, volume = {52}, number = {3}, pages = {147--170}, year = {2024}, url = {https://doi.org/10.1007/s10766-024-00768-x}, doi = {10.1007/S10766-024-00768-X}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/AhmedSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/WuZXVRS24, author = {Lingxi Wu and Minxuan Zhou and Weihong Xu and Ashish Venkat and Tajana Rosing and Kevin Skadron}, title = {Abakus: Accelerating \emph{k}-mer Counting with Storage Technology}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {10:1--10:26}, year = {2024}, url = {https://doi.org/10.1145/3632952}, doi = {10.1145/3632952}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/WuZXVRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MughrabiBSS24, author = {Abdullah T. Mughrabi and Morteza Baradaran and Ahmed Samara and Kevin Skadron}, title = {{ECG:} Expressing Locality and Prefetching for Optimal Caching in Graph Structures}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2024 - Workshop, San Francisco, CA, USA, May 27-31, 2024}, pages = {520--525}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IPDPSW63119.2024.00105}, doi = {10.1109/IPDPSW63119.2024.00105}, timestamp = {Wed, 07 Aug 2024 14:14:07 +0200}, biburl = {https://dblp.org/rec/conf/ipps/MughrabiBSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuSSSSV23, author = {Lingxi Wu and Rahul Sreekumar and Rasool Sharifi and Kevin Skadron and Mircea R. Stan and Ashish Venkat}, title = {Hardware Trojans in eNVM Neuromorphic Devices}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136984}, doi = {10.23919/DATE56975.2023.10136984}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WuSSSSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JaiyeobaECS23, author = {Wole Jaiyeoba and Nima Elyasi and Changho Choi and Kevin Skadron}, editor = {Paolo Ienne and Zhiru Zhang}, title = {{ACTS:} {A} Near-Memory {FPGA} Graph Processing Framework}, booktitle = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February 12-14, 2023}, pages = {79--89}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543622.3573180}, doi = {10.1145/3543622.3573180}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/JaiyeobaECS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/MosanuFSS23, author = {Sergiu Mosanu and Joshua Fixelle and Kevin Skadron and Mircea Stan}, editor = {Paolo Ienne and Zhiru Zhang}, title = {FreezeTime: Towards System Emulation through Architectural Virtualization}, booktitle = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February 12-14, 2023}, pages = {234}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543622.3573150}, doi = {10.1145/3543622.3573150}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/MosanuFSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MosanuFSSS23, author = {Sergiu Mosanu and Joshua Fixelle and Mohammad Nazmus Sakib and Kevin Skadron and Mircea Stan}, title = {FreezeTime: Towards System Emulation through Architectural Virtualization}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023 - Workshops, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {129--136}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPSW59300.2023.00033}, doi = {10.1109/IPDPSW59300.2023.00033}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/MosanuFSSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-17721, author = {Akhil Shekar and Morteza Baradaran and Sabiha Tajdari and Kevin Skadron}, title = {HashMem: PIM-based Hashmap Accelerator}, journal = {CoRR}, volume = {abs/2306.17721}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.17721}, doi = {10.48550/ARXIV.2306.17721}, eprinttype = {arXiv}, eprint = {2306.17721}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-17721.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/WuSVS22, author = {Lingxi Wu and Rasool Sharifi and Ashish Venkat and Kevin Skadron}, title = {{DRAM-CAM:} General-Purpose Bit-Serial Exact Pattern Matching}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {21}, number = {2}, pages = {89--92}, year = {2022}, url = {https://doi.org/10.1109/LCA.2022.3201168}, doi = {10.1109/LCA.2022.3201168}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/WuSVS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LenjaniAS22, author = {Marzieh Lenjani and Alif Ahmed and Kevin Skadron}, title = {Pulley: An Algorithm/Hardware Co-Optimization for In-Memory Sorting}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {21}, number = {2}, pages = {109--112}, year = {2022}, url = {https://doi.org/10.1109/LCA.2022.3208255}, doi = {10.1109/LCA.2022.3208255}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/LenjaniAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/GuoEMWSS22, author = {Xinfei Guo and Mohamed El{-}Hadedy and Sergiu Mosanu and Xiangdong Wei and Kevin Skadron and Mircea R. Stan}, title = {Agile-AES: Implementation of configurable {AES} primitive with agile design approach}, journal = {Integr.}, volume = {85}, pages = {87--96}, year = {2022}, url = {https://doi.org/10.1016/j.vlsi.2022.04.005}, doi = {10.1016/J.VLSI.2022.04.005}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/GuoEMWSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LenjaniS22, author = {Marzieh Lenjani and Kevin Skadron}, title = {Supporting Moderate Data Dependency, Position Dependency, and Divergence in PIM-Based Accelerators}, journal = {{IEEE} Micro}, volume = {42}, number = {1}, pages = {108--115}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3136189}, doi = {10.1109/MM.2021.3136189}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LenjaniS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/AngstadtTSJW22, author = {Kevin Angstadt and Tommy Tracy II and Kevin Skadron and Jean{-}Baptiste Jeannin and Westley Weimer}, title = {Synthesizing Legacy String Code for FPGAs Using Bounded Automata Learning}, journal = {{IEEE} Micro}, volume = {42}, number = {5}, pages = {70--77}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3178037}, doi = {10.1109/MM.2022.3178037}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/AngstadtTSJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MosanuSTCAIKSS22, author = {Sergiu Mosanu and Mohammad Nazmus Sakib and Tommy Tracy II and Ersin Cukurtas and Alif Ahmed and Preslav Ivanov and Samira Manabi Khan and Kevin Skadron and Mircea Stan}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {PiMulator: a Fast and Flexible Processing-in-Memory Emulation Platform}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1473--1478}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774614}, doi = {10.23919/DATE54114.2022.9774614}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MosanuSTCAIKSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LenjaniASS22, author = {Marzieh Lenjani and Alif Ahmed and Mircea Stan and Kevin Skadron}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {Gearbox: a case for supporting accumulation dispatching and hybrid partitioning in PIM-based accelerators}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {218--230}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527402}, doi = {10.1145/3470496.3527402}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/LenjaniASS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MoodyQSBRGPSSV22, author = {Logan Moody and Wei Qi and Abdolrasoul Sharifi and Layne Berry and Joey Rudek and Jayesh Gaur and Jeff Parkhurst and Sreenivas Subramoney and Kevin Skadron and Ashish Venkat}, title = {Speculative Code Compaction: Eliminating Dead Code via Speculative Microcode Transformations}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {162--180}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00024}, doi = {10.1109/MICRO56248.2022.00024}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/MoodyQSBRGPSSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-10077, author = {Farzana Ahmed Siddique and Tommy James Tracy II and Nathan Brunelle and Kevin Skadron}, title = {Deterministic vs. Non Deterministic Finite Automata in Automata Processing}, journal = {CoRR}, volume = {abs/2210.10077}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.10077}, doi = {10.48550/ARXIV.2210.10077}, eprinttype = {arXiv}, eprint = {2210.10077}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-10077.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-11935, author = {Alif Ahmed and Farzana Ahmed Siddique and Kevin Skadron}, title = {GraphTango: {A} Hybrid Representation Format for Efficient Streaming Graph Updates and Analysis}, journal = {CoRR}, volume = {abs/2212.11935}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.11935}, doi = {10.48550/ARXIV.2212.11935}, eprinttype = {arXiv}, eprint = {2212.11935}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-11935.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SalahvarziMFS21, author = {Arash Salahvarzi and Amir Mahdi Hosseini Monazzah and Mahdi Fazeli and Kevin Skadron}, title = {NOSTalgy: Near-Optimum Run-Time {STT-MRAM} Quality-Energy Knob Management for Approximate Computing Applications}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {3}, pages = {414--427}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.2989243}, doi = {10.1109/TC.2020.2989243}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SalahvarziMFS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/TalebiSMSF21, author = {Mahdi Talebi and Arash Salahvarzi and Amir Mahdi Hosseini Monazzah and Kevin Skadron and Mahdi Fazeli}, title = {{ROCKY:} {A} Robust Hybrid On-Chip Memory Kit for the Processors With {STT-MRAM} Cache Technology}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {12}, pages = {2198--2210}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3040152}, doi = {10.1109/TC.2020.3040152}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/TalebiSMSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ZhouWLMSR21, author = {Minxuan Zhou and Lingxi Wu and Muzhou Li and Niema Moshiri and Kevin Skadron and Tajana Rosing}, editor = {Jaejin Lee and Albert Cohen}, title = {Ultra Efficient Acceleration for De Novo Genome Assembly via Near-Memory Computing}, booktitle = {30th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2021, Atlanta, GA, USA, September 26-29, 2021}, pages = {199--212}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PACT52795.2021.00022}, doi = {10.1109/PACT52795.2021.00022}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/ZhouWLMSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/AhmedHNDS21, author = {Alif Ahmed and Jason D. Hiser and Anh Nguyen{-}Tuong and Jack W. Davidson and Kevin Skadron}, title = {BigMap: Future-proofing Fuzzers with Efficient Large Maps}, booktitle = {51st Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2021, Taipei, Taiwan, June 21-24, 2021}, pages = {531--542}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSN48987.2021.00062}, doi = {10.1109/DSN48987.2021.00062}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsn/AhmedHNDS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WuSLSV21, author = {Lingxi Wu and Rasool Sharifi and Marzieh Lenjani and Kevin Skadron and Ashish Venkat}, title = {Sieve: Scalable In-situ DRAM-based Accelerator Designs for Massively Parallel k-mer Matching}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {251--264}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00028}, doi = {10.1109/ISCA52012.2021.00028}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/WuSLSV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SadrediniRIS21, author = {Elaheh Sadredini and Reza Rahimi and Mohsen Imani and Kevin Skadron}, title = {Sunder: Enabling Low-Overhead and Scalable Near-Data Pattern Matching Acceleration}, booktitle = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021}, pages = {311--323}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3466752.3480934}, doi = {10.1145/3466752.3480934}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/SadrediniRIS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-04563, author = {Daehyeok Kim and Nikita Lazarev and Tommy Tracy II and Farzana Siddique and Hun Namkung and James C. Hoe and Vyas Sekar and Kevin Skadron and Zhiru Zhang and Srinivasan Seshan}, title = {A Roadmap for Enabling a Future-Proof In-Network Computing Data Plane Ecosystem}, journal = {CoRR}, volume = {abs/2111.04563}, year = {2021}, url = {https://arxiv.org/abs/2111.04563}, eprinttype = {arXiv}, eprint = {2111.04563}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-04563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/SadrediniRS20, author = {Elaheh Sadredini and Reza Rahimi and Kevin Skadron}, title = {Enabling In-SRAM Pattern Processing With Low-Overhead Reporting Architecture}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {19}, number = {2}, pages = {167--170}, year = {2020}, url = {https://doi.org/10.1109/LCA.2020.3042194}, doi = {10.1109/LCA.2020.3042194}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/SadrediniRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/Gonzalez-Guerrero20, author = {Patricia Gonzalez{-}Guerrero and Tommy Tracy II and Xinfei Guo and Rahul Sreekumar and Marzieh Lenjani and Kevin Skadron and Mircea R. Stan}, title = {Towards on-node Machine Learning for Ultra-low-power Sensors Using Asynchronous {\(\Sigma\)} {\(\Delta\)} Streams}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {16}, number = {4}, pages = {44:1--44:20}, year = {2020}, url = {https://doi.org/10.1145/3404975}, doi = {10.1145/3404975}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/Gonzalez-Guerrero20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SadrediniRLSS20, author = {Elaheh Sadredini and Reza Rahimi and Marzieh Lenjani and Mircea Stan and Kevin Skadron}, editor = {James R. Larus and Luis Ceze and Karin Strauss}, title = {FlexAmata: {A} Universal and Efficient Adaption of Applications to Spatial Automata Processing Accelerators}, booktitle = {{ASPLOS} '20: Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, March 16-20, 2020}, pages = {219--234}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373376.3378459}, doi = {10.1145/3373376.3378459}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SadrediniRLSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/RahimiSSS20, author = {Reza Rahimi and Elaheh Sadredini and Mircea Stan and Kevin Skadron}, title = {Grapefruit: An Open-Source, Full-Stack, and Customizable Automata Processing on FPGAs}, booktitle = {28th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2020, Fayetteville, AR, USA, May 3-6, 2020}, pages = {138--147}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FCCM48280.2020.00027}, doi = {10.1109/FCCM48280.2020.00027}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/RahimiSSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/TracyTVS20, author = {Tommy Tracy II and Lucas M. Tabajara and Moshe Y. Vardi and Kevin Skadron}, title = {Runtime Verification on FPGAs with LTLf Specifications}, booktitle = {2020 Formal Methods in Computer Aided Design, {FMCAD} 2020, Haifa, Israel, September 21-24, 2020}, pages = {36--46}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.34727/2020/isbn.978-3-85448-042-6\_10}, doi = {10.34727/2020/ISBN.978-3-85448-042-6\_10}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmcad/TracyTVS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SadrediniRLSS20, author = {Elaheh Sadredini and Reza Rahimi and Marzieh Lenjani and Mircea Stan and Kevin Skadron}, title = {Impala: Algorithm/Architecture Co-Design for In-Memory Multi-Stride Pattern Matching}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {86--98}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00017}, doi = {10.1109/HPCA47549.2020.00017}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/SadrediniRLSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LenjaniGSLXAESS20, author = {Marzieh Lenjani and Patricia Gonzalez{-}Guerrero and Elaheh Sadredini and Shuangchen Li and Yuan Xie and Ameen Akel and Sean Eilert and Mircea R. Stan and Kevin Skadron}, title = {Fulcrum: {A} Simplified Control and Access Mechanism Toward Flexible and Practical In-Situ Accelerators}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {556--569}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00052}, doi = {10.1109/HPCA47549.2020.00052}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LenjaniGSLXAESS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/SadrediniRVSS19, author = {Elaheh Sadredini and Reza Rahimi and Vaibhav Verma and Mircea Stan and Kevin Skadron}, title = {A Scalable and Efficient In-Memory Interconnect Architecture for Automata Processing}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {18}, number = {2}, pages = {87--90}, year = {2019}, url = {https://doi.org/10.1109/LCA.2019.2909870}, doi = {10.1109/LCA.2019.2909870}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/SadrediniRVSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/El-HadedyKSS19, author = {Mohamed El{-}Hadedy and Amit Kulkarni and Dirk Stroobandt and Kevin Skadron}, title = {Reco-Pi: {A} reconfigurable Cryptoprocessor for {\(\pi\)}-Cipher}, journal = {J. Parallel Distributed Comput.}, volume = {133}, pages = {420--431}, year = {2019}, url = {https://doi.org/10.1016/j.jpdc.2017.05.012}, doi = {10.1016/J.JPDC.2017.05.012}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/El-HadedyKSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AngstadtWWS19, author = {Kevin Angstadt and Jack Wadden and Westley Weimer and Kevin Skadron}, title = {Portable Programming with {RAPID}}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {30}, number = {4}, pages = {939--952}, year = {2019}, url = {https://doi.org/10.1109/TPDS.2018.2869736}, doi = {10.1109/TPDS.2018.2869736}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AngstadtWWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/BoDXWSS19, author = {Chunkun Bo and Vinh Dang and Ted Xie and Jack Wadden and Mircea Stan and Kevin Skadron}, title = {Automata Processing in Reconfigurable Architectures: In-the-Cloud Deployment, Cross-Platform Evaluation, and Fast Symbol-Only Reconfiguration}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {12}, number = {2}, pages = {9:1--9:25}, year = {2019}, url = {https://doi.org/10.1145/3314576}, doi = {10.1145/3314576}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/BoDXWSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RahimipourZWSRS19, author = {Somayeh Rahimipour and Runjie Zhang and Ke Wang and Kevin Skadron and Fakhrul Zaman Rokhani and Mircea R. Stan}, title = {{MTTF} Enhancement Power-C4 Bump Placement Optimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {7}, pages = {1633--1639}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2904048}, doi = {10.1109/TVLSI.2019.2904048}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/RahimipourZWSRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/CasiasATSW19, author = {Matthew Casias and Kevin Angstadt and Tommy Tracy II and Kevin Skadron and Westley Weimer}, editor = {Iris Bahar and Maurice Herlihy and Emmett Witchel and Alvin R. Lebeck}, title = {Debugging Support for Pattern-Matching Languages and Accelerators}, booktitle = {Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2019, Providence, RI, USA, April 13-17, 2019}, pages = {1073--1086}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297858.3304066}, doi = {10.1145/3297858.3304066}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/CasiasATSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChengMABBCCLSSS19, author = {Eric Cheng and Daniel Mueller{-}Gritschneder and Jacob A. Abraham and Pradip Bose and Alper Buyuktosunoglu and Deming Chen and Hyungmin Cho and Yanjing Li and Uzair Sharif and Kevin Skadron and Mircea Stan and Ulf Schlichtmann and Subhasish Mitra}, title = {Cross-Layer Resilience: Challenges, Insights, and the Road Ahead}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {198}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3323474}, doi = {10.1145/3316781.3323474}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChengMABBCCLSSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/JaiyeobaS19, author = {Wole Jaiyeoba and Kevin Skadron}, title = {GraphTinker: {A} High Performance Data Structure for Dynamic Graph Processing}, booktitle = {2019 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2019, Rio de Janeiro, Brazil, May 20-24, 2019}, pages = {1030--1041}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IPDPS.2019.00110}, doi = {10.1109/IPDPS.2019.00110}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/JaiyeobaS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/AhmedS19, author = {Alif Ahmed and Kevin Skadron}, title = {Hopscotch: a micro-benchmark suite for memory performance evaluation}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2019, Washington, DC, USA, September 30 - October 03, 2019}, pages = {167--172}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3357526.3357574}, doi = {10.1145/3357526.3357574}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memsys/AhmedS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SadrediniRVSS19, author = {Elaheh Sadredini and Reza Rahimi and Vaibhav Verma and Mircea Stan and Kevin Skadron}, title = {eAP: {A} Scalable and Efficient In-Memory Accelerator for Automata Processing}, booktitle = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16, 2019}, pages = {87--99}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3352460.3358324}, doi = {10.1145/3352460.3358324}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/SadrediniRVSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/AngstadtWDXKWSS18, author = {Kevin Angstadt and Jack Wadden and Vinh Dang and Ted Xie and Dan Kramp and Westley Weimer and Mircea Stan and Kevin Skadron}, title = {MNCaRT: An Open-Source, Multi-Architecture Automata-Processing Research and Execution Ecosystem}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {1}, pages = {84--87}, year = {2018}, url = {https://doi.org/10.1109/LCA.2017.2780105}, doi = {10.1109/LCA.2017.2780105}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/AngstadtWDXKWSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/WangSS18, author = {Ke Wang and Elaheh Sadredini and Kevin Skadron}, title = {Hierarchical Pattern Mining with the Automata Processor}, journal = {Int. J. Parallel Program.}, volume = {46}, number = {2}, pages = {376--411}, year = {2018}, url = {https://doi.org/10.1007/s10766-017-0489-y}, doi = {10.1007/S10766-017-0489-Y}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/WangSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChengMSCCSSLABM18, author = {Eric Cheng and Shahrzad Mirkhani and Lukasz G. Szafaryn and Chen{-}Yong Cher and Hyungmin Cho and Kevin Skadron and Mircea R. Stan and Klas Lilja and Jacob A. Abraham and Pradip Bose and Subhasish Mitra}, title = {Tolerating Soft Errors in Processor Cores Using {CLEAR} (Cross-Layer Exploration for Architecting Resilience)}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {9}, pages = {1839--1852}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2752705}, doi = {10.1109/TCAD.2017.2752705}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChengMSCCSSLABM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/BoDSS18, author = {Chunkun Bo and Vinh Dang and Elaheh Sadredini and Kevin Skadron}, title = {Searching for Potential gRNA Off-Target Sites for CRISPR/Cas9 Using Automata Processing Across Different Platforms}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2018, Vienna, Austria, February 24-28, 2018}, pages = {737--748}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/HPCA.2018.00068}, doi = {10.1109/HPCA.2018.00068}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/BoDSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/WaddenAS18, author = {Jack Wadden and Kevin Angstadt and Kevin Skadron}, title = {Characterizing and Mitigating Output Reporting Bottlenecks in Spatial Automata Processing Architectures}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2018, Vienna, Austria, February 24-28, 2018}, pages = {749--761}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/HPCA.2018.00069}, doi = {10.1109/HPCA.2018.00069}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/WaddenAS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WaddenTSWBDWUWS18, author = {Jack Wadden and Tommy Tracy II and Elaheh Sadredini and Lingxi Wu and Chunkun Bo and Jesse Du and Yizhou Wei and Jeffrey Udall and Matthew Wallace and Mircea Stan and Kevin Skadron}, title = {AutomataZoo: {A} Modern Automata Processing Benchmark Suite}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {13--24}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573482}, doi = {10.1109/IISWC.2018.8573482}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/WaddenTSWBDWUWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/SadrediniGBRSW18, author = {Elaheh Sadredini and Deyuan Guo and Chunkun Bo and Reza Rahimi and Kevin Skadron and Hongning Wang}, editor = {Yike Guo and Faisal Farooq}, title = {A Scalable Solution for Rule-Based Part-of-Speech Tagging on Novel Hardware Accelerators}, booktitle = {Proceedings of the 24th {ACM} {SIGKDD} International Conference on Knowledge Discovery {\&} Data Mining, {KDD} 2018, London, UK, August 19-23, 2018}, pages = {665--674}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3219819.3219889}, doi = {10.1145/3219819.3219889}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/SadrediniGBRSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/Angstadt0SRSWD18, author = {Kevin Angstadt and Arun Subramaniyan and Elaheh Sadredini and Reza Rahimi and Kevin Skadron and Westley Weimer and Reetuparna Das}, title = {{ASPEN:} {A} Scalable In-SRAM Architecture for Pushdown Automata}, booktitle = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018}, pages = {921--932}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MICRO.2018.00079}, doi = {10.1109/MICRO.2018.00079}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/Angstadt0SRSWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WangESW17, author = {Qiong Wang and Mohamed El{-}Hadedy and Kevin Skadron and Ke Wang}, title = {Accelerating Weeder: {A} {DNA} Motif Search Tool Using the Micron Automata Processor and {FPGA}}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {10}, pages = {2470--2477}, year = {2017}, url = {https://doi.org/10.1587/transinf.2017EDP7051}, doi = {10.1587/TRANSINF.2017EDP7051}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WangESW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/El-HadedyGMSS17, author = {Mohamed El{-}Hadedy and Xinfei Guo and Martin Margala and Mircea R. Stan and Kevin Skadron}, title = {Dual-Data Rate Transpose-Memory Architecture Improves the Performance, Power and Area of Signal-Processing Systems}, journal = {J. Signal Process. Syst.}, volume = {88}, number = {2}, pages = {167--184}, year = {2017}, url = {https://doi.org/10.1007/s11265-016-1199-1}, doi = {10.1007/S11265-016-1199-1}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/El-HadedyGMSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/El-HadedyGSS17, author = {Mohamed El{-}Hadedy and Xinfei Guo and Mircea R. Stan and Kevin Skadron}, title = {{PPE-ARX:} Area- and power-efficient {VLIW} programmable processing element for IoT crypto-systems}, booktitle = {2017 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2017, Pasadena, CA, USA, July 24-27, 2017}, pages = {153--160}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/AHS.2017.8046372}, doi = {10.1109/AHS.2017.8046372}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahs/El-HadedyGSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/DangS17, author = {Vinh Dang and Kevin Skadron}, title = {Acceleration of Frequent Itemset Mining on {FPGA} using SDAccel and Vivado {HLS}}, booktitle = {28th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2017, Seattle, WA, USA, July 10-12, 2017}, pages = {195--200}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ASAP.2017.7995279}, doi = {10.1109/ASAP.2017.7995279}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asap/DangS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/WaddenKS17, author = {Jack Wadden and Samira Manabi Khan and Kevin Skadron}, title = {Automata-to-Routing: An Open-Source Toolchain for Design-Space Exploration of Spatial Automata Processing Architectures}, booktitle = {25th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2017, Napa, CA, USA, April 30 - May 2, 2017}, pages = {180--187}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FCCM.2017.38}, doi = {10.1109/FCCM.2017.38}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/WaddenKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/XieDWSS17, author = {Ted Xie and Vinh Dang and Jack Wadden and Kevin Skadron and Mircea Stan}, editor = {Marco D. Santambrogio and Diana G{\"{o}}hringer and Dirk Stroobandt and Nele Mentens and Jari Nurmi}, title = {{REAPR:} Reconfigurable engine for automata processing}, booktitle = {27th International Conference on Field Programmable Logic and Applications, {FPL} 2017, Ghent, Belgium, September 4-8, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/FPL.2017.8056759}, doi = {10.23919/FPL.2017.8056759}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/XieDWSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/LySSA17, author = {Tiffany Ly and Rituparna Sarkar and Kevin Skadron and Scott T. Acton}, title = {Classifying images in a histopathological dataset using the cumulative distribution transform on an automata architecture}, booktitle = {2017 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2017, Montreal, QC, Canada, November 14-16, 2017}, pages = {730--734}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GlobalSIP.2017.8309056}, doi = {10.1109/GLOBALSIP.2017.8309056}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/LySSA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChengABBCCCCLLM17, author = {Eric Cheng and Jacob A. Abraham and Pradip Bose and Alper Buyuktosunoglu and Keith A. Campbell and Deming Chen and Chen{-}Yong Cher and Hyungmin Cho and Binh Q. Le and Klas Lilja and Shahrzad Mirkhani and Kevin Skadron and Mircea Stan and Lukasz G. Szafaryn and Christos Vezyrtzis and Subhasish Mitra}, title = {Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {593--596}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.103}, doi = {10.1109/ICCD.2017.103}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChengABBCCCCLLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RoelkeZMWSS17, author = {Alec Roelke and Runjie Zhang and Kaushik Mazumdar and Ke Wang and Kevin Skadron and Mircea R. Stan}, title = {Pre-RTL Voltage and Power Optimization for Low-Cost, Thermally Challenged Multicore Chips}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {597--600}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.104}, doi = {10.1109/ICCD.2017.104}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/RoelkeZMWSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BertranBBBBCCCE17, author = {Ramon Bertran and Pradip Bose and David M. Brooks and Jeff Burns and Alper Buyuktosunoglu and Nandhini Chandramoorthy and Eric Cheng and Martin Cochet and Schuyler Eldridge and Daniel Friedman and Hans M. Jacobson and Rajiv V. Joshi and Subhasish Mitra and Robert K. Montoye and Arun Paidimarri and Pritish Parida and Kevin Skadron and Mircea Stan and Karthik Swaminathan and Augusto Vega and Swagath Venkataramani and Christos Vezyrtzis and Gu{-}Yeon Wei and John{-}David Wellman and Matthew M. Ziegler}, title = {Very Low Voltage {(VLV)} Design}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {601--604}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.105}, doi = {10.1109/ICCD.2017.105}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BertranBBBBCCCE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SadrediniRWS17, author = {Elaheh Sadredini and Reza Rahimi and Ke Wang and Kevin Skadron}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {Frequent subtree mining on the automata processor: challenges and opportunities}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {4:1--4:11}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079084}, doi = {10.1145/3079079.3079084}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SadrediniRWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-09921, author = {Eric Cheng and Shahrzad Mirkhani and Lukasz G. Szafaryn and Chen{-}Yong Cher and Hyungmin Cho and Kevin Skadron and Mircea R. Stan and Klas Lilja and Jacob A. Abraham and Pradip Bose and Subhasish Mitra}, title = {Tolerating Soft Errors in Processor Cores Using {CLEAR} (Cross-Layer Exploration for Architecting Resilience)}, journal = {CoRR}, volume = {abs/1709.09921}, year = {2017}, url = {http://arxiv.org/abs/1709.09921}, eprinttype = {arXiv}, eprint = {1709.09921}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-09921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/FalsafiSSJCTNMM16, author = {Babak Falsafi and Mircea Stan and Kevin Skadron and Nuwan Jayasena and Yunji Chen and Jinhua Tao and Ravi Nair and Jaime H. Moreno and Naveen Muralimanohar and Karthikeyan Sankaralingam and Cristian Estan}, title = {Near-Memory Data Services}, journal = {{IEEE} Micro}, volume = {36}, number = {1}, pages = {6--13}, year = {2016}, url = {https://doi.org/10.1109/MM.2016.9}, doi = {10.1109/MM.2016.9}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/FalsafiSSJCTNMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangMWSS16, author = {Runjie Zhang and Brett H. Meyer and Ke Wang and Mircea R. Stan and Kevin Skadron}, title = {Tolerating the Consequences of Multiple EM-Induced {C4} Bump Failures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {6}, pages = {2335--2344}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2501353}, doi = {10.1109/TVLSI.2015.2501353}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangMWSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/LySSA16, author = {Tiffany Ly and Rituparna Sarkar and Kevin Skadron and Scott T. Acton}, editor = {Michael B. Matthews}, title = {Feature extraction and image retrieval on an automata structure}, booktitle = {50th Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2016, Pacific Grove, CA, USA, November 6-9, 2016}, pages = {566--570}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ACSSC.2016.7869105}, doi = {10.1109/ACSSC.2016.7869105}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/LySSA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/AngstadtWS16, author = {Kevin Angstadt and Westley Weimer and Kevin Skadron}, editor = {Tom Conte and Yuanyuan Zhou}, title = {{RAPID} Programming of Pattern-Recognition Processors}, booktitle = {Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2016, Atlanta, GA, USA, April 2-6, 2016}, pages = {593--605}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2872362.2872393}, doi = {10.1145/2872362.2872393}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/AngstadtWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/BoWFS16, author = {Chunkun Bo and Ke Wang and Jeffrey J. Fox and Kevin Skadron}, editor = {James Joshi and George Karypis and Ling Liu and Xiaohua Hu and Ronay Ak and Yinglong Xia and Weijia Xu and Aki{-}Hiro Sato and Sudarsan Rachuri and Lyle H. Ungar and Philip S. Yu and Rama Govindaraju and Toyotaro Suzumura}, title = {Entity resolution acceleration using the automata processor}, booktitle = {2016 {IEEE} International Conference on Big Data {(IEEE} BigData 2016), Washington DC, USA, December 5-8, 2016}, pages = {311--318}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BigData.2016.7840617}, doi = {10.1109/BIGDATA.2016.7840617}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/BoWFS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cd/WangSS16, author = {Ke Wang and Elaheh Sadredini and Kevin Skadron}, editor = {Gianluca Palermo and John Feo}, title = {Sequential pattern mining with the Micron automata processor}, booktitle = {Proceedings of the {ACM} International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}, pages = {135--144}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2903150.2903172}, doi = {10.1145/2903150.2903172}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cd/WangSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/WangABBSTWSS16, author = {Ke Wang and Kevin Angstadt and Chunkun Bo and Nathan Brunelle and Elaheh Sadredini and Tommy Tracy II and Jack Wadden and Mircea R. Stan and Kevin Skadron}, title = {An overview of micron's automata processor}, booktitle = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {14:1--14:3}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968456.2976763}, doi = {10.1145/2968456.2976763}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/WangABBSTWSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChengMSCCSSLABM16, author = {Eric Cheng and Shahrzad Mirkhani and Lukasz G. Szafaryn and Chen{-}Yong Cher and Hyungmin Cho and Kevin Skadron and Mircea R. Stan and Klas Lilja and Jacob A. Abraham and Pradip Bose and Subhasish Mitra}, title = {Clear: cross-layer exploration for architecting resilience combining hardware and software techniques to tolerate soft errors in processor cores}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {68:1--68:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2897996}, doi = {10.1145/2897937.2897996}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChengMSCCSSLABM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangS16, author = {Liang Wang and Kevin Skadron}, title = {Lumos+: Rapid, pre-RTL design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {328--335}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753297}, doi = {10.1109/ICCD.2016.7753297}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WangS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WaddenBWERSS16, author = {Jack Wadden and Nathan Brunelle and Ke Wang and Mohamed El{-}Hadedy and Gabriel Robins and Mircea Stan and Kevin Skadron}, title = {Generating efficient and high-quality pseudo-random behavior on Automata Processors}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {622--629}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753349}, doi = {10.1109/ICCD.2016.7753349}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/WaddenBWERSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WaddenDBTGSWBRS16, author = {Jack Wadden and Vinh Dang and Nathan Brunelle and Tommy Tracy II and Deyuan Guo and Elaheh Sadredini and Ke Wang and Chunkun Bo and Gabriel Robins and Mircea Stan and Kevin Skadron}, title = {ANMLzoo: a benchmark suite for exploring bottlenecks in automata processing engines and architectures}, booktitle = {2016 {IEEE} International Symposium on Workload Characterization, {IISWC} 2016, Providence, RI, USA, September 25-27, 2016}, pages = {105--166}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IISWC.2016.7581271}, doi = {10.1109/IISWC.2016.7581271}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/WaddenDBTGSWBRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/El-HadedyMGKSS16, author = {Mohamed El{-}Hadedy and Hristina Mihajloska and Danilo Gligoroski and Amit Kulkarni and Dirk Stroobandt and Kevin Skadron}, title = {A 16-Bit Reconfigurable Encryption Processor for p-Cipher}, booktitle = {2016 {IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2016, Chicago, IL, USA, May 23-27, 2016}, pages = {162--171}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IPDPSW.2016.27}, doi = {10.1109/IPDPSW.2016.27}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/El-HadedyMGKSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChengMSCCSSLABM16, author = {Eric Cheng and Shahrzad Mirkhani and Lukasz G. Szafaryn and Chen{-}Yong Cher and Hyungmin Cho and Kevin Skadron and Mircea R. Stan and Klas Lilja and Jacob A. Abraham and Pradip Bose and Subhasish Mitra}, title = {{CLEAR:} Cross-Layer Exploration for Architecting Resilience - Combining Hardware and Software Techniques to Tolerate Soft Errors in Processor Cores}, journal = {CoRR}, volume = {abs/1604.03062}, year = {2016}, url = {http://arxiv.org/abs/1604.03062}, eprinttype = {arXiv}, eprint = {1604.03062}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChengMSCCSSLABM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ZhouWFWBS15, author = {Keira Zhou and Jack Wadden and Jeffrey J. Fox and Ke Wang and Donald E. Brown and Kevin Skadron}, title = {Regular expression acceleration on the micron automata processor: Brill tagging as a case study}, booktitle = {2015 {IEEE} International Conference on Big Data {(IEEE} BigData 2015), Santa Clara, CA, USA, October 29 - November 1, 2015}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BigData.2015.7363776}, doi = {10.1109/BIGDATA.2015.7363776}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/ZhouWFWBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangMMWSS15, author = {Runjie Zhang and Kaushik Mazumdar and Brett H. Meyer and Ke Wang and Kevin Skadron and Mircea R. Stan}, title = {A cross-layer design exploration of charge-recycled power-delivery in many-layer 3d-IC}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {133:1--133:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744774}, doi = {10.1145/2744769.2744774}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhangMMWSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MozafariMS15, author = {Seyyed Hasan Mozafari and Brett H. Meyer and Kevin Skadron}, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {Yield-aware Performance-Cost Characterization for Multi-Core {SIMT}}, booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, pages = {237--240}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742060.2742112}, doi = {10.1145/2742060.2742112}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MozafariMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WangQFSS15, author = {Ke Wang and Yanjun Qi and Jeffrey J. Fox and Mircea R. Stan and Kevin Skadron}, title = {Association Rule Mining with the Micron Automata Processor}, booktitle = {2015 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2015, Hyderabad, India, May 25-29, 2015}, pages = {689--699}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IPDPS.2015.101}, doi = {10.1109/IPDPS.2015.101}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/WangQFSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/El-HadedyS15, author = {Mohamed El{-}Hadedy and Kevin Skadron}, editor = {Ruby B. Lee and Weidong Shi and Jakub Szefer}, title = {Hardware overhead analysis of programmability in {ARX} crypto processing}, booktitle = {Proceedings of the Fourth Workshop on Hardware and Architectural Support for Security and Privacy, HASP@ISCA 2015, Portland, OR, USA, June 14, 2015}, pages = {8:1--8:4}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2768566.2768574}, doi = {10.1145/2768566.2768574}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/El-HadedyS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhangMMWSS15, author = {Runjie Zhang and Kaushik Mazumdar and Brett H. Meyer and Ke Wang and Kevin Skadron and Mircea R. Stan}, title = {Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {152--158}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273506}, doi = {10.1109/ISLPED.2015.7273506}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ZhangMMWSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WangVBBS15, author = {Liang Wang and Augusto Vega and Alper Buyuktosunoglu and Pradip Bose and Kevin Skadron}, title = {Power-efficient embedded processing with resilience and real-time constraints}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {231--236}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273519}, doi = {10.1109/ISLPED.2015.7273519}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/WangVBBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semco/ZhouFWBS15, author = {Keira Zhou and Jeffrey J. Fox and Ke Wang and Donald E. Brown and Kevin Skadron}, editor = {Mohan S. Kankanhalli and Tao Li and Wei Wang}, title = {Brill tagging on the Micron Automata Processor}, booktitle = {Proceedings of the 9th {IEEE} International Conference on Semantic Computing, {ICSC} 2015, Anaheim, CA, USA, February 7-9, 2015}, pages = {236--239}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICOSC.2015.7050812}, doi = {10.1109/ICOSC.2015.7050812}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semco/ZhouFWBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/CheS14, author = {Shuai Che and Kevin Skadron}, title = {BenchFriend: Correlating the performance of {GPU} benchmarks}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {28}, number = {2}, pages = {238--250}, year = {2014}, url = {https://doi.org/10.1177/1094342013507960}, doi = {10.1177/1094342013507960}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/CheS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/SarkarOSA14, author = {Rituparna Sarkar and Sedat Ozer and Kevin Skadron and Scott T. Acton}, editor = {Michael B. Matthews}, title = {Image classification by multi-kernel dictionary learning}, booktitle = {48th Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2014, Pacific Grove, CA, USA, November 2-5, 2014}, pages = {73--77}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACSSC.2014.7094400}, doi = {10.1109/ACSSC.2014.7094400}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/SarkarOSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangMZSS14, author = {Ke Wang and Brett H. Meyer and Runjie Zhang and Kevin Skadron and Mircea R. Stan}, title = {Walking pads: Fast power-supply pad-placement optimization}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {537--543}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742947}, doi = {10.1109/ASPDAC.2014.6742947}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WangMZSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangMZSS14, author = {Ke Wang and Brett H. Meyer and Runjie Zhang and Mircea R. Stan and Kevin Skadron}, title = {Walking Pads: Managing {C4} Placement for Transient Voltage Noise Minimization}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {126:1--126:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593243}, doi = {10.1145/2593069.2593243}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangMZSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ArrabiMWSCLM14, author = {Saad Arrabi and D. Moore and L. Wang and Kevin Skadron and Benton H. Calhoun and John C. Lach and Brett H. Meyer}, title = {Flexibility and Circuit Overheads in Reconfigurable {SIMD/MIMD} Systems}, booktitle = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014}, pages = {236}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FCCM.2014.71}, doi = {10.1109/FCCM.2014.71}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/ArrabiMWSCLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SarkarSA14, author = {Rituparna Sarkar and Kevin Skadron and Scott T. Acton}, title = {A meta-algorithm for classification by feature nomination}, booktitle = {2014 {IEEE} International Conference on Image Processing, {ICIP} 2014, Paris, France, October 27-30, 2014}, pages = {5187--5191}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICIP.2014.7026050}, doi = {10.1109/ICIP.2014.7026050}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/SarkarSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/WangBBBS14, author = {Liang Wang and Ramon Bertran and Alper Buyuktosunoglu and Pradip Bose and Kevin Skadron}, title = {Characterization of transient error tolerance for a class of mobile embedded applications}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {74--75}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983042}, doi = {10.1109/IISWC.2014.6983042}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/WangBBBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CheMS14, author = {Shuai Che and Jiayuan Meng and Kevin Skadron}, title = {Dymaxion++: {A} Directive-Based {API} to Optimize Data Layout and Memory Mapping for Heterogeneous Systems}, booktitle = {2014 {IEEE} International Parallel {\&} Distributed Processing Symposium Workshops, Phoenix, AZ, USA, May 19-23, 2014}, pages = {916--924}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IPDPSW.2014.104}, doi = {10.1109/IPDPSW.2014.104}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/CheMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WaddenLGSS14, author = {Jack Wadden and Alexander Lyashevsky and Sudhanva Gurumurthi and Vilas Sridharan and Kevin Skadron}, title = {Real-world design and evaluation of compiler-managed {GPU} redundant multithreading}, booktitle = {{ACM/IEEE} 41st International Symposium on Computer Architecture, {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014}, pages = {73--84}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISCA.2014.6853227}, doi = {10.1109/ISCA.2014.6853227}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/WaddenLGSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ZhangWMSS14, author = {Runjie Zhang and Ke Wang and Brett H. Meyer and Mircea R. Stan and Kevin Skadron}, title = {Architecture implications of pads as a scarce resource}, booktitle = {{ACM/IEEE} 41st International Symposium on Computer Architecture, {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014}, pages = {373--384}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISCA.2014.6853199}, doi = {10.1109/ISCA.2014.6853199}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/ZhangWMSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/JuckelandBCCCCF14, author = {Guido Juckeland and William C. Brantley and Sunita Chandrasekaran and Barbara M. Chapman and Shuai Che and Mathew E. Colgrove and Huiyu Feng and Alexander Grund and Robert Henschel and Wen{-}mei W. Hwu and Huian Li and Matthias S. M{\"{u}}ller and Wolfgang E. Nagel and Maxim Perminov and Pavel Shelepugin and Kevin Skadron and John A. Stratton and Alexey Titov and Ke Wang and G. Matthijs van Waveren and Brian Whitney and Sandra Wienke and Rengan Xu and Kalyan Kumaran}, editor = {Stephen A. Jarvis and Steven A. Wright and Simon D. Hammond}, title = {{SPEC} {ACCEL:} {A} Standard Application Suite for Measuring Hardware Accelerator Performance}, booktitle = {High Performance Computing Systems. Performance Modeling, Benchmarking, and Simulation - 5th International Workshop, {PMBS} 2014, New Orleans, LA, USA, November 16, 2014. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8966}, pages = {46--67}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-17248-4\_3}, doi = {10.1007/978-3-319-17248-4\_3}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/JuckelandBCCCCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/MitraBCCCJKLLRS14, author = {Subhasish Mitra and Pradip Bose and Eric Cheng and Chen{-}Yong Cher and Hyungmin Cho and Rajiv V. Joshi and Young Moon Kim and Charles R. Lefurgy and Yanjing Li and Kenneth P. Rodbell and Kevin Skadron and James H. Stathis and Lukasz G. Szafaryn}, title = {The resilience wall: Cross-layer solution strategies}, booktitle = {Technical Papers of 2014 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2014, Hsinchu, Taiwan, April 28-30, 2014}, pages = {1--11}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSI-DAT.2014.6834933}, doi = {10.1109/VLSI-DAT.2014.6834933}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/MitraBCCCJKLLRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LayerSRHQ13, author = {Ryan M. Layer and Kevin Skadron and Gabriel Robins and Ira M. Hall and Aaron R. Quinlan}, title = {Binary Interval Search: a scalable algorithm for counting interval intersections}, journal = {Bioinform.}, volume = {29}, number = {1}, pages = {1--7}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/bts652}, doi = {10.1093/BIOINFORMATICS/BTS652}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LayerSRHQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/Skadron13, author = {Kevin Skadron}, title = {Introducing the New Editor-in-Chief of the {IEEE} Computer Architecture Letters}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {12}, number = {1}, pages = {1}, year = {2013}, url = {https://doi.org/10.1109/L-CA.2013.15}, doi = {10.1109/L-CA.2013.15}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/Skadron13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/SankaranarayananMHRHSS13, author = {Karthik Sankaranarayanan and Brett H. Meyer and Wei Huang and Robert J. Ribando and Hossein Haj{-}Hariri and Mircea R. Stan and Kevin Skadron}, title = {Architectural implications of spatial thermal filtering}, journal = {Integr.}, volume = {46}, number = {1}, pages = {44--56}, year = {2013}, url = {https://doi.org/10.1016/j.vlsi.2011.12.002}, doi = {10.1016/J.VLSI.2011.12.002}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/SankaranarayananMHRHSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/SzafarynGSS13, author = {Lukasz G. Szafaryn and Todd Gamblin and Bronis R. de Supinski and Kevin Skadron}, title = {Trellis: Portability across architectures with a high-level framework}, journal = {J. Parallel Distributed Comput.}, volume = {73}, number = {10}, pages = {1400--1413}, year = {2013}, url = {https://doi.org/10.1016/j.jpdc.2013.07.001}, doi = {10.1016/J.JPDC.2013.07.001}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/SzafarynGSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SzafarynMS13, author = {Lukasz G. Szafaryn and Brett H. Meyer and Kevin Skadron}, title = {Evaluating Overheads of Multibit Soft-Error Protection in the Processor Core}, journal = {{IEEE} Micro}, volume = {33}, number = {4}, pages = {56--65}, year = {2013}, url = {https://doi.org/10.1109/MM.2013.68}, doi = {10.1109/MM.2013.68}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SzafarynMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/WangS13, author = {Liang Wang and Kevin Skadron}, title = {Implications of the Power Wall: Dim Cores and Reconfigurable Logic}, journal = {{IEEE} Micro}, volume = {33}, number = {5}, pages = {40--48}, year = {2013}, url = {https://doi.org/10.1109/MM.2013.74}, doi = {10.1109/MM.2013.74}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/WangS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/BoyerSCJ13, author = {Michael Boyer and Kevin Skadron and Shuai Che and Nuwan Jayasena}, editor = {Hubertus Franke and Alexander Heinecke and Krishna V. Palem and Eli Upfal}, title = {Load balancing in a changing world: dealing with heterogeneity and performance variability}, booktitle = {Computing Frontiers Conference, CF'13, Ischia, Italy, May 14 - 16, 2013}, pages = {21:1--21:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2482767.2482794}, doi = {10.1145/2482767.2482794}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/BoyerSCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CheBRS13, author = {Shuai Che and Bradford M. Beckmann and Steven K. Reinhardt and Kevin Skadron}, title = {Pannotia: Understanding irregular {GPGPU} graph applications}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {185--195}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704684}, doi = {10.1109/IISWC.2013.6704684}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/CheBRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/KongCS12, author = {Joonho Kong and Sung Woo Chung and Kevin Skadron}, title = {Recent thermal management techniques for microprocessors}, journal = {{ACM} Comput. Surv.}, volume = {44}, number = {3}, pages = {13:1--13:42}, year = {2012}, url = {https://doi.org/10.1145/2187671.2187675}, doi = {10.1145/2187671.2187675}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/KongCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/MarsTSSH12, author = {Jason Mars and Lingjia Tang and Kevin Skadron and Mary Lou Soffa and Robert Hundt}, title = {Increasing Utilization in Modern Warehouse-Scale Computers Using Bubble-Up}, journal = {{IEEE} Micro}, volume = {32}, number = {3}, pages = {88--99}, year = {2012}, url = {https://doi.org/10.1109/MM.2012.22}, doi = {10.1109/MM.2012.22}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/MarsTSSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/GebhartJTKDLS12, author = {Mark Gebhart and Daniel R. Johnson and David Tarjan and Stephen W. Keckler and William J. Dally and Erik Lindholm and Kevin Skadron}, title = {A Hierarchical Thread Scheduler and Register File for Energy-Efficient Throughput Processors}, journal = {{ACM} Trans. Comput. Syst.}, volume = {30}, number = {2}, pages = {8:1--8:38}, year = {2012}, url = {https://doi.org/10.1145/2166879.2166882}, doi = {10.1145/2166879.2166882}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tocs/GebhartJTKDLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotpar/GreggDHS12, author = {Chris Gregg and Jonathan Dorn and Kim M. Hazelwood and Kevin Skadron}, editor = {Hans{-}Juergen Boehm and Luis Ceze}, title = {Fine-Grained Resource Sharing for Concurrent {GPGPU} Kernels}, booktitle = {4th {USENIX} Workshop on Hot Topics in Parallelism, HotPar'12, Berkeley, CA, USA, June 7-8, 2012}, publisher = {{USENIX} Association}, year = {2012}, url = {https://www.usenix.org/conference/hotpar12/workshop-program/presentation/gregg}, timestamp = {Wed, 04 Jul 2018 13:06:35 +0200}, biburl = {https://dblp.org/rec/conf/hotpar/GreggDHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MengSS12, author = {Jiayuan Meng and Jeremy W. Sheaffer and Kevin Skadron}, title = {Robust {SIMD:} Dynamically Adapted {SIMD} Width and Multi-Threading Depth}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {107--118}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPS.2012.20}, doi = {10.1109/IPDPS.2012.20}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/MengSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/FaustZSSM12, author = {Gregory G. Faust and Runjie Zhang and Kevin Skadron and Mircea R. Stan and Brett H. Meyer}, editor = {Srinivas Katkoori and Matthew R. Guthaus and Ayse K. Coskun and Andreas Burg and Ricardo Reis}, title = {ArchFP: Rapid prototyping of pre-RTL floorplans}, booktitle = {20th {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012}, pages = {183--188}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-SoC.2012.6379027}, doi = {10.1109/VLSI-SOC.2012.6379027}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/FaustZSSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/12/GarlandGS12, author = {Michael Garland and Vinod Grover and Kevin Skadron}, title = {Scalable Manycore Computing with {CUDA}}, booktitle = {Fundamentals of Multicore Software Development}, pages = {1--24}, year = {2012}, url = {https://doi.org/10.1201/b11417-14}, doi = {10.1201/B11417-14}, timestamp = {Thu, 31 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/crc/12/GarlandGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/Skadron11, author = {Kevin Skadron}, title = {Editorial: Letter from the Editor-in-Chief}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {10}, number = {1}, pages = {1--3}, year = {2011}, url = {https://doi.org/10.1109/L-CA.2011.13}, doi = {10.1109/L-CA.2011.13}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/Skadron11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/MengS11, author = {Jiayuan Meng and Kevin Skadron}, title = {A Performance Study for Iterative Stencil Loops on GPUs with Ghost Zone Optimizations}, journal = {Int. J. Parallel Program.}, volume = {39}, number = {1}, pages = {115--142}, year = {2011}, url = {https://doi.org/10.1007/s10766-010-0142-5}, doi = {10.1007/S10766-010-0142-5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/MengS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HuangRSS11, author = {Wei Huang and Karthick Rajamani and Mircea R. Stan and Kevin Skadron}, title = {Scaling with Design Constraints: Predicting the Future of Big Chips}, journal = {{IEEE} Micro}, volume = {31}, number = {4}, pages = {16--29}, year = {2011}, url = {https://doi.org/10.1109/MM.2011.42}, doi = {10.1109/MM.2011.42}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HuangRSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/Sankaranarayanan11, author = {Karthik Sankaranarayanan and Brett H. Meyer and Mircea R. Stan and Kevin Skadron}, title = {Thermal benefit of multi-core floorplanning: {A} limits study}, journal = {Sustain. Comput. Informatics Syst.}, volume = {1}, number = {4}, pages = {286--293}, year = {2011}, url = {https://doi.org/10.1016/j.suscom.2011.06.003}, doi = {10.1016/J.SUSCOM.2011.06.003}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/Sankaranarayanan11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/MeyerCLS11, author = {Brett H. Meyer and Benton H. Calhoun and John C. Lach and Kevin Skadron}, editor = {Rajesh K. Gupta and Vincent John Mooney}, title = {Cost-effective safety and fault localization using distributed temporal redundancy}, booktitle = {Proceedings of the 14th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2011, part of the Seventh Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14, 2011}, pages = {125--134}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2038698.2038719}, doi = {10.1145/2038698.2038719}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/MeyerCLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeyerGCLS11, author = {Brett H. Meyer and Nishant J. George and Benton H. Calhoun and John C. Lach and Kevin Skadron}, title = {Reducing the cost of redundant execution in safety-critical systems using relaxed dedication}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1249--1254}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763200}, doi = {10.1109/DATE.2011.5763200}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MeyerGCLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HeirmanCCSE11, author = {Wim Heirman and Trevor E. Carlson and Shuai Che and Kevin Skadron and Lieven Eeckhout}, title = {Using cycle stacks to understand scaling bottlenecks in multi-threaded workloads}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {38--49}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114195}, doi = {10.1109/IISWC.2011.6114195}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/HeirmanCCSE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/GebhartJTKDLS11, author = {Mark Gebhart and Daniel R. Johnson and David Tarjan and Stephen W. Keckler and William J. Dally and Erik Lindholm and Kevin Skadron}, editor = {Ravi R. Iyer and Qing Yang and Antonio Gonz{\'{a}}lez}, title = {Energy-efficient mechanisms for managing thread context in throughput processors}, booktitle = {38th International Symposium on Computer Architecture {(ISCA} 2011), June 4-8, 2011, San Jose, CA, {USA}}, pages = {235--246}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2000064.2000093}, doi = {10.1145/2000064.2000093}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/GebhartJTKDLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MengS11, author = {Jiayuan Meng and Kevin Skadron}, title = {A reconfigurable simulator for large-scale heterogeneous multicore architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {119--120}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762722}, doi = {10.1109/ISPASS.2011.5762722}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/MengS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MarsTHSS11, author = {Jason Mars and Lingjia Tang and Robert Hundt and Kevin Skadron and Mary Lou Soffa}, editor = {Carlo Galuzzi and Luigi Carro and Andreas Moshovos and Milos Prvulovic}, title = {Bubble-Up: increasing utilization in modern warehouse scale computers via sensible co-locations}, booktitle = {44rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2011, Porto Alegre, Brazil, December 3-7, 2011}, pages = {248--259}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2155620.2155650}, doi = {10.1145/2155620.2155650}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/MarsTHSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/CheSS11, author = {Shuai Che and Jeremy W. Sheaffer and Kevin Skadron}, editor = {Scott A. Lathrop and Jim Costa and William Kramer}, title = {Dymaxion: optimizing memory access patterns for heterogeneous systems}, booktitle = {Conference on High Performance Computing Networking, Storage and Analysis, {SC} 2011, Seattle, WA, USA, November 12-18, 2011}, pages = {13:1--13:11}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063384.2063401}, doi = {10.1145/2063384.2063401}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/CheSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/Skadron10, author = {Kevin Skadron}, title = {Editorial: Letter from the Editor-in-Chief}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {9}, number = {2}, pages = {37--44}, year = {2010}, url = {https://doi.org/10.1109/L-CA.2010.27}, doi = {10.1109/L-CA.2010.27}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/Skadron10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/BoyerTS10, author = {Michael Boyer and David Tarjan and Kevin Skadron}, title = {Federation: Boosting per-thread performance of throughput-oriented manycore architectures}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {7}, number = {4}, pages = {19:1--19:38}, year = {2010}, url = {https://doi.org/10.1145/1880043.1880046}, doi = {10.1145/1880043.1880046}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/BoyerTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeSC10, author = {Jong Sung Lee and Kevin Skadron and Sung Woo Chung}, title = {Predictive Temperature-Aware {DVFS}}, journal = {{IEEE} Trans. Computers}, volume = {59}, number = {1}, pages = {127--133}, year = {2010}, url = {https://doi.org/10.1109/TC.2009.136}, doi = {10.1109/TC.2009.136}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/BakkumS10, author = {Peter Bakkum and Kevin Skadron}, editor = {David R. Kaeli and Miriam Leeser}, title = {Accelerating {SQL} database operations on a {GPU} with {CUDA}}, booktitle = {Proceedings of 3rd Workshop on General Purpose Processing on Graphics Processing Units, {GPGPU} 2010, Pittsburgh, Pennsylvania, USA, March 14, 2010}, series = {{ACM} International Conference Proceeding Series}, volume = {425}, pages = {94--103}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1735688.1735706}, doi = {10.1145/1735688.1735706}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/BakkumS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/QiMHRSS10, author = {Zhenyu Qi and Brett H. Meyer and Wei Huang and Robert J. Ribando and Kevin Skadron and Mircea R. Stan}, title = {Temperature-to-power mapping}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {384--389}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647690}, doi = {10.1109/ICCD.2010.5647690}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/QiMHRSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CheSBSWS10, author = {Shuai Che and Jeremy W. Sheaffer and Michael Boyer and Lukasz G. Szafaryn and Liang Wang and Kevin Skadron}, title = {A characterization of the Rodinia benchmark suite with comparison to contemporary {CMP} workloads}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Workload Characterization, {IISWC} 2010, Atlanta, GA, USA, December 2-4, 2010}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IISWC.2010.5650274}, doi = {10.1109/IISWC.2010.5650274}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/CheSBSWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MengSS10, author = {Jiayuan Meng and Jeremy W. Sheaffer and Kevin Skadron}, title = {Exploiting inter-thread temporal locality for chip multithreading}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Conference Proceedings}, pages = {1--12}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPS.2010.5470465}, doi = {10.1109/IPDPS.2010.5470465}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/MengSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/GoodrumTAAS10, author = {Matthew A. Goodrum and Michael J. Trotter and Alla Aksel and Scott T. Acton and Kevin Skadron}, editor = {Ana Lucia Varbanescu and Anca Mariana Molnos and Rob van Nieuwpoort}, title = {Parallelization of Particle Filter Algorithms}, booktitle = {Computer Architecture - {ISCA} 2010 International Workshops A4MMC, AMAS-BT, EAMA, WEED, WIOSCA, Saint-Malo, France, June 19-23, 2010, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6161}, pages = {139--149}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-24322-6\_12}, doi = {10.1007/978-3-642-24322-6\_12}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/GoodrumTAAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/MengTS10, author = {Jiayuan Meng and David Tarjan and Kevin Skadron}, editor = {Andr{\'{e}} Seznec and Uri C. Weiser and Ronny Ronen}, title = {Dynamic warp subdivision for integrated branch and memory divergence tolerance}, booktitle = {37th International Symposium on Computer Architecture {(ISCA} 2010), June 19-23, 2010, Saint-Malo, France}, pages = {235--246}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1815961.1815992}, doi = {10.1145/1815961.1815992}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/MengTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/TarjanS10, author = {David Tarjan and Kevin Skadron}, title = {The Sharing Tracker: Using Ideas from Cache Coherence Hardware to Reduce Off-Chip Memory Traffic with Non-Coherent Caches}, booktitle = {Conference on High Performance Computing Networking, Storage and Analysis, {SC} 2010, New Orleans, LA, USA, November 13-19, 2010}, pages = {1--10}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SC.2010.54}, doi = {10.1109/SC.2010.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/TarjanS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/Skadron09, author = {Kevin Skadron}, title = {Letter from the Editor}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {8}, number = {2}, pages = {39}, year = {2009}, url = {https://doi.org/10.1109/L-CA.2009.61}, doi = {10.1109/L-CA.2009.61}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/Skadron09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MengS09, author = {Jiayuan Meng and Kevin Skadron}, title = {Avoiding cache thrashing due to private data placement in last-level cache for manycore scaling}, booktitle = {27th International Conference on Computer Design, {ICCD} 2009, Lake Tahoe, CA, USA, October 4-7, 2009}, pages = {282--288}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCD.2009.5413143}, doi = {10.1109/ICCD.2009.5413143}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MengS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MengS09, author = {Jiayuan Meng and Kevin Skadron}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Performance modeling and automatic ghost zone optimization for iterative stencil loops on GPUs}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {256--265}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542313}, doi = {10.1145/1542275.1542313}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/MengS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/CheBMTSLS09, author = {Shuai Che and Michael Boyer and Jiayuan Meng and David Tarjan and Jeremy W. Sheaffer and Sang{-}Ha Lee and Kevin Skadron}, title = {Rodinia: {A} benchmark suite for heterogeneous computing}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {44--54}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306797}, doi = {10.1109/IISWC.2009.5306797}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/CheBMTSLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BoyerTAS09, author = {Michael Boyer and David Tarjan and Scott T. Acton and Kevin Skadron}, title = {Accelerating leukocyte tracking using {CUDA:} {A} case study in leveraging manycore coprocessors}, booktitle = {23rd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2009, Rome, Italy, May 23-29, 2009}, pages = {1--12}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IPDPS.2009.5160984}, doi = {10.1109/IPDPS.2009.5160984}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/BoyerTAS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HuangSGRS09, author = {Wei Huang and Kevin Skadron and Sudhanva Gurumurthi and Robert J. Ribando and Mircea R. Stan}, title = {Differentiating the roles of {IR} measurement and simulation for power and temperature-aware design}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISPASS.2009.4919633}, doi = {10.1109/ISPASS.2009.4919633}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HuangSGRS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/TarjanMS09, author = {David Tarjan and Jiayuan Meng and Kevin Skadron}, title = {Increasing memory miss tolerance for {SIMD} cores}, booktitle = {Proceedings of the {ACM/IEEE} Conference on High Performance Computing, {SC} 2009, November 14-20, 2009, Portland, Oregon, {USA}}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1654059.1654082}, doi = {10.1145/1654059.1654082}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/TarjanMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/CheBMTSS08, author = {Shuai Che and Michael Boyer and Jiayuan Meng and David Tarjan and Jeremy W. Sheaffer and Kevin Skadron}, title = {A performance study of general-purpose applications on graphics processors using {CUDA}}, journal = {J. Parallel Distributed Comput.}, volume = {68}, number = {10}, pages = {1370--1380}, year = {2008}, url = {https://doi.org/10.1016/j.jpdc.2008.05.014}, doi = {10.1016/J.JPDC.2008.05.014}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/CheBMTSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/queue/NickollsBGS08, author = {John Nickolls and Ian Buck and Michael Garland and Kevin Skadron}, title = {Scalable Parallel Programming with {CUDA}}, journal = {{ACM} Queue}, volume = {6}, number = {2}, pages = {40--53}, year = {2008}, url = {https://doi.org/10.1145/1365490.1365500}, doi = {10.1145/1365490.1365500}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/queue/NickollsBGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChungS08, author = {Sung Woo Chung and Kevin Skadron}, title = {On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {1}, pages = {7--24}, year = {2008}, url = {https://doi.org/10.1109/TC.2007.70770}, doi = {10.1109/TC.2007.70770}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChungS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HuangSSRS08, author = {Wei Huang and Karthik Sankaranarayanan and Kevin Skadron and Robert J. Ribando and Mircea R. Stan}, title = {Accurate, Pre-RTL Temperature-Aware Design Using a Parameterized, Geometric Thermal Model}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {9}, pages = {1277--1288}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.64}, doi = {10.1109/TC.2008.64}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HuangSSRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/HorvathS08, author = {Tibor Horvath and Kevin Skadron}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {Multi-mode energy management for multi-tier server clusters}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {270--279}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454153}, doi = {10.1145/1454115.1454153}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/HorvathS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangSSRS08, author = {Wei Huang and Mircea R. Stan and Karthik Sankaranarayanan and Robert J. Ribando and Kevin Skadron}, editor = {Limor Fix}, title = {Many-core design from a thermal perspective}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {746--749}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391660}, doi = {10.1145/1391469.1391660}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangSSRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TarjanBS08, author = {David Tarjan and Michael Boyer and Kevin Skadron}, editor = {Limor Fix}, title = {Federation: repurposing scalar cores for out-of-order instruction issue}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {772--775}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391666}, doi = {10.1145/1391469.1391666}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TarjanBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CookS08, author = {Henry Cook and Kevin Skadron}, editor = {Limor Fix}, title = {Predictive design space exploration using genetically programmed response surfaces}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {960--965}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391711}, doi = {10.1145/1391469.1391711}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CookS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sasp/CheLSSL08, author = {Shuai Che and Jie Li and Jeremy W. Sheaffer and Kevin Skadron and John C. Lach}, title = {Accelerating Compute-Intensive Applications with GPUs and FPGAs}, booktitle = {Proceedings of the {IEEE} Symposium on Application Specific Processors, {SASP} 2008, held in conjunction with the {DAC} 2008, June 8-9, 2008, Anaheim, California, {USA}}, pages = {101--107}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SASP.2008.4570793}, doi = {10.1109/SASP.2008.4570793}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sasp/CheLSSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/NickollsBGS08, author = {John Nickolls and Ian Buck and Michael Garland and Kevin Skadron}, title = {Scalable parallel programming with {CUDA}}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2008, Los Angeles, California, USA, August 11-15, 2008, Classes}, pages = {16:1--16:14}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1401132.1401152}, doi = {10.1145/1401132.1401152}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/NickollsBGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SkadronBGSYC07, author = {Kevin Skadron and Pradip Bose and Kanad Ghose and Resit Sendag and Joshua J. Yi and Derek Chiou}, title = {Low-Power Design and Temperature Management}, journal = {{IEEE} Micro}, volume = {27}, number = {6}, pages = {46--57}, year = {2007}, url = {https://doi.org/10.1109/MM.2007.104}, doi = {10.1109/MM.2007.104}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SkadronBGSYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HorvathASL07, author = {Tibor Horvath and Tarek F. Abdelzaher and Kevin Skadron and Xue Liu}, title = {Dynamic Voltage Scaling in Multitier Web Servers with End-to-End Delay Control}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {4}, pages = {444--458}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.1003}, doi = {10.1109/TC.2007.1003}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HorvathASL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LuHSSL07, author = {Zhijian Lu and Wei Huang and Mircea R. Stan and Kevin Skadron and John C. Lach}, title = {Interconnect Lifetime Prediction for Reliability-Aware Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {2}, pages = {159--172}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.893578}, doi = {10.1109/TVLSI.2007.893578}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LuHSSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HumenayTS07, author = {Eric Humenay and David Tarjan and Kevin Skadron}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Impact of process variations on multicore performance symmetry}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1653--1658}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266729}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/HumenayTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/SheafferLS07, author = {Jeremy W. Sheaffer and David P. Luebke and Kevin Skadron}, editor = {Mark Segal and Timo Aila}, title = {A hardware redundancy and recovery mechanism for reliable scientific computation on graphics processors}, booktitle = {Proceedings of the {ACM} {SIGGRAPH/EUROGRAPHICS} Conference on Graphics Hardware 2007, San Diego, California, USA, August 4-5, 2007}, pages = {55--64}, publisher = {Eurographics Association}, year = {2007}, url = {https://doi.org/10.2312/EGGH/EGGH07/055-064}, doi = {10.2312/EGGH/EGGH07/055-064}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/egh/SheafferLS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/HorvathSA07, author = {Tibor Horvath and Kevin Skadron and Tarek F. Abdelzaher}, title = {Enhancing Energy Efficiency in Multi-tier Web Server Clusters via Prioritization}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370509}, doi = {10.1109/IPDPS.2007.370509}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/HorvathSA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/GaudiotPS06, author = {Jean{-}Luc Gaudiot and Yale N. Patt and Kevin Skadron}, title = {Foreword}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {5}, number = {2}, year = {2006}, url = {https://doi.org/10.1109/L-CA.2006.11}, doi = {10.1109/L-CA.2006.11}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/GaudiotPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/CoWS06, author = {Michele Co and Dee A. B. Weikle and Kevin Skadron}, title = {Evaluating trace cache energy efficiency}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {3}, number = {4}, pages = {450--476}, year = {2006}, url = {https://doi.org/10.1145/1187976.1187980}, doi = {10.1145/1187976.1187980}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/CoWS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HuangGVSSS06, author = {Wei Huang and Shougata Ghosh and Sivakumar Velusamy and Karthik Sankaranarayanan and Kevin Skadron and Mircea R. Stan}, title = {HotSpot: {A} Compact Thermal Modeling Methodology for Early-Stage {VLSI} Design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {5}, pages = {501--513}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.876103}, doi = {10.1109/TVLSI.2006.876103}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/HuangGVSSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aPcsac/ChungS06, author = {Sung Woo Chung and Kevin Skadron}, editor = {Chris R. Jesshope and Colin Egan}, title = {Using Branch Prediction Information for Near-Optimal I-Cache Leakage}, booktitle = {Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, {ACSAC} 2006, Shanghai, China, September 6-8, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4186}, pages = {24--37}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11859802\_4}, doi = {10.1007/11859802\_4}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/aPcsac/ChungS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/DaleSKLHS06, author = {Kevin Dale and Jeremy W. Sheaffer and Vinu Vijay Kumar and David P. Luebke and Greg Humphreys and Kevin Skadron}, editor = {Koen Bertels and Jo{\~{a}}o M. P. Cardoso and Stamatis Vassiliadis}, title = {Applications of Small-Scale Reconfigurability to Graphics Processors}, booktitle = {Reconfigurable Computing: Architectures and Applications, Second International Workshop, {ARC} 2006, Delft, The Netherlands, March 1-3, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3985}, pages = {99--108}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802839\_14}, doi = {10.1007/11802839\_14}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/DaleSKLHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuZSLS06, author = {Zhijian Lu and Yan Zhang and Mircea R. Stan and John C. Lach and Kevin Skadron}, editor = {Georges G. E. Gielen}, title = {Procrastinating voltage scheduling with discrete frequency sets}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {456--461}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243828}, doi = {10.1109/DATE.2006.243828}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LuZSLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/SheafferLS06, author = {Jeremy W. Sheaffer and David P. Luebke and Kevin Skadron}, editor = {Michael C. Doggett and Michael Wimmer}, title = {The Visual Vulnerability Spectrum: Characterizing Architectural Vulnerability for Graphics Hardware}, booktitle = {Proceedings of the 21st {ACM} {SIGGRAPH/EUROGRAPHICS} symposium on Graphics hardware, Vienna, Austria, September 3-4, 2006}, pages = {9--16}, publisher = {The Eurographics Association}, year = {2006}, url = {https://doi.org/10.2312/EGGH/EGGH06/009-016}, doi = {10.2312/EGGH/EGGH06/009-016}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/egh/SheafferLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LiLBHS06, author = {Yingmin Li and Benjamin C. Lee and David M. Brooks and Zhigang Hu and Kevin Skadron}, title = {{CMP} design space exploration subject to physical constraints}, booktitle = {12th International Symposium on High-Performance Computer Architecture, {HPCA-12} 2006, Austin, Texas, USA, February 11-15, 2006}, pages = {17--28}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/HPCA.2006.1598109}, doi = {10.1109/HPCA.2006.1598109}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LiLBHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ChungS06, author = {Sung Woo Chung and Kevin Skadron}, editor = {Minyi Guo and Laurence Tianruo Yang and Beniamino Di Martino and Hans P. Zima and Jack J. Dongarra and Feilong Tang}, title = {A Novel Software Solution for Localized Thermal Problems}, booktitle = {Parallel and Distributed Processing and Applications, 4th International Symposium, {ISPA} 2006, Sorrento, Italy, December 4-6, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4330}, pages = {63--74}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11946441\_11}, doi = {10.1007/11946441\_11}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispa/ChungS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/IEEEpact/2006, editor = {Erik R. Altman and Kevin Skadron and Benjamin G. Zorn}, title = {15th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2006), Seattle, Washington, USA, September 16-20, 2006}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1152154}, doi = {10.1145/1152154}, isbn = {1-59593-264-X}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jilp/SankaranarayananVSS05, author = {Karthik Sankaranarayanan and Sivakumar Velusamy and Mircea R. Stan and Kevin Skadron}, title = {A Case for Thermal-Aware Floorplanning at the Microarchitectural Level}, journal = {J. Instr. Level Parallelism}, volume = {7}, year = {2005}, url = {http://www.jilp.org/vol7/v7paper15.pdf}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jilp/SankaranarayananVSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LuLSS05, author = {Zhijian Lu and John C. Lach and Mircea R. Stan and Kevin Skadron}, title = {Improved Thermal Management with Reliability Banking}, journal = {{IEEE} Micro}, volume = {25}, number = {6}, pages = {40--49}, year = {2005}, url = {https://doi.org/10.1109/MM.2005.114}, doi = {10.1109/MM.2005.114}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LuLSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/HaskinsS05, author = {John W. Haskins Jr. and Kevin Skadron}, title = {Accelerated warmup for sampled microarchitecture simulation}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {2}, number = {1}, pages = {78--108}, year = {2005}, url = {https://doi.org/10.1145/1061267.1061272}, doi = {10.1145/1061267.1061272}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/HaskinsS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/TarjanS05, author = {David Tarjan and Kevin Skadron}, title = {Merging path and gshare indexing in perceptron branch prediction}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {2}, number = {3}, pages = {280--300}, year = {2005}, url = {https://doi.org/10.1145/1089008.1089011}, doi = {10.1145/1089008.1089011}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/TarjanS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangLLSS05, author = {Yan Zhang and Zhijian Lu and John C. Lach and Kevin Skadron and Mircea R. Stan}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Optimal procrastinating voltage scheduling for hard real-time systems}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {905--908}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065816}, doi = {10.1145/1065579.1065816}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhangLLSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/UngererLST05, author = {Theo Ungerer and Josep Llu{\'{\i}}s Larriba{-}Pey and Kevin Skadron and Pedro Trancoso}, editor = {Jos{\'{e}} C. Cunha and Pedro D. Medeiros}, title = {Topic 7 - Parallel Computer Architecture and {ILP}}, booktitle = {Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30 - September 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3648}, pages = {485--485}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11549468\_55}, doi = {10.1007/11549468\_55}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/UngererLST05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LiBHS05, author = {Yingmin Li and David M. Brooks and Zhigang Hu and Kevin Skadron}, title = {Performance, Energy, and Thermal Considerations for {SMT} and {CMP} Architectures}, booktitle = {11th International Conference on High-Performance Computer Architecture {(HPCA-11} 2005), 12-16 February 2005, San Francisco, CA, {USA}}, pages = {71--82}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/HPCA.2005.25}, doi = {10.1109/HPCA.2005.25}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LiBHS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeSH05, author = {Kyeong{-}Jae Lee and Kevin Skadron and Wei Huang}, title = {Analytical Model for Sensor Placement on Microprocessors}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {24--30}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.23}, doi = {10.1109/ICCD.2005.23}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeSH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/VelusamyHLSS05, author = {Sivakumar Velusamy and Wei Huang and John C. Lach and Mircea R. Stan and Kevin Skadron}, title = {Monitoring Temperature in {FPGA} based SoCs}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {634--640}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.78}, doi = {10.1109/ICCD.2005.78}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/VelusamyHLSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LeeS05a, author = {Kyeong{-}Jae Lee and Kevin Skadron}, title = {Using Performance Counters for Runtime Temperature Sensing in High-Performance Processors}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.448}, doi = {10.1109/IPDPS.2005.448}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/LeeS05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiHMBHS05, author = {Yingmin Li and Mark Hempstead and Patrick Mauro and David M. Brooks and Zhigang Hu and Kevin Skadron}, editor = {Kaushik Roy and Vivek Tiwari}, title = {Power and thermal effects of {SRAM} vs. Latch-Mux design styles and clock gating choices}, booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}, pages = {173--178}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1077603.1077647}, doi = {10.1145/1077603.1077647}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LiHMBHS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HuangHSS05, author = {Wei Huang and Eric Humenay and Kevin Skadron and Mircea R. Stan}, editor = {Kaushik Roy and Vivek Tiwari}, title = {The need for a full-chip and package thermal model for thermally optimized {IC} designs}, booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}, pages = {245--250}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1077603.1077662}, doi = {10.1145/1077603.1077662}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/HuangHSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SheafferSL05, author = {Jeremy W. Sheaffer and Kevin Skadron and David P. Luebke}, title = {Studying Thermal Management for Graphics-Processor Architectures}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {54--65}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430559}, doi = {10.1109/ISPASS.2005.1430559}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/SheafferSL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/SheafferSL05, author = {Jeremy W. Sheaffer and Kevin Skadron and David P. Luebke}, editor = {Juan Buhler}, title = {Fine-grained graphics architectural simulation with Qsilver}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2005, Los Angeles, California, USA, July 31 - August 4, 2005, Posters}, pages = {118}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1186954.1187089}, doi = {10.1145/1186954.1187089}, timestamp = {Fri, 12 Mar 2021 11:32:31 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/SheafferSL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SkadronSSHVT04, author = {Kevin Skadron and Mircea R. Stan and Karthik Sankaranarayanan and Wei Huang and Sivakumar Velusamy and David Tarjan}, title = {Temperature-aware microarchitecture: Modeling and implementation}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {1}, number = {1}, pages = {94--125}, year = {2004}, url = {https://doi.org/10.1145/980152.980157}, doi = {10.1145/980152.980157}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/SkadronSSHVT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/JuangSMHCDK04, author = {Philo Juang and Kevin Skadron and Margaret Martonosi and Zhigang Hu and Douglas W. Clark and Phil Diodato and Stefanos Kaxiras}, title = {Implementing branch-predictor decay using quasi-static memory cells}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {1}, number = {2}, pages = {180--219}, year = {2004}, url = {https://doi.org/10.1145/1011528.1011531}, doi = {10.1145/1011528.1011531}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/JuangSMHCDK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SankaranarayananS04, author = {Karthik Sankaranarayanan and Kevin Skadron}, title = {Profile-based adaptation for cache decay}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {1}, number = {3}, pages = {305--322}, year = {2004}, url = {https://doi.org/10.1145/1022969.1022972}, doi = {10.1145/1022969.1022972}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/SankaranarayananS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ParikhSZS04, author = {Dharmesh Parikh and Kevin Skadron and Yan Zhang and Mircea R. Stan}, title = {Power-Aware Branch Prediction: Characterization and Design}, journal = {{IEEE} Trans. Computers}, volume = {53}, number = {2}, pages = {168--186}, year = {2004}, url = {https://doi.org/10.1109/TC.2004.1261827}, doi = {10.1109/TC.2004.1261827}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ParikhSZS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangSSSGV04, author = {Wei Huang and Mircea R. Stan and Kevin Skadron and Karthik Sankaranarayanan and Shougata Ghosh and Sivakumar Velusamy}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Compact thermal modeling for temperature-aware design}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {878--883}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996800}, doi = {10.1145/996566.996800}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangSSSGV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Skadron04, author = {Kevin Skadron}, title = {Hybrid Architectural Dynamic Thermal Management}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {10--15}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268820}, doi = {10.1109/DATE.2004.1268820}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Skadron04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiPZSSS04, author = {Yingmin Li and Dharmesh Parikh and Yan Zhang and Karthik Sankaranarayanan and Mircea R. Stan and Kevin Skadron}, title = {State-Preserving vs. Non-State-Preserving Leakage Control in Caches}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {22--29}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268822}, doi = {10.1109/DATE.2004.1268822}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiPZSSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/SheafferLS04, author = {Jeremy W. Sheaffer and David P. Luebke and Kevin Skadron}, editor = {Michael D. McCool and Tomas Akenine{-}M{\"{o}}ller}, title = {A flexible simulation framework for graphics architectures}, booktitle = {Proceedings of the {ACM} {SIGGRAPH/EUROGRAPHICS} Symposium on Graphics Hardware 2004, Grenoble, France, August 29-30, 2004}, pages = {85--94}, publisher = {Eurographics Association}, year = {2004}, url = {https://doi.org/10.2312/EGGH/EGGH04/085-094}, doi = {10.2312/EGGH/EGGH04/085-094}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/egh/SheafferLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuHLSS04, author = {Zhijian Lu and Wei Huang and John C. Lach and Mircea R. Stan and Kevin Skadron}, title = {Interconnect lifetime prediction under dynamic stress for reliability-aware design}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {327--334}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382595}, doi = {10.1109/ICCAD.2004.1382595}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuHLSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LachBS04, author = {John C. Lach and Jason Brandon and Kevin Skadron}, title = {A General Post-Processing Approach to Leakage Current Reduction in SRAM-Based FPGAs}, booktitle = {22nd {IEEE} International Conference on Computer Design: {VLSI} in Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}, pages = {144--150}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICCD.2004.1347914}, doi = {10.1109/ICCD.2004.1347914}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LachBS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiBHSB04, author = {Yingmin Li and David M. Brooks and Zhigang Hu and Kevin Skadron and Pradip Bose}, editor = {Rajiv V. Joshi and Kiyoung Choi and Vivek Tiwari and Kaushik Roy}, title = {Understanding the energy efficiency of simultaneous multithreading}, booktitle = {Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004}, pages = {44--49}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1013235.1013251}, doi = {10.1145/1013235.1013251}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LiBHSB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/SheafferSL04, author = {Jeremy W. Sheaffer and Kevin Skadron and David P. Luebke}, editor = {Ronen Barzel}, title = {Temperature-aware {GPU} design}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2004, Los Angeles, California, USA, August 8-12, 2004, Posters}, pages = {100}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1186415.1186533}, doi = {10.1145/1186415.1186533}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/SheafferSL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/SkadronMAHLP03, author = {Kevin Skadron and Margaret Martonosi and David I. August and Mark D. Hill and David J. Lilja and Vijay S. Pai}, title = {Challenges in Computer Architecture Evaluation}, journal = {Computer}, volume = {36}, number = {8}, pages = {30--36}, year = {2003}, url = {https://doi.org/10.1109/MC.2003.1220579}, doi = {10.1109/MC.2003.1220579}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/SkadronMAHLP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/StanS03, author = {Mircea R. Stan and Kevin Skadron}, title = {Guest Editors' Introduction: Power-Aware Computing}, journal = {Computer}, volume = {36}, number = {12}, pages = {35--38}, year = {2003}, url = {https://doi.org/10.1109/MC.2003.1250876}, doi = {10.1109/MC.2003.1250876}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/StanS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/LuLSS03, author = {Zhijian Lu and John C. Lach and Mircea R. Stan and Kevin Skadron}, title = {Alloyed Branch History: Combining Global and Local Branch History for Robust Performance}, journal = {Int. J. Parallel Program.}, volume = {31}, number = {2}, pages = {137--177}, year = {2003}, url = {https://doi.org/10.1023/A:1022669325321}, doi = {10.1023/A:1022669325321}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/LuLSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SkadronSHVST03, author = {Kevin Skadron and Mircea R. Stan and Wei Huang and Sivakumar Velusamy and Karthik Sankaranarayanan and David Tarjan}, title = {Temperature-Aware Computer Systems: Opportunities and Challenges}, journal = {{IEEE} Micro}, volume = {23}, number = {6}, pages = {52--61}, year = {2003}, url = {https://doi.org/10.1109/MM.2003.1261387}, doi = {10.1109/MM.2003.1261387}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SkadronSHVST03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/StanSBHSV03, author = {Mircea R. Stan and Kevin Skadron and Marco Barcella and Wei Huang and Karthik Sankaranarayanan and Sivakumar Velusamy}, title = {HotSpot: a dynamic compact thermal model at the processor-architecture level}, journal = {Microelectron. J.}, volume = {34}, number = {12}, pages = {1153--1165}, year = {2003}, url = {https://doi.org/10.1016/S0026-2692(03)00206-4}, doi = {10.1016/S0026-2692(03)00206-4}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/StanSBHSV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LuLSS03, author = {Zhijian Lu and John C. Lach and Mircea R. Stan and Kevin Skadron}, title = {Reducing Multimedia Decode Power using Feedback Control}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {489}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICCD.2003.1240945}, doi = {10.1109/ICCD.2003.1240945}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LuLSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SkadronSHVST03, author = {Kevin Skadron and Mircea R. Stan and Wei Huang and Sivakumar Velusamy and Karthik Sankaranarayanan and David Tarjan}, editor = {Allan Gottlieb and Kai Li}, title = {Temperature-Aware Microarchitecture}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {2--13}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ISCA.2003.1206984}, doi = {10.1109/ISCA.2003.1206984}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SkadronSHVST03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HaskinsS03, author = {John W. Haskins Jr. and Kevin Skadron}, title = {Memory reference reuse latency: Accelerated warmup for sampled microarchitecture simulation}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {195--203}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190246}, doi = {10.1109/ISPASS.2003.1190246}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HaskinsS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/SharmaTASL03, author = {Vivek Sharma and Arun Thomas and Tarek F. Abdelzaher and Kevin Skadron and Zhijian Lu}, title = {Power-aware QoS Management in Web Servers}, booktitle = {Proceedings of the 24th {IEEE} Real-Time Systems Symposium {(RTSS} 2003), 3-5 December 2003, Cancun, Mexico}, pages = {63--72}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/REAL.2003.1253254}, doi = {10.1109/REAL.2003.1253254}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/SharmaTASL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/JuangDKSHMC02, author = {Philo Juang and Phil Diodato and Stefanos Kaxiras and Kevin Skadron and Zhigang Hu and Margaret Martonosi and Douglas W. Clark}, title = {Implementing Decay Techniques using 4T Quasi-Static Memory Cells}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {1}, year = {2002}, url = {https://doi.org/10.1109/L-CA.2002.5}, doi = {10.1109/L-CA.2002.5}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/JuangDKSHMC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/LuHHSLS02, author = {Zhijian Lu and Jason Hein and Marty Humphrey and Mircea R. Stan and John C. Lach and Kevin Skadron}, editor = {Shuvra S. Bhattacharyya and Trevor N. Mudge and Wayne H. Wolf and Ahmed Amine Jerraya}, title = {Control-theoretic dynamic frequency and voltage scaling for multimedia workloads}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2002, Greenoble, France, October 8-11, 2002}, pages = {156--163}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/581630.581654}, doi = {10.1145/581630.581654}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/LuHHSLS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SkadronAS02, author = {Kevin Skadron and Tarek F. Abdelzaher and Mircea R. Stan}, title = {Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management}, booktitle = {Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002}, pages = {17--28}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HPCA.2002.995695}, doi = {10.1109/HPCA.2002.995695}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/SkadronAS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParikhSZBS02, author = {Dharmesh Parikh and Kevin Skadron and Yan Zhang and Marco Barcella and Mircea R. Stan}, title = {Power Issues Related to Branch Prediction}, booktitle = {Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002}, pages = {233--244}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HPCA.2002.995713}, doi = {10.1109/HPCA.2002.995713}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParikhSZBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuJSCM02, author = {Zhigang Hu and Philo Juang and Kevin Skadron and Douglas W. Clark and Margaret Martonosi}, title = {Applying Decay Strategies to Branch Predictors for Leakage Energy Savings}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {442--445}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106809}, doi = {10.1109/ICCD.2002.1106809}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuJSCM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HuJDKSMC02, author = {Zhigang Hu and Philo Juang and Phil Diodato and Stefanos Kaxiras and Kevin Skadron and Margaret Martonosi and Douglas W. Clark}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Managing leakage for transient data: decay and quasi-static 4T memory cells}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {52--55}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566423}, doi = {10.1145/566408.566423}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/HuJDKSMC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhangLSS02, author = {Yan Zhang and John C. Lach and Kevin Skadron and Mircea R. Stan}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Odd/even bus invert with two-phase transfer for buses with coupling}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {80--83}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566431}, doi = {10.1145/566408.566431}, timestamp = {Fri, 20 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ZhangLSS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/Skadron02, author = {Kevin Skadron}, editor = {Judith L. Gersting and Henry MacKay Walker and Scott Grissom}, title = {A microprocessor survey course for learning advanced computer architecture}, booktitle = {Proceedings of the 33rd {SIGCSE} Technical Symposium on Computer Science Education, {SIGCSE} 2002, Cincinnati, Kentucky, USA, February 27 - March 3, 2002}, pages = {152--156}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/563340.563399}, doi = {10.1145/563340.563399}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcse/Skadron02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/StanS02, author = {Mircea R. Stan and Kevin Skadron}, editor = {Edward F. Gehringer}, title = {Teaching processor architecture with a {VLSI} perspective}, booktitle = {Proceedings of the 2002 workshop on Computer architecture education - Held in conjunction with the 29th International Symposium on Computer Architecture, WCAE@ISCA 2002, Anchorage, Alaska, USA, May 26, 2002}, pages = {3}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/1275462.1275467}, doi = {10.1145/1275462.1275467}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcae/StanS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isca/2002, editor = {Yale N. Patt and Dirk Grunwald and Kevin Skadron}, title = {29th International Symposium on Computer Architecture {(ISCA} 2002), 25-29 May 2002, Anchorage, AK, {USA}}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/7869/proceeding}, isbn = {0-7695-1605-X}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaskinsS01, author = {John W. Haskins Jr. and Kevin Skadron}, title = {Minimal Subset Evaluation: Rapid Warm-Up for Simulated Hardware State}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {32--39}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCD.2001.955000}, doi = {10.1109/ICCD.2001.955000}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HaskinsS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/CoS01, author = {Michele Co and Kevin Skadron}, title = {The effects of context switching on branch predictor performance}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {77--84}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990679}, doi = {10.1109/ISPASS.2001.990679}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/CoS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/2001, editor = {Yale N. Patt and Josh Fisher and Paolo Faraboschi and Kevin Skadron}, title = {Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001}, publisher = {{ACM/IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7766/proceeding}, isbn = {0-7695-1369-7}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jilp/SkadronMC00, author = {Kevin Skadron and Margaret Martonosi and Douglas W. Clark}, title = {Speculative Updates of Local and Global Branch History: {A} Quantitative Analysis}, journal = {J. Instr. Level Parallelism}, volume = {2}, year = {2000}, url = {http://www.jilp.org/vol2/v2paper1.pdf}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jilp/SkadronMC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/SkadronMC00, author = {Kevin Skadron and Margaret Martonosi and Douglas W. Clark}, title = {A Taxonomy of Branch Mispredictions, and Alloyed Prediction as a Robust Solution to Wrong-History Mispredictions}, booktitle = {Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000}, pages = {199--206}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/PACT.2000.888344}, doi = {10.1109/PACT.2000.888344}, timestamp = {Tue, 31 May 2022 13:36:12 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/SkadronMC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/Skadron00, author = {Kevin Skadron}, title = {A microprocessor survey course: exploring advanced computer architecture in practice}, booktitle = {Proceedings of the 2000 workshop on Computer architecture education, WCAE@ISCA 2000, Vancouver, BC, Canada, June 10, 2000}, pages = {3}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/1275240.1275245}, doi = {10.1145/1275240.1275245}, timestamp = {Tue, 06 Nov 2018 16:57:55 +0100}, biburl = {https://dblp.org/rec/conf/wcae/Skadron00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SkadronAMC99, author = {Kevin Skadron and Pritpal S. Ahuja and Margaret Martonosi and Douglas W. Clark}, title = {Branch Prediction, Instruction-Window Size, and Cache Size: Performance Trade-Offs and Simulation Techniques}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {11}, pages = {1260--1281}, year = {1999}, url = {https://doi.org/10.1109/12.811115}, doi = {10.1109/12.811115}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SkadronAMC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AhujaSMC98, author = {Pritpal S. Ahuja and Kevin Skadron and Margaret Martonosi and Douglas W. Clark}, editor = {Greg K. Egan and Richard P. Brent and Dennis Gannon}, title = {Multipath Execution: Opportunities and Limits}, booktitle = {Proceedings of the 12th international conference on Supercomputing, {ICS} 1998, Melbourne, Australia, July 13-17, 1998}, pages = {101--108}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/277830.277854}, doi = {10.1145/277830.277854}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/AhujaSMC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SkadronAMC98, author = {Kevin Skadron and Pritpal S. Ahuja and Margaret Martonosi and Douglas W. Clark}, editor = {James O. Bondi and Jim Smith}, title = {Improving Prediction for Procedure Returns with Return-address-stack Repair Mechanisms}, booktitle = {Proceedings of the 31st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 31, Dallas, Texas, USA, November 30 - December 2, 1998}, pages = {259--271}, publisher = {{ACM/IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/MICRO.1998.742787}, doi = {10.1109/MICRO.1998.742787}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/SkadronAMC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SkadronC97, author = {Kevin Skadron and Douglas W. Clark}, title = {Design Issues and Tradeoffs for Write Buffers}, booktitle = {Proceedings of the 3rd {IEEE} Symposium on High-Performance Computer Architecture {(HPCA} '97), San Antonio, Texas, USA, February 1-5, 1997}, pages = {144--155}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HPCA.1997.569650}, doi = {10.1109/HPCA.1997.569650}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SkadronC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.