default search action
BibTeX records: Tajana Rosing
@article{DBLP:journals/information/AsgarinejadTHZRRA24, author = {Fatemeh Asgarinejad and Anthony Thomas and Ryan Hildebrant and Zhenyu Zhang and Shangping Ren and Tajana Rosing and Baris Aksanli}, title = {Optimized Early Prediction of Business Processes with Hyperdimensional Computing}, journal = {Inf.}, volume = {15}, number = {8}, pages = {490}, year = {2024}, url = {https://doi.org/10.3390/info15080490}, doi = {10.3390/INFO15080490}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/AsgarinejadTHZRRA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AsgarinejadYJMRA24, author = {Fatemeh Asgarinejad and Xiaofan Yu and Danlin Jiang and Justin Morris and Tajana Rosing and Baris Aksanli}, title = {Enhanced Noise-Resilient Pressure Mat System Based on Hyperdimensional Computing}, journal = {Sensors}, volume = {24}, number = {3}, pages = {1014}, year = {2024}, url = {https://doi.org/10.3390/s24031014}, doi = {10.3390/S24031014}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AsgarinejadYJMRA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/WuZXVRS24, author = {Lingxi Wu and Minxuan Zhou and Weihong Xu and Ashish Venkat and Tajana Rosing and Kevin Skadron}, title = {Abakus: Accelerating \emph{k}-mer Counting with Storage Technology}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {10:1--10:26}, year = {2024}, url = {https://doi.org/10.1145/3632952}, doi = {10.1145/3632952}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/WuZXVRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KangXBMR24, author = {Jaeyoung Kang and Weihong Xu and Wout Bittremieux and Niema Moshiri and Tajana Simunic Rosing}, title = {DRAM-Based Acceleration of Open Modification Search in Hyperdimensional Space}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {9}, pages = {2592--2605}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2024.3382842}, doi = {10.1109/TCAD.2024.3382842}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KangXBMR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/GuptaCS24, author = {Saransh Gupta and Rosario Cammarota and Tajana Simunic}, title = {MemFHE: End-to-end Computing with Fully Homomorphic Encryption in Memory}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {23}, number = {2}, pages = {28:1--28:23}, year = {2024}, url = {https://doi.org/10.1145/3569955}, doi = {10.1145/3569955}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/GuptaCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PanZLLKNR24, author = {Yue Pan and Minxuan Zhou and Chonghan Lee and Zheyu Li and Rishika Kushwah and Vijaykrishnan Narayanan and Tajana Rosing}, title = {{PRIMATE:} Processing in Memory Acceleration for Dynamic Token-pruning Transformers}, booktitle = {Proceedings of the 29th Asia and South Pacific Design Automation Conference, {ASPDAC} 2024, Incheon, Korea, January 22-25, 2024}, pages = {557--563}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ASP-DAC58780.2024.10473968}, doi = {10.1109/ASP-DAC58780.2024.10473968}, timestamp = {Thu, 22 Aug 2024 15:31:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PanZLLKNR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MorenoYR24, author = {Ivannia Gomez Moreno and Xiaofan Yu and Tajana Rosing}, title = {KalmanHD: Robust On-Device Time Series Forecasting with Hyperdimensional Computing}, booktitle = {Proceedings of the 29th Asia and South Pacific Design Automation Conference, {ASPDAC} 2024, Incheon, Korea, January 22-25, 2024}, pages = {710--715}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ASP-DAC58780.2024.10473878}, doi = {10.1109/ASP-DAC58780.2024.10473878}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MorenoYR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AsgarinejadMRA24, author = {Fatemeh Asgarinejad and Justin Morris and Tajana Rosing and Baris Aksanli}, title = {{PIONEER:} Highly Efficient and Accurate Hyperdimensional Computing using Learned Projection}, booktitle = {Proceedings of the 29th Asia and South Pacific Design Automation Conference, {ASPDAC} 2024, Incheon, Korea, January 22-25, 2024}, pages = {896--901}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ASP-DAC58780.2024.10473862}, doi = {10.1109/ASP-DAC58780.2024.10473862}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AsgarinejadMRA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SongLRAAJLRK24, author = {Chang Eun Song and Yidong Li and Amardeep Ramnani and Pulkit Agrawal and Purvi Agrawal and Sung{-}Joon Jang and Sang{-}Seol Lee and Tajana Rosing and Mingu Kang}, title = {52.5 {TOPS/W} 1.7GHz Reconfigurable XGBoost Inference Accelerator Based on Modular-Unit-Tree with Dynamic Data and Compute Gating}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10529017}, doi = {10.1109/CICC60959.2024.10529017}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SongLRAAJLRK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/0001LZXR24, author = {Jaeyoung Kang and You Hak Lee and Minxuan Zhou and Weihong Xu and Tajana Rosing}, title = {HygHD: Hyperdimensional Hypergraph Learning}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546871}, timestamp = {Mon, 17 Jun 2024 14:45:14 +0200}, biburl = {https://dblp.org/rec/conf/date/0001LZXR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GungorRA24, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{ROLDEF:} RObust Layered DEFense for Intrusion Detection Against Adversarial Attacks}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546886}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GungorRA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PingeX0ZMBR24, author = {Sumukh Pinge and Weihong Xu and Jaeyoung Kang and Tianqi Zhang and Niema Moshiri and Wout Bittremieux and Tajana Rosing}, title = {SpecHD: Hyperdimensional Computing Framework for FPGA-Based Mass Spectrometry Clustering}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546776}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PingeX0ZMBR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Xu0R24, author = {Weihong Xu and Jaeyoung Kang and Tajana Rosing}, title = {AttBind: Memory-Efficient Acceleration for Long-Range Attention Using Vector-Derived Symbolic Binding}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546602}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Xu0R24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoYHR24, author = {Quanling Zhao and Xiaofan Yu and Shengfan Hu and Tajana Rosing}, title = {MultimodalHD: Federated Learning Over Heterogeneous Sensor Modalities using Hyperdimensional Computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546794}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaoYHR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/YuTMGR24, author = {Xiaofan Yu and Anthony Thomas and Ivannia Gomez Moreno and Louis Gutierrez and Tajana Simunic Rosing}, title = {Intelligence Beyond the Edge using Hyperdimensional Computing}, booktitle = {23rd {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2024, Hong Kong, May 13-16, 2024}, pages = {1--13}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IPSN61024.2024.00005}, doi = {10.1109/IPSN61024.2024.00005}, timestamp = {Tue, 16 Jul 2024 16:27:20 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/YuTMGR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/YuRG24, author = {Xiaofan Yu and Tajana Rosing and Yunhui Guo}, title = {Evolve: Enhancing Unsupervised Continual Learning with Multiple Experts}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {2355--2366}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00236}, doi = {10.1109/WACV57701.2024.00236}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/YuRG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04759, author = {Xiaofan Yu and Anthony Thomas and Ivannia Gomez Moreno and Louis Gutierrez and Tajana Rosing}, title = {Lifelong Intelligence Beyond the Edge using Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2403.04759}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04759}, doi = {10.48550/ARXIV.2403.04759}, eprinttype = {arXiv}, eprint = {2403.04759}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04759.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00039, author = {Flavio Ponzina and Tajana Rosing}, title = {MicroHD: An Accuracy-Driven Optimization of Hyperdimensional Computing Algorithms for TinyML systems}, journal = {CoRR}, volume = {abs/2404.00039}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00039}, doi = {10.48550/ARXIV.2404.00039}, eprinttype = {arXiv}, eprint = {2404.00039}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00039.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-02756, author = {Keming Fan and Wei{-}Chen Chen and Sumukh Pinge and H.{-}S. Philip Wong and Tajana Rosing}, title = {Efficient Open Modification Spectral Library Searching in High-Dimensional Space with Multi-Level-Cell Memory}, journal = {CoRR}, volume = {abs/2405.02756}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.02756}, doi = {10.48550/ARXIV.2405.02756}, eprinttype = {arXiv}, eprint = {2405.02756}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-02756.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-00604, author = {Xuan Wang and Minxuan Zhou and Tajana Rosing}, title = {Fast-OverlaPIM: {A} Fast Overlap-driven Mapping Framework for Processing In-Memory Neural Network Acceleration}, journal = {CoRR}, volume = {abs/2407.00604}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.00604}, doi = {10.48550/ARXIV.2407.00604}, eprinttype = {arXiv}, eprint = {2407.00604}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-00604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChandrasekaranAMR23, author = {Rishikanth Chandrasekaran and Fatemeh Asgareinjad and Justin Morris and Tajana Rosing}, title = {Multi-Label Classification With Hyperdimensional Representations}, journal = {{IEEE} Access}, volume = {11}, pages = {108458--108474}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3299881}, doi = {10.1109/ACCESS.2023.3299881}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChandrasekaranAMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ErgunAMR23, author = {Kazim Ergun and Raid Ayoub and Pietro Mercati and Tajana Simunic Rosing}, title = {Dynamic Reliability Management of Multigateway IoT Edge Computing Systems}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {5}, pages = {3864--3889}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3185082}, doi = {10.1109/JIOT.2022.3185082}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ErgunAMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/XuGMR23, author = {Weihong Xu and Saransh Gupta and Niema Moshiri and Tajana Simunic Rosing}, title = {RAPIDx: High-Performance ReRAM Processing In-Memory Accelerator for Sequence Alignment}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {10}, pages = {3275--3288}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3239537}, doi = {10.1109/TCAD.2023.3239537}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/XuGMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhangMSLKTGANR23, author = {Tianqi Zhang and Justin Morris and Kenneth Michael Stewart and Hin Wai Lui and Behnam Khaleghi and Anthony Thomas and Thiago Goncalves{-}Marback and Baris Aksanli and Emre O. Neftci and Tajana Rosing}, title = {HyperSpikeASIC: Accelerating Event-Based Workloads With HyperDimensional Computing and Spiking Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {11}, pages = {3997--4010}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3264167}, doi = {10.1109/TCAD.2023.3264167}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ZhangMSLKTGANR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MaRJ23, author = {Dongning Ma and Tajana Simunic Rosing and Xun Jiao}, title = {Testing and Enhancing Adversarial Robustness of Hyperdimensional Computing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {11}, pages = {4052--4064}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3263120}, doi = {10.1109/TCAD.2023.3263120}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/MaRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/AmirshahiTARA23, author = {Alireza Amirshahi and Anthony Hitchcock Thomas and Amir Aminifar and Tajana Rosing and David Atienza}, title = {{M2D2:} Maximum-Mean-Discrepancy Decoder for Temporal Localization of Epileptic Brain Activities}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {1}, pages = {202--214}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2022.3208780}, doi = {10.1109/JBHI.2022.3208780}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/AmirshahiTARA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/YuESCR23, author = {Xiaofan Yu and Kazim Ergun and Xueyang Song and Ludmila Cherkasova and Tajana Simunic Rosing}, title = {Automating and Optimizing Reliability-Driven Deployment in Energy-Harvesting IoT Networks}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {20}, number = {1}, pages = {787--799}, year = {2023}, url = {https://doi.org/10.1109/TNSM.2022.3208083}, doi = {10.1109/TNSM.2022.3208083}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/YuESCR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acml/JhaTJGR023, author = {Gopi Krishna Jha and Anthony Thomas and Nilesh Jain and Sameh Gobriel and Tajana Rosing and Ravi R. Iyer}, editor = {Berrin Yanikoglu and Wray L. Buntine}, title = {Mem-Rec: Memory Efficient Recommendation System using Alternative Representation}, booktitle = {Asian Conference on Machine Learning, {ACML} 2023, 11-14 November 2023, Istanbul, Turkey}, series = {Proceedings of Machine Learning Research}, volume = {222}, pages = {518--533}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v222/jha24a.html}, timestamp = {Tue, 14 May 2024 08:14:16 +0200}, biburl = {https://dblp.org/rec/conf/acml/JhaTJGR023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ZhangGM0R23, author = {Tianqi Zhang and Antonio Gonz{\'{a}}lez and Niema Moshiri and Rob Knight and Tajana Rosing}, title = {GenoMiX: Accelerated Simultaneous Analysis of Human Genomics, Microbiome Metagenomics, and Viral Sequences}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2023, Toronto, ON, Canada, October 19-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BioCAS58349.2023.10388531}, doi = {10.1109/BIOCAS58349.2023.10388531}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/ZhangGM0R23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsweek/GungorRA23, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {Adversarial-HD: Hyperdimensional Computing Adversarial Attack Design for Secure Industrial Internet of Things}, booktitle = {Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, CPS-IoT Week 2023 Workshops, San Antonio, TX, USA, May 9-12, 2023}, pages = {1--6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576914.3587484}, doi = {10.1145/3576914.3587484}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cpsweek/GungorRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuGGR23, author = {Xiaofan Yu and Yunhui Guo and Sicun Gao and Tajana Rosing}, title = {{SCALE:} Online Self-Supervised Lifelong Learning without Prior Knowledge}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {2484--2495}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00247}, doi = {10.1109/CVPRW59228.2023.00247}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuGGR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuZAGAR23, author = {Xiaofan Yu and Minxuan Zhou and Fatemeh Asgarinejad and Onat G{\"{u}}ng{\"{o}}r and Baris Aksanli and Tajana Rosing}, title = {Lightning Talk: Private and Secure Edge {AI} with Hyperdimensional Computing}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247820}, doi = {10.1109/DAC56929.2023.10247820}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YuZAGAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GungorRA23, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {HD-I-IoT: Hyperdimensional Computing for Resilient Industrial Internet of Things Analytics}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137045}, doi = {10.23919/DATE56975.2023.10137045}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GungorRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuKR23, author = {Weihong Xu and Jaeyoung Kang and Tajana Rosing}, title = {{FSL-HD:} Accelerating Few-Shot Learning on ReRAM using Hyperdimensional Computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136901}, doi = {10.23919/DATE56975.2023.10136901}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XuKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouWR23, author = {Minxuan Zhou and Xuan Wang and Tajana Rosing}, title = {OverlaPIM: Overlap Optimization for Processing In-Memory Neural Network Acceleration}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137223}, doi = {10.23919/DATE56975.2023.10137223}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhouWR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiuER23, author = {Dancheng Liu and Kazim Ergun and Tajana Simunic Rosing}, title = {Towards a Robust and Efficient Classifier for Real World Radio Signal Modulation Classification}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10094907}, doi = {10.1109/ICASSP49357.2023.10094907}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LiuER23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/XuSPFR23, author = {Weihong Xu and Viji Swaminathan and Sumukh Pinge and Sean Fuhrman and Tajana Rosing}, title = {HyperMetric: Robust Hyperdimensional Computing on Error-prone Memories using Metric Learning}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {243--246}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00045}, doi = {10.1109/ICCD58817.2023.00045}, timestamp = {Tue, 09 Jan 2024 15:43:43 +0100}, biburl = {https://dblp.org/rec/conf/iccd/XuSPFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/NiKRI23, author = {Yang Ni and Yeseong Kim and Tajana Rosing and Mohsen Imani}, title = {Algorithm-Hardware Co-Design for Efficient Brain-Inspired Hyperdimensional Learning on Edge (Extended Abstract)}, booktitle = {Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, {IJCAI} 2023, 19th-25th August 2023, Macao, SAR, China}, pages = {6474--6479}, publisher = {ijcai.org}, year = {2023}, url = {https://doi.org/10.24963/ijcai.2023/723}, doi = {10.24963/IJCAI.2023/723}, timestamp = {Mon, 28 Aug 2023 17:23:07 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/NiKRI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdi/YuCVZEZMR23, author = {Xiaofan Yu and Lucy Cherkasova and Harsh Vardhan and Quanling Zhao and Emily Ekaireb and Xiyuan Zhang and Arya Mazumdar and Tajana Rosing}, title = {Async-HFL: Efficient and Robust Asynchronous Federated Learning in Hierarchical IoT Networks}, booktitle = {Proceedings of the 8th {ACM/IEEE} Conference on Internet of Things Design and Implementation, IoTDI 2023, San Antonio, TX, USA, May 9-12, 2023}, pages = {236--248}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576842.3582377}, doi = {10.1145/3576842.3582377}, timestamp = {Sun, 20 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iotdi/YuCVZEZMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/ZhaoYR23, author = {Quanling Zhao and Xiaofan Yu and Tajana Rosing}, title = {Poster Abstract: Attentive Multimodal Learning on Sensor Data using Hyperdimensional Computing}, booktitle = {The 22nd International Conference on Information Processing in Sensor Networks, {IPSN} 2023, San Antonio, TX, USA, May 9-12, 2023}, pages = {312--313}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583120.3589824}, doi = {10.1145/3583120.3589824}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/ZhaoYR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/NamZGMCWMR23, author = {Yujin Nam and Minxuan Zhou and Saransh Gupta and Gabrielle De Micheli and Rosario Cammarota and Chris Wilkerson and Daniele Micciancio and Tajana Rosing}, title = {Efficient Machine Learning on Encrypted Data Using Hyperdimensional Computing}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISLPED58423.2023.10244262}, doi = {10.1109/ISLPED58423.2023.10244262}, timestamp = {Mon, 25 Sep 2023 14:10:09 +0200}, biburl = {https://dblp.org/rec/conf/islped/NamZGMCWMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZhangSKMAR23, author = {Tinaqi Zhang and Sahand Salamat and Behnam Khaleghi and Justin Morris and Baris Aksanli and Tajana Simunic Rosing}, title = {{HD2FPGA:} Automated Framework for Accelerating Hyperdimensional Computing on FPGAs}, booktitle = {24th International Symposium on Quality Electronic Design, {ISQED} 2023, San Francisco, CA, USA, April 5-7, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISQED57927.2023.10129332}, doi = {10.1109/ISQED57927.2023.10129332}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ZhangSKMAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/HsuXRY23, author = {Po{-}Kai Hsu and Weihong Xu and Tajana Rosing and Shimeng Yu}, title = {An In-Storage Processing Architecture with 3D {NAND} Heterogeneous Integration for Spectra Open Modification Search}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2023, Alexandria, VA, USA, October 2-5, 2023}, pages = {14:1--14:7}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3631882.3631896}, doi = {10.1145/3631882.3631896}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memsys/HsuXRY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/net4us/ReddingYHPR23, author = {Alexander Redding and Xiaofan Yu and Shengfan Hu and Pat Pannuto and Tajana Rosing}, title = {EmbHD: {A} Library for Hyperdimensional Computing Research on MCU-Class Devices}, booktitle = {Proceedings of the 2nd Workshop on Networked Sensing Systems for a Sustainable Society, NET4us 2023, Madrid, Spain, 6 October 2023}, pages = {187--192}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3615991.3616404}, doi = {10.1145/3615991.3616404}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/net4us/ReddingYHPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartnets/TimkenGRA23, author = {Mitchell Timken and Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {Analysis of Machine Learning Algorithms for Cyber Attack Detection in {SCADA} Power Systems}, booktitle = {International Conference on Smart Applications, Communications and Networking, SmartNets 2023, Istanbul, Turkey, July 25-27, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SmartNets58706.2023.10216147}, doi = {10.1109/SMARTNETS58706.2023.10216147}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartnets/TimkenGRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-06646, author = {Xiaofan Yu and Ludmila Cherkasova and Harsh Vardhan and Quanling Zhao and Emily Ekaireb and Xiyuan Zhang and Arya Mazumdar and Tajana Rosing}, title = {Async-HFL: Efficient and Robust Asynchronous Federated Learning in Hierarchical IoT Networks}, journal = {CoRR}, volume = {abs/2301.06646}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.06646}, doi = {10.48550/ARXIV.2301.06646}, eprinttype = {arXiv}, eprint = {2301.06646}, timestamp = {Sun, 20 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-06646.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09740, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{DODEM:} DOuble DEfense Mechanism Against Adversarial Attacks Towards Secure Industrial Internet of Things Analytics}, journal = {CoRR}, volume = {abs/2301.09740}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09740}, doi = {10.48550/ARXIV.2301.09740}, eprinttype = {arXiv}, eprint = {2301.09740}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15604, author = {Derek Jones and Jonathan E. Allen and Xiaohua Zhang and Behnam Khaleghi and Jaeyoung Kang and Weihong Xu and Niema Moshiri and Tajana Simunic Rosing}, title = {HD-Bind: Encoding of Molecular Structure with Low Precision, Hyperdimensional Binary Representations}, journal = {CoRR}, volume = {abs/2303.15604}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15604}, doi = {10.48550/ARXIV.2303.15604}, eprinttype = {arXiv}, eprint = {2303.15604}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-07205, author = {Gopi Krishna Jha and Anthony Thomas and Nilesh Jain and Sameh Gobriel and Tajana Rosing and Ravi Iyer}, title = {Mem-Rec: Memory Efficient Recommendation System using Alternative Representation}, journal = {CoRR}, volume = {abs/2305.07205}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.07205}, doi = {10.48550/ARXIV.2305.07205}, eprinttype = {arXiv}, eprint = {2305.07205}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-07205.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12874, author = {Sumukh Pinge and Weihong Xu and Jaeyoung Kang and Tianqi Zhang and Niema Moshiri and Wout Bittremieux and Tajana Rosing}, title = {SpecHD: Hyperdimensional Computing Framework for FPGA-based Mass Spectrometry Clustering}, journal = {CoRR}, volume = {abs/2311.12874}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12874}, doi = {10.48550/ARXIV.2311.12874}, eprinttype = {arXiv}, eprint = {2311.12874}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12874.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16293, author = {Minxuan Zhou and Yujin Nam and Pranav Gangwar and Weihong Xu and Arpan Dutta and Kartikeyan Subramanyam and Chris Wilkerson and Rosario Cammarota and Saransh Gupta and Tajana Rosing}, title = {FHEmem: {A} Processing In-Memory Accelerator for Fully Homomorphic Encryption}, journal = {CoRR}, volume = {abs/2311.16293}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16293}, doi = {10.48550/ARXIV.2311.16293}, eprinttype = {arXiv}, eprint = {2311.16293}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16293.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04257, author = {Weihong Xu and Junwei Chen and Po{-}Kai Hsu and Jaeyoung Kang and Minxuan Zhou and Sumukh Pinge and Shimeng Yu and Tajana Rosing}, title = {Proxima: Near-storage Acceleration for Graph-based Approximate Nearest Neighbor Search in 3D {NAND}}, journal = {CoRR}, volume = {abs/2312.04257}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04257}, doi = {10.48550/ARXIV.2312.04257}, eprinttype = {arXiv}, eprint = {2312.04257}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04257.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15966, author = {Kazim Ergun and Rishikanth Chandrasekaran and Tajana Rosing}, title = {Federated Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2312.15966}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15966}, doi = {10.48550/ARXIV.2312.15966}, eprinttype = {arXiv}, eprint = {2312.15966}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15966.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/ErgunAMR22, author = {Kazim Ergun and Raid Ayoub and Pietro Mercati and Tajana Rosing}, title = {Reinforcement learning based reliability-aware routing in IoT networks}, journal = {Ad Hoc Networks}, volume = {132}, pages = {102869}, year = {2022}, url = {https://doi.org/10.1016/j.adhoc.2022.102869}, doi = {10.1016/J.ADHOC.2022.102869}, timestamp = {Wed, 01 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/ErgunAMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/GungorRA22, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{STEWART:} STacking Ensemble for White-Box AdversaRial Attacks Towards more resilient data-driven predictive maintenance}, journal = {Comput. Ind.}, volume = {140}, pages = {103660}, year = {2022}, url = {https://doi.org/10.1016/j.compind.2022.103660}, doi = {10.1016/J.COMPIND.2022.103660}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cii/GungorRA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GungorRA22, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{DOWELL:} Diversity-Induced Optimally Weighted Ensemble Learner for Predictive Maintenance of Industrial Internet of Things Devices}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {4}, pages = {3125--3134}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3097269}, doi = {10.1109/JIOT.2021.3097269}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/GungorRA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/GuptaISHWKKR22, author = {Saransh Gupta and Mohsen Imani and Joonseop Sim and Andrew Huang and Fan Wu and Jaeyoung Kang and Yeseong Kim and Tajana Simunic Rosing}, title = {{COSMO:} Computing with Stochastic Numbers in Memory}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {18}, number = {2}, pages = {37:1--37:25}, year = {2022}, url = {https://doi.org/10.1145/3484731}, doi = {10.1145/3484731}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/GuptaISHWKKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/OstertagAR22, author = {Michael H. Ostertag and Nikolay Atanasov and Tajana Rosing}, title = {Trajectory Planning and Optimization for Minimizing Uncertainty in Persistent Monitoring Applications}, journal = {J. Intell. Robotic Syst.}, volume = {106}, number = {1}, pages = {2}, year = {2022}, url = {https://doi.org/10.1007/s10846-022-01676-3}, doi = {10.1007/S10846-022-01676-3}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/OstertagAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KangKRK22, author = {Jaeyoung Kang and Behnam Khaleghi and Tajana Rosing and Yeseong Kim}, title = {OpenHD: {A} GPU-Powered Framework for Hyperdimensional Computing}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {11}, pages = {2753--2765}, year = {2022}, url = {https://doi.org/10.1109/TC.2022.3179226}, doi = {10.1109/TC.2022.3179226}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/KangKRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MorrisFHIAR22, author = {Justin Morris and Roshan Fernando and Yilun Hao and Mohsen Imani and Baris Aksanli and Tajana Rosing}, title = {Locality-Based Encoder and Model Quantization for Efficient Hyper-Dimensional Computing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {4}, pages = {897--907}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3069139}, doi = {10.1109/TCAD.2021.3069139}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MorrisFHIAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/GuptaKSMRYTKIAR22, author = {Saransh Gupta and Behnam Khaleghi and Sahand Salamat and Justin Morris and Ranganathan Ramkumar and Jeffrey Yu and Aniket Tiwari and Jaeyoung Kang and Mohsen Imani and Baris Aksanli and Tajana Simunic Rosing}, title = {Store-n-Learn: Classification and Clustering with Hyperdimensional Computing across Flash Hierarchy}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {3}, pages = {22:1--22:25}, year = {2022}, url = {https://doi.org/10.1145/3503541}, doi = {10.1145/3503541}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/GuptaKSMRYTKIAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/MorrisEKIAS22, author = {Justin Morris and Kazim Ergun and Behnam Khaleghi and Mohsen Imani and Baris Aksanli and Tajana Simunic}, title = {HyDREA: Utilizing Hyperdimensional Computing for a More Robust and Efficient Machine Learning System}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {6}, pages = {78:1--78:25}, year = {2022}, url = {https://doi.org/10.1145/3524067}, doi = {10.1145/3524067}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/MorrisEKIAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/SalamatZKR22, author = {Sahand Salamat and Hui Zhang and Yang{-}Seok Ki and Tajana Rosing}, title = {{NASCENT2:} Generic Near-Storage Sort Accelerator for Data Analytics on SmartSSD}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {15}, number = {2}, pages = {16:1--16:29}, year = {2022}, url = {https://doi.org/10.1145/3472769}, doi = {10.1145/3472769}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/SalamatZKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/0001XBR22, author = {Jaeyoung Kang and Weihong Xu and Wout Bittremieux and Tajana Rosing}, editor = {Andreas Kl{\"{o}}ckner and Jos{\'{e}} Moreira}, title = {Massively Parallel Open Modification Spectral Library Searching with Hyperdimensional Computing}, booktitle = {Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2022, Chicago, Illinois, October 8-12, 2022}, pages = {536--537}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3559009.3569672}, doi = {10.1145/3559009.3569672}, timestamp = {Tue, 31 Jan 2023 08:16:38 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/0001XBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/0001KKR22, author = {Jaeyoung Kang and Behnam Khaleghi and Yeseong Kim and Tajana Rosing}, title = {XCelHD: An Efficient GPU-Powered Hyperdimensional Computing with Parallelized Training}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {220--225}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712549}, doi = {10.1109/ASP-DAC52403.2022.9712549}, timestamp = {Fri, 04 Mar 2022 13:11:07 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/0001KKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KhaleghiZSACEEM22, author = {Behnam Khaleghi and Tianqi Zhang and Niya Shao and Ameen Akel and Ken Curewitz and Justin Eno and Sean Eilert and Niema Moshiri and Tajana Rosing}, title = {{FAST:} FPGA-based Acceleration of Genomic Sequence Trimming}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei, Taiwan, October 13-15, 2022}, pages = {510--514}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioCAS54905.2022.9948621}, doi = {10.1109/BIOCAS54905.2022.9948621}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KhaleghiZSACEEM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChandrasekaranE22, author = {Rishikanth Chandrasekaran and Kazim Ergun and Jihyun Lee and Dhanush Nanjunda and Jaeyoung Kang and Tajana Rosing}, editor = {Rob Oshana}, title = {FHDnn: communication efficient and robust federated learning for AIoT networks}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {37--42}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530394}, doi = {10.1145/3489517.3530394}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChandrasekaranE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KhaleghiMYYS0R22, author = {Behnam Khaleghi and Uday Mallappa and Duygu Yaldiz and Haichao Yang and Monil Shah and Jaeyoung Kang and Tajana Rosing}, editor = {Rob Oshana}, title = {PatterNet: explore and exploit filter patterns for efficient deep neural networks}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {223--228}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530422}, doi = {10.1145/3489517.3530422}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KhaleghiMYYS0R22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Xu0R22, author = {Weihong Xu and Jaeyoung Kang and Tajana Rosing}, editor = {Rob Oshana}, title = {A near-storage framework for boosted data preprocessing of mass spectrum clustering}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {313--318}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530449}, doi = {10.1145/3489517.3530449}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/Xu0R22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Khaleghi0XMR22, author = {Behnam Khaleghi and Jaeyoung Kang and Hanyang Xu and Justin Morris and Tajana Rosing}, editor = {Rob Oshana}, title = {{GENERIC:} highly efficient learning engine on edge using hyperdimensional computing}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1117--1122}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530669}, doi = {10.1145/3489517.3530669}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/Khaleghi0XMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiKRI22, author = {Yang Ni and Yeseong Kim and Tajana Rosing and Mohsen Imani}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Algorithm-Hardware Co-Design for Efficient Brain-Inspired Hyperdimensional Learning on Edge}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {292--297}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774524}, doi = {10.23919/DATE54114.2022.9774524}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/NiKRI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OstertagMR22, author = {Michael H. Ostertag and Jason Ma and Tajana Rosing}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Remote Sensing with {UAV} and Mobile Recharging Vehicle Rendezvous}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {538--543}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774645}, doi = {10.23919/DATE54114.2022.9774645}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OstertagMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiKRI22a, author = {Yang Ni and Yeseong Kim and Tajana Rosing and Mohsen Imani}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Online Performance and Power Prediction for Edge {TPU} via Comprehensive Characterization}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {612--615}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774764}, doi = {10.23919/DATE54114.2022.9774764}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NiKRI22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MorrisLSKTMANR22, author = {Justin Morris and Hin Wai Lui and Kenneth Michael Stewart and Behnam Khaleghi and Anthony Thomas and Thiago Marback and Baris Aksanli and Emre Neftci and Tajana Rosing}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {HyperSpike: HyperDimensional Computing for More Efficient and Robust Spiking Neural Networks}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {664--669}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774644}, doi = {10.23919/DATE54114.2022.9774644}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MorrisLSKTMANR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiZLSRK22, author = {Yizhou Wei and Minxuan Zhou and Sihang Liu and Korakit Seemakhupt and Tajana Rosing and Samira Manabi Khan}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {PIMProf: An Automated Program Profiler for Processing-in-Memory Offloading Decisions}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {855--860}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774560}, doi = {10.23919/DATE54114.2022.9774560}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeiZLSRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KhaleghiZMAACEE22, author = {Behnam Khaleghi and Tianqi Zhang and Cameron Martino and George Armstrong and Ameen Akel and Ken Curewitz and Justin Eno and Sean Eilert and Rob Knight and Niema Moshiri and Tajana Rosing}, title = {{SALIENT:} Ultra-Fast FPGA-based Short Read Alignment}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974548}, doi = {10.1109/ICFPT56656.2022.9974548}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KhaleghiZMAACEE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DuttaGKCXR22, author = {Arpan Dutta and Saransh Gupta and Behnam Khaleghi and Rishikanth Chandrasekaran and Weihong Xu and Tajana Rosing}, editor = {Ioannis Savidis and Avesta Sasan and Himanshu Thapliyal and Ronald F. DeMara}, title = {HDnn-PIM: Efficient in Memory Design of Hyperdimensional Computing with Feature Extraction}, booktitle = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA, June 6 - 8, 2022}, pages = {281--286}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526241.3530331}, doi = {10.1145/3526241.3530331}, timestamp = {Fri, 03 Jun 2022 08:45:20 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/DuttaGKCXR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ZhouXKR22, author = {Minxuan Zhou and Weihong Xu and Jaeyoung Kang and Tajana Rosing}, title = {TransPIM: {A} Memory-based Acceleration via Software-Hardware Co-Design for Transformer}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {1071--1085}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00082}, doi = {10.1109/HPCA53966.2022.00082}, timestamp = {Mon, 23 May 2022 16:36:22 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ZhouXKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/0001ZBXTR22, author = {Jaeyoung Kang and Minxuan Zhou and Abhinav Bhansali and Weihong Xu and Anthony Thomas and Tajana Rosing}, title = {RelHD: {A} Graph-based Learning on FeFET with Hyperdimensional Computing}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {553--560}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00087}, doi = {10.1109/ICCD56317.2022.00087}, timestamp = {Tue, 05 Dec 2023 14:45:33 +0100}, biburl = {https://dblp.org/rec/conf/iccd/0001ZBXTR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MallappaGKYR22, author = {Uday Mallappa and Pranav Gangwar and Behnam Khaleghi and Haichao Yang and Tajana Rosing}, title = {TermiNETor: Early Convolution Termination for Efficient Deep Neural Networks}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {635--643}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00098}, doi = {10.1109/ICCD56317.2022.00098}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MallappaGKYR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/GungorRA22, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{DENSE-DEFENSE:} Diversity Promoting Ensemble Adversarial Training Towards Effective Defense}, booktitle = {2022 {IEEE} Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SENSORS52175.2022.9967204}, doi = {10.1109/SENSORS52175.2022.9967204}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/GungorRA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ThomasDR22, author = {Anthony Thomas and Sanjoy Dasgupta and Tajana Rosing}, editor = {Luc De Raedt}, title = {A Theoretical Perspective on Hyperdimensional Computing (Extended Abstract)}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {5772--5776}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/808}, doi = {10.24963/IJCAI.2022/808}, timestamp = {Wed, 27 Jul 2022 16:43:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ThomasDR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/ZhaoLLHYR22, author = {Quanling Zhao and Kai Lee and Jeffrey Liu and Muhammad Huzaifa and Xiaofan Yu and Tajana Rosing}, title = {FedHD: federated learning with hyperdimensional computing}, booktitle = {{ACM} MobiCom '22: The 28th Annual International Conference on Mobile Computing and Networking, Sydney, NSW, Australia, October 17 - 21, 2022}, pages = {791--793}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3495243.3558757}, doi = {10.1145/3495243.3558757}, timestamp = {Mon, 17 Oct 2022 13:14:44 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/ZhaoLLHYR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartiot/LiuYR22, author = {Jinhao Liu and Xiaofan Yu and Tajana Rosing}, title = {Self-Train: Self-Supervised On-Device Training for Post-Deployment Adaptation}, booktitle = {{IEEE} International Conference on Smart Internet of Things, SmartIoT 2022, Suzhou, China, August 19-21, 2022}, pages = {161--168}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SmartIoT55134.2022.00034}, doi = {10.1109/SMARTIOT55134.2022.00034}, timestamp = {Thu, 29 Sep 2022 21:52:18 +0200}, biburl = {https://dblp.org/rec/conf/smartiot/LiuYR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wns3/EkairebYEZLHR22, author = {Emily Ekaireb and Xiaofan Yu and Kazim Ergun and Quanling Zhao and Kai Lee and Muhammad Huzaifa and Tajana Rosing}, editor = {Thomas Henderson and Michele Polese and Yuchen Liu and Eric Gamess}, title = {ns3-fl: Simulating Federated Learning with ns-3}, booktitle = {{WNS3} 2022: 2022 Workshop on ns-3, Virtual Event, USA, June 22 - 23, 2022}, pages = {97--104}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3532577.3532591}, doi = {10.1145/3532577.3532591}, timestamp = {Tue, 22 Nov 2022 08:08:01 +0100}, biburl = {https://dblp.org/rec/conf/wns3/EkairebYEZLHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-08148, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{RES-HD:} Resilient Intelligent Fault Diagnosis Against Adversarial Attacks Using Hyper-Dimensional Computing}, journal = {CoRR}, volume = {abs/2203.08148}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.08148}, doi = {10.48550/ARXIV.2203.08148}, eprinttype = {arXiv}, eprint = {2203.08148}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-08148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-12557, author = {Saransh Gupta and Rosario Cammarota and Tajana Rosing}, title = {MemFHE: End-to-End Computing with Fully Homomorphic Encryption in Memory}, journal = {CoRR}, volume = {abs/2204.12557}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.12557}, doi = {10.48550/ARXIV.2204.12557}, eprinttype = {arXiv}, eprint = {2204.12557}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-12557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-11266, author = {Xiaofan Yu and Yunhui Guo and Sicun Gao and Tajana Rosing}, title = {{SCALE:} Online Self-Supervised Lifelong Learning without Prior Knowledge}, journal = {CoRR}, volume = {abs/2208.11266}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.11266}, doi = {10.48550/ARXIV.2208.11266}, eprinttype = {arXiv}, eprint = {2208.11266}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-11266.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-09868, author = {Anthony Thomas and Behnam Khaleghi and Gopi Krishna Jha and Sanjoy Dasgupta and Nageen Himayat and Ravi Iyer and Nilesh Jain and Tajana Rosing}, title = {Streaming Encoding Algorithms for Scalable Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2209.09868}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.09868}, doi = {10.48550/ARXIV.2209.09868}, eprinttype = {arXiv}, eprint = {2209.09868}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-09868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05733, author = {Weihong Xu and Saransh Gupta and Niema Moshiri and Tajana Rosing}, title = {RAPIDx: High-performance ReRAM Processing in-Memory Accelerator for Sequence Alignment}, journal = {CoRR}, volume = {abs/2211.05733}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05733}, doi = {10.48550/ARXIV.2211.05733}, eprinttype = {arXiv}, eprint = {2211.05733}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05733.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-16422, author = {Jaeyoung Kang and Weihong Xu and Wout Bittremieux and Tajana Rosing}, title = {Massively Parallel Open Modification Spectral Library Searching with Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2211.16422}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.16422}, doi = {10.48550/ARXIV.2211.16422}, eprinttype = {arXiv}, eprint = {2211.16422}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-16422.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jair/ThomasDR21, author = {Anthony Thomas and Sanjoy Dasgupta and Tajana Rosing}, title = {A Theoretical Perspective on Hyperdimensional Computing}, journal = {J. Artif. Intell. Res.}, volume = {72}, pages = {215--249}, year = {2021}, url = {https://doi.org/10.1613/jair.1.12664}, doi = {10.1613/JAIR.1.12664}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jair/ThomasDR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ZhouCIGZR21, author = {Minxuan Zhou and Guoyang Chen and Mohsen Imani and Saransh Gupta and Weifeng Zhang and Tajana Rosing}, editor = {Jaejin Lee and Albert Cohen}, title = {{PIM-DL:} Boosting {DNN} Inference on Digital Processing In-Memory Architectures via Data Layout Optimizations}, booktitle = {30th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2021, Atlanta, GA, USA, September 26-29, 2021}, pages = {1}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PACT52795.2021.00021}, doi = {10.1109/PACT52795.2021.00021}, timestamp = {Mon, 06 Nov 2023 15:27:23 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/ZhouCIGZR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ZhouWLMSR21, author = {Minxuan Zhou and Lingxi Wu and Muzhou Li and Niema Moshiri and Kevin Skadron and Tajana Rosing}, editor = {Jaejin Lee and Albert Cohen}, title = {Ultra Efficient Acceleration for De Novo Genome Assembly via Near-Memory Computing}, booktitle = {30th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2021, Atlanta, GA, USA, September 26-29, 2021}, pages = {199--212}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PACT52795.2021.00022}, doi = {10.1109/PACT52795.2021.00022}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/ZhouWLMSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SalamatSKR21, author = {Sahand Salamat and Sumiran Shubhi and Behnam Khaleghi and Tajana Rosing}, title = {Residue-Net: Multiplication-free Neural Network by In-situ No-loss Migration to Residue Number Systems}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {222--228}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431541}, doi = {10.1145/3394885.3431541}, timestamp = {Mon, 03 May 2021 16:42:27 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SalamatSKR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GuoIKSMAKR21, author = {Yunhui Guo and Mohsen Imani and Jaeyoung Kang and Sahand Salamat and Justin Morris and Baris Aksanli and Yeseong Kim and Tajana Rosing}, title = {HyperRec: Efficient Recommender Systems with Hyperdimensional Computing}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {384--389}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431553}, doi = {10.1145/3394885.3431553}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GuoIKSMAKR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ErgunAMLR21, author = {Kazim Ergun and Raid Ayoub and Pietro Mercati and Dancheng Liu and Tajana Rosing}, title = {Energy and QoS-Aware Dynamic Reliability Management of IoT Edge Computing Systems}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {561--567}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431612}, doi = {10.1145/3394885.3431612}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ErgunAMLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouIKGR21, author = {Minxuan Zhou and Mohsen Imani and Yeseong Kim and Saransh Gupta and Tajana Rosing}, title = {DP-Sim: {A} Full-stack Simulation Infrastructure for Digital Processing In-Memory Architectures}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {639--644}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431525}, doi = {10.1145/3394885.3431525}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouIKGR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/PaulHKXRC21, author = {Akshay Paul and Gopabandhu Hota and Behnam Khaleghi and Yuchen Xu and Tajana Rosing and Gert Cauwenberghs}, editor = {Roland Thewes}, title = {Attention State Classification with In-Ear {EEG}}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2021, Berlin, Germany, October 7-9, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BioCAS49922.2021.9644973}, doi = {10.1109/BIOCAS49922.2021.9644973}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/PaulHKXRC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnsm/YuXCR21, author = {Xiaofan Yu and Weihong Xu and Ludmila Cherkasova and Tajana Simunic Rosing}, editor = {Prosper Chemouil and Mehmet Ulema and Stuart Clayman and M{\"{u}}ge Sayit and Cihat {\c{C}}etinkaya and Stefano Secci}, title = {Automating Reliable and Fault-Tolerant Design of LoRa-based IoT Networks}, booktitle = {17th International Conference on Network and Service Management, {CNSM} 2021, Izmir, Turkey, October 25-29, 2021}, pages = {455--463}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/CNSM52442.2021.9615512}, doi = {10.23919/CNSM52442.2021.9615512}, timestamp = {Sun, 02 Oct 2022 15:57:41 +0200}, biburl = {https://dblp.org/rec/conf/cnsm/YuXCR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouGXLER21, author = {Minxuan Zhou and Yunhui Guo and Weihong Xu and Bin Li and Kevin W. Eliceiri and Tajana Rosing}, title = {{MAT:} Processing In-Memory Acceleration for Long-Sequence Attention}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {25--30}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586212}, doi = {10.1109/DAC18074.2021.9586212}, timestamp = {Wed, 26 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhouGXLER21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaR21, author = {Saransh Gupta and Tajana Simunic Rosing}, title = {Invited: Accelerating Fully Homomorphic Encryption with Processing in Memory}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1335--1338}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586285}, doi = {10.1109/DAC18074.2021.9586285}, timestamp = {Fri, 12 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuptaR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouLIR21, author = {Minxuan Zhou and Muzhou Li and Mohsen Imani and Tajana Rosing}, title = {HyGraph: Accelerating Graph Processing with Hybrid Memory-centric Computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {330--335}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473227}, doi = {10.23919/DATE51398.2021.9473227}, timestamp = {Wed, 21 Jul 2021 10:04:34 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhouLIR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhaleghiXMR21, author = {Behnam Khaleghi and Hanyang Xu and Justin Morris and Tajana Simunic Rosing}, title = {tiny-HD: Ultra-Efficient Hyperdimensional Computing Engine for IoT Applications}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {408--413}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473920}, doi = {10.23919/DATE51398.2021.9473920}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhaleghiXMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GarciaAKRI21, author = {Ricardo Garcia and Fatemeh Asgarinejad and Behnam Khaleghi and Tajana Rosing and Mohsen Imani}, title = {TruLook: {A} Framework for Configurable {GPU} Approximation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {487--490}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474239}, doi = {10.23919/DATE51398.2021.9474239}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GarciaAKRI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MorrisEKIAR21, author = {Justin Morris and Kazim Ergun and Behnam Khaleghi and Mohsen Imani and Baris Aksanli and Tajana Rosing}, title = {HyDREA: Towards More Robust and Efficient Machine Learning Systems with Hyperdimensional Computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {723--728}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474218}, doi = {10.23919/DATE51398.2021.9474218}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MorrisEKIAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Salamat0KIMR21, author = {Sahand Salamat and Jaeyoung Kang and Yeseong Kim and Mohsen Imani and Niema Moshiri and Tajana Rosing}, title = {{FPGA} Acceleration of Protein Back-Translation and Alignment}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {822--827}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474103}, doi = {10.23919/DATE51398.2021.9474103}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Salamat0KIMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/SalamatAKLKR21, author = {Sahand Salamat and Armin Haj Aboutalebi and Behnam Khaleghi and Joo Hwan Lee and Yang{-}Seok Ki and Tajana Rosing}, editor = {Lesley Shannon and Michael Adler}, title = {{NASCENT:} Near-Storage Acceleration of Database Sort on SmartSSD}, booktitle = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}, pages = {262--272}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431920.3439298}, doi = {10.1145/3431920.3439298}, timestamp = {Wed, 24 Feb 2021 15:58:34 +0100}, biburl = {https://dblp.org/rec/conf/fpga/SalamatAKLKR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ImaniZBRSKKR21, author = {Mohsen Imani and Zhuowen Zou and Samuel Bosch and Sanjay Anantha Rao and Sahand Salamat and Venkatesh Kumar and Yeseong Kim and Tajana Rosing}, title = {Revisiting HyperDimensional Learning for {FPGA} and Low-Power Architectures}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {221--234}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCA51647.2021.00028}, doi = {10.1109/HPCA51647.2021.00028}, timestamp = {Tue, 27 Apr 2021 14:46:03 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ImaniZBRSKKR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimIGZR21, author = {Yeseong Kim and Mohsen Imani and Saransh Gupta and Minxuan Zhou and Tajana Simunic Rosing}, title = {Massively Parallel Big Data Classification on a Programmable Processing In-Memory Architecture}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643480}, doi = {10.1109/ICCAD51958.2021.9643480}, timestamp = {Tue, 28 Dec 2021 12:29:05 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimIGZR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MorrisSRIAR21, author = {Justin Morris and Si Thu Kaung Set and Gadi Rosen and Mohsen Imani and Baris Aksanli and Tajana Rosing}, title = {AdaptBit-HD: Adaptive Model Bitwidth for Hyperdimensional Computing}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {93--100}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00026}, doi = {10.1109/ICCD53106.2021.00026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MorrisSRIAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaoGMKAR21, author = {Yilun Hao and Saransh Gupta and Justin Morris and Behnam Khaleghi and Baris Aksanli and Tajana Rosing}, title = {Stochastic-HD: Leveraging Stochastic Computing on Hyper-Dimensional Computing}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {321--325}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00058}, doi = {10.1109/ICCD53106.2021.00058}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/HaoGMKAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/GungorRA21, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{OPELRUL:} OPtimally Weighted Ensemble Learner for Remaining Useful Life Prediction}, booktitle = {International {IEEE} Conference on Prognostics and Health Management, {ICPHM} 2021, Detroit, MI, USA, June 7-9, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICPHM51084.2021.9486535}, doi = {10.1109/ICPHM51084.2021.9486535}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphm/GungorRA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/GungorRA21, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{CAHEROS:} Constraint-Aware HEuristic Approach for RObust Sensor Placement}, booktitle = {2021 {IEEE} Sensors, Sydney, Australia, October 31 - Nov. 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SENSORS47087.2021.9639707}, doi = {10.1109/SENSORS47087.2021.9639707}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/GungorRA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/GungorRA21a, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Rosing and Baris Aksanli}, title = {{ENFES:} ENsemble FEw-Shot Learning For Intelligent Fault Diagnosis with Limited Data}, booktitle = {2021 {IEEE} Sensors, Sydney, Australia, October 31 - Nov. 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SENSORS47087.2021.9639633}, doi = {10.1109/SENSORS47087.2021.9639633}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/GungorRA21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/MaOBR21, author = {Jason Ma and Michael H. Ostertag and Dinesh Bharadia and Tajana Rosing}, title = {Frequency-aware Trajectory and Power Control for Multi-UAV Systems}, booktitle = {2021 {IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2021, Vancouver, BC, Canada, May 10-13, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/INFOCOMWKSHPS51825.2021.9484552}, doi = {10.1109/INFOCOMWKSHPS51825.2021.9484552}, timestamp = {Fri, 23 Jul 2021 09:24:13 +0200}, biburl = {https://dblp.org/rec/conf/infocom/MaOBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiuZAEARNZ21, author = {Xiao Liu and Minxuan Zhou and Rachata Ausavarungnirun and Sean Eilert and Ameen Akel and Tajana Rosing and Vijaykrishnan Narayanan and Jishen Zhao}, title = {{FPRA:} {A} Fine-grained Parallel {RRAM} Architecture}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2021, Boston, MA, USA, July 26-28, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISLPED52811.2021.9502474}, doi = {10.1109/ISLPED52811.2021.9502474}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LiuZAEARNZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SokolovaI0GMRA21, author = {Alice Sokolova and Mohsen Imani and Andrew Huang and Ricardo Garcia and Justin Morris and Tajana Rosing and Baris Aksanli}, title = {MACcelerator: Approximate Arithmetic Unit for Computational Acceleration}, booktitle = {22nd International Symposium on Quality Electronic Design, {ISQED} 2021, Santa Clara, CA, USA, April 7-9, 2021}, pages = {444--449}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISQED51717.2021.9424293}, doi = {10.1109/ISQED51717.2021.9424293}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SokolovaI0GMRA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/ErgunAMR21, author = {Kazim Ergun and Raid Ayoub and Pietro Mercati and Tajana Rosing}, title = {Improving Mean Time to Failure of IoT Networks with Reliability-Aware Routing}, booktitle = {10th Mediterranean Conference on Embedded Computing, {MECO} 2021, Budva, Montenegro, June 7-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MECO52532.2021.9460211}, doi = {10.1109/MECO52532.2021.9460211}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/meco/ErgunAMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/XiaCLYR021, author = {Stephen Xia and Rishikanth Chandrasekaran and Yanchen Liu and Chenye Yang and Tajana Simunic Rosing and Xiaofan Jiang}, editor = {Jorge S{\'{a}} Silva and Fernando Boavida and Andr{\'{e}} Rodrigues and Andrew Markham and Rong Zheng}, title = {A Drone-based System for Intelligent and Autonomous Homes}, booktitle = {SenSys '21: The 19th {ACM} Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15 - 17, 2021}, pages = {349--350}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3485730.3492881}, doi = {10.1145/3485730.3492881}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/XiaCLYR021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ImaniMSLR20, author = {Mohsen Imani and Justin Morris and Helen Shu and Shou Li and Tajana Rosing}, title = {Efficient Associative Search in Brain-Inspired Hyperdimensional Computing}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {28--35}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2919954}, doi = {10.1109/MDAT.2019.2919954}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ImaniMSLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SalamatIR20, author = {Sahand Salamat and Mohsen Imani and Tajana Rosing}, title = {Accelerating Hyperdimensional Computing on FPGAs by Exploiting Computational Reuse}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {8}, pages = {1159--1171}, year = {2020}, url = {https://doi.org/10.1109/TC.2020.2992662}, doi = {10.1109/TC.2020.2992662}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SalamatIR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PeroniIR20, author = {Daniel Peroni and Mohsen Imani and Tajana Simunic Rosing}, title = {Runtime Efficiency-Accuracy Tradeoff Using Configurable Floating Point Multiplier}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {2}, pages = {346--358}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2018.2885317}, doi = {10.1109/TCAD.2018.2885317}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PeroniIR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ImaniBDRSRR20, author = {Mohsen Imani and Samuel Bosch and Sohum Datta and Sharadhi Ramakrishna and Sahand Salamat and Jan M. Rabaey and Tajana Rosing}, title = {QuantHD: {A} Quantization Framework for Hyperdimensional Computing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2268--2278}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2954472}, doi = {10.1109/TCAD.2019.2954472}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ImaniBDRSRR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ImaniYMGNHR20, author = {Mohsen Imani and Xunzhao Yin and John Messerly and Saransh Gupta and Michael T. Niemier and Xiaobo Sharon Hu and Tajana Rosing}, title = {SearcHD: {A} Memory-Centric Hyperdimensional Computing With Stochastic Training}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2422--2433}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2952544}, doi = {10.1109/TCAD.2019.2952544}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ImaniYMGNHR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YuECR20, author = {Xiaofan Yu and Kazim Ergun and Ludmila Cherkasova and Tajana Simunic Rosing}, title = {Optimizing Sensor Deployment and Maintenance Costs for Large-Scale Environmental Monitoring}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {11}, pages = {3918--3930}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.3012232}, doi = {10.1109/TCAD.2020.3012232}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/YuECR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PeroniINDR20, author = {Daniel Peroni and Mohsen Imani and Hamid Nejatollahi and Nikil D. Dutt and Tajana Rosing}, title = {Data Reuse for Accelerated Approximate Warps}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {12}, pages = {4623--4634}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.2986128}, doi = {10.1109/TCAD.2020.2986128}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/PeroniINDR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/AksanliR20, author = {Baris Aksanli and Tajana Simunic Rosing}, title = {Human Behavior Aware Energy Management in Residential Cyber-Physical Systems}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {8}, number = {1}, pages = {45--57}, year = {2020}, url = {https://doi.org/10.1109/TETC.2017.2680322}, doi = {10.1109/TETC.2017.2680322}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/AksanliR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/GuoLWR20, author = {Yunhui Guo and Yandong Li and Liqiang Wang and Tajana Rosing}, title = {AdaFilter: Adaptive Filter Fine-Tuning for Deep Transfer Learning}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {4060--4066}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i04.5824}, doi = {10.1609/AAAI.V34I04.5824}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/GuoLWR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnsm/YuSCR20, author = {Xiaofan Yu and Xueyang Song and Ludmila Cherkasova and Tajana Simunic Rosing}, editor = {Nur Zincir{-}Heywood and Mehmet Ulema and M{\"{u}}ge Sayit and Stuart Clayman and Myung{-}Sup Kim and Cihat Cetinkaya}, title = {Reliability-Driven Deployment in Energy-Harvesting Sensor Networks}, booktitle = {16th International Conference on Network and Service Management, {CNSM} 2020, Izmir, Turkey, November 2-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/CNSM50824.2020.9269122}, doi = {10.23919/CNSM50824.2020.9269122}, timestamp = {Fri, 08 Dec 2023 07:53:07 +0100}, biburl = {https://dblp.org/rec/conf/cnsm/YuSCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KhaleghiIR20, author = {Behnam Khaleghi and Mohsen Imani and Tajana Rosing}, title = {Prive-HD: Privacy-Preserved Hyperdimensional Computing}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218493}, doi = {10.1109/DAC18072.2020.9218493}, timestamp = {Wed, 14 Oct 2020 10:56:17 +0200}, biburl = {https://dblp.org/rec/conf/dac/KhaleghiIR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NejatollahiGIRC20, author = {Hamid Nejatollahi and Saransh Gupta and Mohsen Imani and Tajana Simunic Rosing and Rosario Cammarota and Nikil D. Dutt}, title = {CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218730}, doi = {10.1109/DAC18072.2020.9218730}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NejatollahiGIRC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimIMR20, author = {Yeseong Kim and Mohsen Imani and Niema Moshiri and Tajana Rosing}, title = {GenieHD: Efficient {DNA} Pattern Matching Accelerator Using Hyperdimensional Computing}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {115--120}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116397}, doi = {10.23919/DATE48585.2020.9116397}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimIMR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OstertagAR20, author = {Michael H. Ostertag and Sara A. Al{-}Doweesh and Tajana Rosing}, title = {Efficient Training on Edge Devices Using Online Quantization}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1011--1014}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116568}, doi = {10.23919/DATE48585.2020.9116568}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OstertagAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuptaIS0WNR20, author = {Saransh Gupta and Mohsen Imani and Joonseop Sim and Andrew Huang and Fan Wu and M. Hassan Najafi and Tajana Rosing}, title = {{SCRIMP:} {A} General Stochastic Computing Architecture using ReRAM in-Memory Processing}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1598--1601}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116338}, doi = {10.23919/DATE48585.2020.9116338}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuptaIS0WNR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/ErgunYNCMAR20, author = {Kazim Ergun and Xiaofan Yu and Nitish Nagesh and Ludmila Cherkasova and Pietro Mercati and Raid Ayoub and Tajana Rosing}, title = {Simulating Reliability of IoT Networks with RelIoT}, booktitle = {50th Annual {IEEE-IFIP} International Conference on Dependable Systems and Networks, {DSN} 2020, Valencia, Spain, June 29 - July 2, 2020 - Supplemental Volume}, pages = {25--28}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DSN-S50200.2020.00019}, doi = {10.1109/DSN-S50200.2020.00019}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/ErgunYNCMAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/GuoCKCSSRF20, author = {Yunhui Guo and Noel Codella and Leonid Karlinsky and James V. Codella and John R. Smith and Kate Saenko and Tajana Rosing and Rog{\'{e}}rio Feris}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {A Broader Study of Cross-Domain Few-Shot Learning}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXVII}}, series = {Lecture Notes in Computer Science}, volume = {12372}, pages = {124--141}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58583-9\_8}, doi = {10.1007/978-3-030-58583-9\_8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/GuoCKCSSRF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/AsgarinejadTR20, author = {Fatemeh Asgarinejad and Anthony Thomas and Tajana Rosing}, title = {Detection of Epileptic Seizures from Surface {EEG} Using Hyperdimensional Computing}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {536--540}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9175328}, doi = {10.1109/EMBC44109.2020.9175328}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/AsgarinejadTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ImaniRKGKR20, author = {Mohsen Imani and Mohammad Samragh Razlighi and Yeseong Kim and Saransh Gupta and Farinaz Koushanfar and Tajana Rosing}, title = {Deep Learning Acceleration with Neuron-to-Memory Transformation}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {1--14}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00011}, doi = {10.1109/HPCA47549.2020.00011}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ImaniRKGKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaMIRYTAR20, author = {Saransh Gupta and Justin Morris and Mohsen Imani and Ranganathan Ramkumar and Jeffrey Yu and Aniket Tiwari and Baris Aksanli and Tajana Simunic Rosing}, title = {{THRIFTY:} Training with Hyperdimensional Computing across Flash Hierarchy}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {27:1--27:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415723}, doi = {10.1145/3400302.3415723}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuptaMIRYTAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KhaleghiSR20, author = {Behnam Khaleghi and Sahand Salamat and Tajana Simunic Rosing}, title = {Revisiting {FPGA} Routing under Varying Operating Conditions}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {94--102}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00022}, doi = {10.1109/ICFPT51103.2020.00022}, timestamp = {Tue, 11 May 2021 10:41:35 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/KhaleghiSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciot2/ErgunYNCMAR20, author = {Kazim Ergun and Xiaofan Yu and Nitish Nagesh and Ludmila Cherkasova and Pietro Mercati and Raid Ayoub and Tajana Rosing}, editor = {Wei Song and Kisung Lee and Zhisheng Yan and Liang{-}Jie Zhang and Huan Chen}, title = {RelIoT: Reliability Simulator for IoT Networks}, booktitle = {Internet of Things - {ICIOT} 2020 - 5th International Conference, Held as Part of the Services Conference Federation, {SCF} 2020, Honolulu, HI, USA, September 18-20, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12405}, pages = {63--81}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59615-6\_5}, doi = {10.1007/978-3-030-59615-6\_5}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciot2/ErgunYNCMAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/GungorRA20, author = {Onat G{\"{u}}ng{\"{o}}r and Tajana Simunic Rosing and Baris Aksanli}, title = {{RESPIRE:} Robust Sensor Placement Optimization in Probabilistic Environments}, booktitle = {2020 {IEEE} Sensors, Rotterdam, The Netherlands, October 25-28, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SENSORS47125.2020.9278821}, doi = {10.1109/SENSORS47125.2020.9278821}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/GungorRA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KhaleghiSTAKR20, author = {Behnam Khaleghi and Sahand Salamat and Anthony Thomas and Fatemeh Asgarinejad and Yeseong Kim and Tajana Rosing}, editor = {David Atienza Alonso and Qinru Qiu and Sherief Reda and Yiran Chen}, title = {SHEAR\emph{er}: highly-efficient hyperdimensional computing by software-hardware enabled multifold approximation}, booktitle = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020}, pages = {241--246}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3370748.3406587}, doi = {10.1145/3370748.3406587}, timestamp = {Tue, 05 Jul 2022 15:18:16 +0200}, biburl = {https://dblp.org/rec/conf/islped/KhaleghiSTAKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GuptaIZWZR20, author = {Saransh Gupta and Mohsen Imani and Hengyu Zhao and Fan Wu and Jishen Zhao and Tajana Simunic Rosing}, editor = {David Atienza Alonso and Qinru Qiu and Sherief Reda and Yiran Chen}, title = {Implementing binary neural networks in memory with approximate accumulation}, booktitle = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020}, pages = {247--252}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3370748.3406562}, doi = {10.1145/3370748.3406562}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/GuptaIZWZR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ImaniPGZKR20, author = {Mohsen Imani and Saikishan Pampana and Saransh Gupta and Minxuan Zhou and Yeseong Kim and Tajana Rosing}, title = {{DUAL:} Acceleration of Clustering Algorithms using Digital-based Processing In-Memory}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {356--371}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00039}, doi = {10.1109/MICRO50266.2020.00039}, timestamp = {Tue, 17 Nov 2020 12:25:22 +0100}, biburl = {https://dblp.org/rec/conf/micro/ImaniPGZKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msn/GuoYCR20, author = {Yunhui Guo and Xiaofan Yu and Kamalika Chaudhuri and Tajana Rosing}, title = {Efficient Distributed Training in Heterogeneous Mobile Networks with Active Sampling}, booktitle = {16th International Conference on Mobility, Sensing and Networking, {MSN} 2020, Tokyo, Japan, December 17-19, 2020}, pages = {174--181}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MSN50589.2020.00041}, doi = {10.1109/MSN50589.2020.00041}, timestamp = {Wed, 14 Apr 2021 11:14:58 +0200}, biburl = {https://dblp.org/rec/conf/msn/GuoYCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GuoLYR20, author = {Yunhui Guo and Mingrui Liu and Tianbao Yang and Tajana Rosing}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Improved Schemes for Episodic Memory-based Lifelong Learning}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/0b5e29aa1acf8bdc5d8935d7036fa4f5-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/GuoLYR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/GungorGRA20, author = {Onat G{\"{u}}ng{\"{o}}r and Jake Garnier and Tajana Simunic Rosing and Baris Aksanli}, title = {{LENARD:} Lightweight ENsemble LeARner for MeDium-term Electricity Consumption Prediction}, booktitle = {2020 {IEEE} International Conference on Communications, Control, and Computing Technologies for Smart Grids, SmartGridComm 2020, Tempe, AZ, USA, November 11-13, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SmartGridComm47815.2020.9303012}, doi = {10.1109/SMARTGRIDCOMM47815.2020.9303012}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/GungorGRA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ImaniGKR20, author = {Mohsen Imani and Saransh Gupta and Yeseong Kim and Tajana Rosing}, title = {Deep Learning Acceleration using Digital-Based Processing In-Memory}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {123--128}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524776}, doi = {10.1109/SOCC49529.2020.9524776}, timestamp = {Tue, 14 Sep 2021 10:14:37 +0200}, biburl = {https://dblp.org/rec/conf/socc/ImaniGKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/MorrisHGRYIAR20, author = {Justin Morris and Yilun Hao and Saransh Gupta and Ranganathan Ramkumar and Jeffrey Yu and Mohsen Imani and Baris Aksanli and Tajana Rosing}, title = {Multi-label {HD} Classification in 3D Flash}, booktitle = {28th {IFIP/IEEE} International Conference on Very Large Scale Integration, {VLSI-SOC} 2020, Salt Lake City, UT, USA, October 5-7, 2020}, pages = {10--15}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-SOC46417.2020.9344070}, doi = {10.1109/VLSI-SOC46417.2020.9344070}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/MorrisHGRYIAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-02394, author = {Sahand Salamat and Tajana Rosing}, title = {{FPGA} Acceleration of Sequence Alignment: {A} Survey}, journal = {CoRR}, volume = {abs/2002.02394}, year = {2020}, url = {https://arxiv.org/abs/2002.02394}, eprinttype = {arXiv}, eprint = {2002.02394}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-02394.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-06716, author = {Behnam Khaleghi and Mohsen Imani and Tajana Rosing}, title = {Prive-HD: Privacy-Preserved Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2005.06716}, year = {2020}, url = {https://arxiv.org/abs/2005.06716}, eprinttype = {arXiv}, eprint = {2005.06716}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-06716.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-10330, author = {Behnam Khaleghi and Sahand Salamat and Anthony Thomas and Fatemeh Asgarinejad and Yeseong Kim and Tajana Rosing}, title = {SHEARer: Highly-Efficient Hyperdimensional Computing by Software-Hardware Enabled Multifold Approximation}, journal = {CoRR}, volume = {abs/2007.10330}, year = {2020}, url = {https://arxiv.org/abs/2007.10330}, eprinttype = {arXiv}, eprint = {2007.10330}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-10330.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-04449, author = {Rosario Cammarota and Matthias Schunter and Anand Rajan and Fabian Boemer and {\'{A}}gnes Kiss and Amos Treiber and Christian Weinert and Thomas Schneider and Emmanuel Stapf and Ahmad{-}Reza Sadeghi and Daniel Demmler and Huili Chen and Siam Umar Hussain and M. Sadegh Riazi and Farinaz Koushanfar and Saransh Gupta and Tajana Simunic Rosing and Kamalika Chaudhuri and Hamid Nejatollahi and Nikil D. Dutt and Mohsen Imani and Kim Laine and Anuj Dubey and Aydin Aysu and Fateme Sadat Hosseini and Chengmo Yang and Eric Wallace and Pamela Norton}, title = {Trustworthy {AI} Inference Systems: An Industry Research View}, journal = {CoRR}, volume = {abs/2008.04449}, year = {2020}, url = {https://arxiv.org/abs/2008.04449}, eprinttype = {arXiv}, eprint = {2008.04449}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-04449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-07426, author = {Anthony Thomas and Sanjoy Dasgupta and Tajana Rosing}, title = {Theoretical Foundations of Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2010.07426}, year = {2020}, url = {https://arxiv.org/abs/2010.07426}, eprinttype = {arXiv}, eprint = {2010.07426}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-07426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/NejatollahiGIRC20, author = {Hamid Nejatollahi and Saransh Gupta and Mohsen Imani and Tajana Simunic Rosing and Rosario Cammarota and Nikil D. Dutt}, title = {CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {276}, year = {2020}, url = {https://eprint.iacr.org/2020/276}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/NejatollahiGIRC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenDHNRY19, author = {An Chen and Supriyo Datta and Xiaobo Sharon Hu and Michael T. Niemier and Tajana Simunic Rosing and J. Joshua Yang}, title = {A Survey on Architecture Advances Enabled by Emerging Beyond-CMOS Technologies}, journal = {{IEEE} Des. Test}, volume = {36}, number = {3}, pages = {46--68}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2902359}, doi = {10.1109/MDAT.2019.2902359}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenDHNRY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ImaniGGR19, author = {Mohsen Imani and Ricardo Garcia and Saransh Gupta and Tajana Rosing}, title = {Hardware-Software Co-design to Accelerate Neural Network Applications}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {15}, number = {2}, pages = {21:1--21:18}, year = {2019}, url = {https://doi.org/10.1145/3304086}, doi = {10.1145/3304086}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/ImaniGGR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimIR19, author = {Yeseong Kim and Mohsen Imani and Tajana Simunic Rosing}, title = {Image Recognition Accelerator Design Using In-Memory Processing}, journal = {{IEEE} Micro}, volume = {39}, number = {1}, pages = {17--23}, year = {2019}, url = {https://doi.org/10.1109/MM.2018.2889402}, doi = {10.1109/MM.2018.2889402}, timestamp = {Mon, 25 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KimIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GuptaIKR19, author = {Saransh Gupta and Mohsen Imani and Harveen Kaur and Tajana Simunic Rosing}, title = {{NNPIM:} {A} Processing In-Memory Architecture for Neural Network Acceleration}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {9}, pages = {1325--1337}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2903055}, doi = {10.1109/TC.2019.2903055}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GuptaIKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ImaniGSR19, author = {Mohsen Imani and Saransh Gupta and Sahil Sharma and Tajana Simunic Rosing}, title = {NVQuery: Efficient Query Processing in Nonvolatile Memory}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {4}, pages = {628--639}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2819080}, doi = {10.1109/TCAD.2018.2819080}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ImaniGSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChanAAR19, author = {Christine S. Chan and Alper Sinan Aky{\"{u}}rek and Baris Aksanli and Tajana Simunic Rosing}, title = {Optimal Performance-Aware Cooling on Enterprise Servers}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {9}, pages = {1689--1702}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2855122}, doi = {10.1109/TCAD.2018.2855122}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChanAAR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/ImaniPRR19, author = {Mohsen Imani and Daniel Peroni and Abbas Rahimi and Tajana Simunic Rosing}, title = {Resistive {CAM} Acceleration for Tunable Approximate Computing}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {7}, number = {2}, pages = {271--280}, year = {2019}, url = {https://doi.org/10.1109/TETC.2016.2642057}, doi = {10.1109/TETC.2016.2642057}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/ImaniPRR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/ImaniKRMLKR19, author = {Mohsen Imani and Yeseong Kim and M. Sadegh Riazi and John Messerly and Patric Liu and Farinaz Koushanfar and Tajana Rosing}, editor = {Elisa Bertino and Carl K. Chang and Peter Chen and Ernesto Damiani and Michael Goul and Katsunori Oyama}, title = {A Framework for Collaborative Learning in Secure High-Dimensional Space}, booktitle = {12th {IEEE} International Conference on Cloud Computing, {CLOUD} 2019, Milan, Italy, July 8-13, 2019}, pages = {435--446}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CLOUD.2019.00076}, doi = {10.1109/CLOUD.2019.00076}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/ImaniKRMLKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/GuoLWR19, author = {Yunhui Guo and Yandong Li and Liqiang Wang and Tajana Rosing}, title = {Depthwise Convolution Is All You Need for Learning Multiple Visual Domains}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {8368--8375}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33018368}, doi = {10.1609/AAAI.V33I01.33018368}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/GuoLWR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/OstertagAR19, author = {Michael H. Ostertag and Nikolay Atanasov and Tajana Rosing}, title = {Robust Velocity Control for Minimum Steady State Uncertainty in Persistent Monitoring Applications}, booktitle = {2019 American Control Conference, {ACC} 2019, Philadelphia, PA, USA, July 10-12, 2019}, pages = {2501--2508}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ACC.2019.8814376}, doi = {10.23919/ACC.2019.8814376}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/OstertagAR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ImaniSGHR19, author = {Mohsen Imani and Sahand Salamat and Saransh Gupta and Jiani Huang and Tajana Rosing}, editor = {Toshiyuki Shibuya}, title = {{FACH:} FPGA-based acceleration of hyperdimensional computing by reducing computational complexity}, booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019}, pages = {493--498}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3287624.3287667}, doi = {10.1145/3287624.3287667}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ImaniSGHR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouIGKR19, author = {Minxuan Zhou and Mohsen Imani and Saransh Gupta and Yeseong Kim and Tajana Rosing}, editor = {Toshiyuki Shibuya}, title = {{GRAM:} graph processing in a ReRAM-based computational memory}, booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019}, pages = {591--596}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3287624.3287711}, doi = {10.1145/3287624.3287711}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouIGKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PeroniIR19, author = {Daniel Peroni and Mohsen Imani and Tajana Rosing}, editor = {Toshiyuki Shibuya}, title = {ALook: adaptive lookup for {GPGPU} acceleration}, booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019}, pages = {739--746}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3287624.3287634}, doi = {10.1145/3287624.3287634}, timestamp = {Sun, 20 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/PeroniIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ImaniMBSMR19, author = {Mohsen Imani and Justin Morris and Samuel Bosch and Helen Shu and Giovanni De Micheli and Tajana Rosing}, title = {AdaptHD: Adaptive Efficient Training for Brain-Inspired Hyperdimensional Computing}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8918974}, doi = {10.1109/BIOCAS.2019.8918974}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/ImaniMBSMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GuoSKGRF19, author = {Yunhui Guo and Honghui Shi and Abhishek Kumar and Kristen Grauman and Tajana Rosing and Rog{\'{e}}rio Schmidt Feris}, title = {SpotTune: Transfer Learning Through Adaptive Fine-Tuning}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {4805--4814}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Guo\_SpotTune\_Transfer\_Learning\_Through\_Adaptive\_Fine-Tuning\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00494}, timestamp = {Mon, 30 Aug 2021 17:01:14 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GuoSKGRF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PeroniINDR19, author = {Daniel Peroni and Mohsen Imani and Hamid Nejatollahi and Nikil D. Dutt and Tajana Rosing}, title = {{ARGA:} Approximate Reuse for {GPGPU} Acceleration}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317776}, doi = {10.1145/3316781.3317776}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PeroniINDR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ImaniMMSDR19, author = {Mohsen Imani and Justin Morris and John Messerly and Helen Shu and Yaobang Deng and Tajana Rosing}, title = {{BRIC:} Locality-based Encoding for Energy-Efficient Brain-Inspired Hyperdimensional Computing}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {52}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317785}, doi = {10.1145/3316781.3317785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ImaniMMSDR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ImaniSGHWAR19, author = {Mohsen Imani and Alice Sokolova and Ricardo Garcia and Andrew Huang and Fan Wu and Baris Aksanli and Tajana Rosing}, title = {ApproxLP: Approximate Multiplication with Linearization and Iterative Error Control}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {159}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317774}, doi = {10.1145/3316781.3317774}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ImaniSGHWAR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouIGR19, author = {Minxuan Zhou and Mohsen Imani and Saransh Gupta and Tajana Rosing}, title = {Thermal-Aware Design and Management for Search-based In-Memory Acceleration}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {174}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317923}, doi = {10.1145/3316781.3317923}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhouIGR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniMWPR19, author = {Mohsen Imani and John Messerly and Fan Wu and Wang Pi and Tajana Rosing}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {A Binary Learning Framework for Hyperdimensional Computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {126--131}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714821}, doi = {10.23919/DATE.2019.8714821}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ImaniMWPR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhaleghiR19, author = {Behnam Khaleghi and Tajana Simunic Rosing}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Thermal-Aware Design and Flow for {FPGA} Performance Improvement}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {342--347}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715183}, doi = {10.23919/DATE.2019.8715183}, timestamp = {Mon, 20 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhaleghiR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniGHR19, author = {Mohsen Imani and Ricardo Garcia and Andrew Huang and Tajana Rosing}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {{CADE:} Configurable Approximate Divider for Energy Efficiency}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {586--589}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715112}, doi = {10.23919/DATE.2019.8715112}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ImaniGHR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimMSR19, author = {Yeseong Kim and Ankit More and Emily Shriver and Tajana Rosing}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Application Performance Prediction and Optimization Under Cache Allocation Technology}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1285--1288}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715259}, doi = {10.23919/DATE.2019.8715259}, timestamp = {Mon, 20 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimMSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniKWGR19, author = {Mohsen Imani and Yeseong Kim and Thomas Worley and Saransh Gupta and Tajana Rosing}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {HDCluster: An Accurate Clustering Using Brain-Inspired High-Dimensional Computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1591--1594}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715147}, doi = {10.23919/DATE.2019.8715147}, timestamp = {Mon, 20 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ImaniKWGR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ImaniSKSKR19, author = {Mohsen Imani and Sahand Salamat and Behnam Khaleghi and Mohammad Samragh and Farinaz Koushanfar and Tajana Rosing}, title = {SparseHD: Algorithm-Hardware Co-optimization for Efficient High-Dimensional Computing}, booktitle = {27th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2019, San Diego, CA, USA, April 28 - May 1, 2019}, pages = {190--198}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FCCM.2019.00034}, doi = {10.1109/FCCM.2019.00034}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/ImaniSKSKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/SalamatIKR19, author = {Sahand Salamat and Mohsen Imani and Behnam Khaleghi and Tajana Rosing}, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {{F5-HD:} Fast Flexible FPGA-based Framework for Refreshing Hyperdimensional Computing}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {53--62}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602.3293913}, doi = {10.1145/3289602.3293913}, timestamp = {Tue, 05 Mar 2019 07:04:43 +0100}, biburl = {https://dblp.org/rec/conf/fpga/SalamatIKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GuptaIR19, author = {Saransh Gupta and Mohsen Imani and Tajana Rosing}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {Exploring Processing In-Memory for Different Technologies}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {201--206}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3317977}, doi = {10.1145/3299874.3317977}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GuptaIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SimGIKR19, author = {Joonseop Sim and Saransh Gupta and Mohsen Imani and Yeseong Kim and Tajana Rosing}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {{UPIM:} Unipolar Switching Logic for High Density Processing-in-Memory Applications}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {255--258}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3318011}, doi = {10.1145/3299874.3318011}, timestamp = {Thu, 16 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SimGIKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ImaniGKZR19, author = {Mohsen Imani and Saransh Gupta and Yeseong Kim and Minxuan Zhou and Tajana Rosing}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {DigitalPIM: Digital-based Processing In-Memory for Big Data Acceleration}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {429--434}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3319483}, doi = {10.1145/3299874.3319483}, timestamp = {Thu, 16 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ImaniGKZR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ImaniBJRWKR19, author = {Mohsen Imani and Samuel Bosch and Mojan Javaheripi and Bita Darvish Rouhani and Xinyu Wu and Farinaz Koushanfar and Tajana Rosing}, editor = {David Z. Pan}, title = {SemiHD: Semi-Supervised Learning Using Hyperdimensional Computing}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942165}, doi = {10.1109/ICCAD45719.2019.8942165}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ImaniBJRWKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SalamatKIR19, author = {Sahand Salamat and Behnam Khaleghi and Mohsen Imani and Tajana Rosing}, editor = {David Z. Pan}, title = {Workload-Aware Opportunistic Energy Efficiency in Multi-FPGA Platforms}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942115}, doi = {10.1109/ICCAD45719.2019.8942115}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SalamatKIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KhaleghiSIR19, author = {Behnam Khaleghi and Sahand Salamat and Mohsen Imani and Tajana Rosing}, title = {{FPGA} Energy Efficiency by Leveraging Thermal Margin}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {376--384}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00059}, doi = {10.1109/ICCD46524.2019.00059}, timestamp = {Tue, 18 Feb 2020 15:29:20 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KhaleghiSIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ErgunAMR19, author = {Kazim Ergun and Raid Ayoub and Pietro Mercati and Tajana Rosing}, title = {Dynamic Optimization of Battery Health in IoT Networks}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {648--655}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00093}, doi = {10.1109/ICCD46524.2019.00093}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ErgunAMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/ThomasGKAKR19, author = {Anthony Thomas and Yunhui Guo and Yeseong Kim and Baris Aksanli and Arun Kumar and Tajana Simunic Rosing}, title = {Hierarchical and Distributed Machine Learning Inference Beyond the Edge}, booktitle = {16th {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2019, Banff, AB, Canada, May 9-11, 2019}, pages = {18--23}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICNSC.2019.8743164}, doi = {10.1109/ICNSC.2019.8743164}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/ThomasGKAKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ImaniGKR19, author = {Mohsen Imani and Saransh Gupta and Yeseong Kim and Tajana Rosing}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {FloatPIM: in-memory acceleration of deep neural network training with high precision}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {802--815}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322237}, doi = {10.1145/3307650.3322237}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/ImaniGKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GuptaIKKR19, author = {Saransh Gupta and Mohsen Imani and Behnam Khaleghi and Venkatesh Kumar and Tajana Rosing}, title = {{RAPID:} {A} ReRAM Processing in-Memory Architecture for {DNA} Sequence Alignment}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824830}, doi = {10.1109/ISLPED.2019.8824830}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/GuptaIKKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiuZRZ19, author = {Xiao Liu and Mingxuan Zhou and Tajana Simunic Rosing and Jishen Zhao}, title = {HR\({}^{\mbox{3}}\)AM: {A} Heat Resilient Design for RRAM-based Neuromorphic Computing}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824926}, doi = {10.1109/ISLPED.2019.8824926}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LiuZRZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MorrisIBTSR19, author = {Justin Morris and Mohsen Imani and Samuel Bosch and Anthony Thomas and Helen Shu and Tajana Rosing}, title = {CompHD: Efficient Hyperdimensional Computing Using Model Compression}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824908}, doi = {10.1109/ISLPED.2019.8824908}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/MorrisIBTSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SimKKGKR19, author = {Joonseop Sim and Minsu Kim and Yeseong Kim and Saransh Gupta and Behnam Khaleghi and Tajana Rosing}, title = {{MAPIM:} Mat Parallelism for High Performance Processing in Non-volatile Memory Architecture}, booktitle = {20th International Symposium on Quality Electronic Design, {ISQED} 2019, Santa Clara, CA, USA, March 6-7, 2019}, pages = {145--150}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISQED.2019.8697441}, doi = {10.1109/ISQED.2019.8697441}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SimKKGKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/ImaniGR19, author = {Mohsen Imani and Saransh Gupta and Tajana Rosing}, title = {Digital-based processing in-memory: a highly-parallel accelerator for data intensive applications}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2019, Washington, DC, USA, September 30 - October 03, 2019}, pages = {38--40}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3357526.3357551}, doi = {10.1145/3357526.3357551}, timestamp = {Thu, 07 Nov 2019 11:15:09 +0100}, biburl = {https://dblp.org/rec/conf/memsys/ImaniGR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChandrasekaranG19, author = {Rishikanth Chandrasekaran and Yunhui Guo and Anthony Thomas and Massimiliano Menarini and Michael H. Ostertag and Yeseong Kim and Tajana Rosing}, title = {Efficient Sparse Processing in Smart Home Applications}, booktitle = {Proceedings of the 1st Workshop on Machine Learning on Edge in Sensor Systems, SenSys-ML 2019, New York, NY, USA, November 10, 2019}, pages = {19--24}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3362743.3362963}, doi = {10.1145/3362743.3362963}, timestamp = {Mon, 28 Oct 2019 09:19:34 +0100}, biburl = {https://dblp.org/rec/conf/sensys/ChandrasekaranG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/19/ImaniR19, author = {Mohsen Imani and Tajana Simunic Rosing}, editor = {Sherief Reda and Muhammad Shafique}, title = {Approximate {CPU} and {GPU} Design Using Emerging Memory Technologies}, booktitle = {Approximate Circuits, Methodologies and {CAD}}, pages = {383--398}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-99322-5\_19}, doi = {10.1007/978-3-319-99322-5\_19}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/19/ImaniR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-00927, author = {Yunhui Guo and Yandong Li and Rog{\'{e}}rio Schmidt Feris and Liqiang Wang and Tajana Rosing}, title = {Depthwise Convolution is All You Need for Learning Multiple Visual Domains}, journal = {CoRR}, volume = {abs/1902.00927}, year = {2019}, url = {http://arxiv.org/abs/1902.00927}, eprinttype = {arXiv}, eprint = {1902.00927}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-00927.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-06519, author = {Sahand Salamat and Behnam Khaleghi and Mohsen Imani and Tajana Rosing}, title = {Workload-Aware Opportunistic Energy Efficiency in Multi-FPGA Platforms}, journal = {CoRR}, volume = {abs/1908.06519}, year = {2019}, url = {http://arxiv.org/abs/1908.06519}, eprinttype = {arXiv}, eprint = {1908.06519}, timestamp = {Mon, 26 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-06519.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-11763, author = {Yunhui Guo and Mingrui Liu and Tianbao Yang and Tajana Rosing}, title = {Learning with Long-term Remembering: Following the Lead of Mixed Stochastic Gradient}, journal = {CoRR}, volume = {abs/1909.11763}, year = {2019}, url = {http://arxiv.org/abs/1909.11763}, eprinttype = {arXiv}, eprint = {1909.11763}, timestamp = {Fri, 27 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-11763.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-07187, author = {Behnam Khaleghi and Sahand Salamat and Mohsen Imani and Tajana Rosing}, title = {{FPGA} Energy Efficiency by Leveraging Thermal Margin}, journal = {CoRR}, volume = {abs/1911.07187}, year = {2019}, url = {http://arxiv.org/abs/1911.07187}, eprinttype = {arXiv}, eprint = {1911.07187}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-07187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-09659, author = {Yunhui Guo and Yandong Li and Liqiang Wang and Tajana Rosing}, title = {AdaFilter: Adaptive Filter Fine-tuning for Deep Transfer Learning}, journal = {CoRR}, volume = {abs/1911.09659}, year = {2019}, url = {http://arxiv.org/abs/1911.09659}, eprinttype = {arXiv}, eprint = {1911.09659}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-09659.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-12446, author = {Samuel Bosch and Alexander Sanchez de la Cerda and Mohsen Imani and Tajana Simunic Rosing and Giovanni De Micheli}, title = {QubitHD: {A} Stochastic Acceleration Method for {HD} Computing-Based Machine Learning}, journal = {CoRR}, volume = {abs/1911.12446}, year = {2019}, url = {http://arxiv.org/abs/1911.12446}, eprinttype = {arXiv}, eprint = {1911.12446}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-12446.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-07200, author = {Yunhui Guo and Noel C. F. Codella and Leonid Karlinsky and John R. Smith and Tajana Rosing and Rog{\'{e}}rio Schmidt Feris}, title = {A New Benchmark for Evaluation of Cross-Domain Few-Shot Learning}, journal = {CoRR}, volume = {abs/1912.07200}, year = {2019}, url = {http://arxiv.org/abs/1912.07200}, eprinttype = {arXiv}, eprint = {1912.07200}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-07200.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/ImaniPR18, author = {Mohsen Imani and Daniel Peroni and Tajana Rosing}, title = {Nvalt: Nonvolatile Approximate Lookup Table for {GPU} Acceleration}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {10}, number = {1}, pages = {14--17}, year = {2018}, url = {https://doi.org/10.1109/LES.2017.2746742}, doi = {10.1109/LES.2017.2746742}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/ImaniPR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/VenkateshACAR18, author = {Jagannathan Venkatesh and Baris Aksanli and Christine S. Chan and Alper Sinan Akyurek and Tajana Simunic Rosing}, title = {Modular and Personalized Smart Health Application Design in a Smart City Environment}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {2}, pages = {614--623}, year = {2018}, url = {https://doi.org/10.1109/JIOT.2017.2712558}, doi = {10.1109/JIOT.2017.2712558}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/VenkateshACAR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/ImaniPR18, author = {Mohsen Imani and Shruti Patil and Tajana Simunic Rosing}, title = {Approximate Computing Using Multiple-Access Single-Charge Associative Memory}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {6}, number = {3}, pages = {305--316}, year = {2018}, url = {https://doi.org/10.1109/TETC.2016.2565262}, doi = {10.1109/TETC.2016.2565262}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/ImaniPR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/YangATR18, author = {Jinseok Yang and Alper Sinan Akyurek and Sameer Tilak and Tajana Simunic Rosing}, title = {Design of Transmission Manager in Heterogeneous WSNs}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {6}, number = {3}, pages = {395--408}, year = {2018}, url = {https://doi.org/10.1109/TETC.2017.2653064}, doi = {10.1109/TETC.2017.2653064}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/YangATR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/AkyurekR18, author = {Alper Sinan Akyurek and Tajana Simunic Rosing}, title = {Optimal Packet Aggregation Scheduling in Wireless Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {17}, number = {12}, pages = {2835--2852}, year = {2018}, url = {https://doi.org/10.1109/TMC.2018.2826554}, doi = {10.1109/TMC.2018.2826554}, timestamp = {Sat, 01 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/AkyurekR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/ImaniRMR18, author = {Mohsen Imani and Abbas Rahimi and Pietro Mercati and Tajana Simunic Rosing}, title = {Multi-Stage Tunable Approximate Search in Resistive Associative Memory}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {1}, pages = {17--29}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2017.2665462}, doi = {10.1109/TMSCS.2017.2665462}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmscs/ImaniRMR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HamdiouiGFR18, author = {Said Hamdioui and Pierre{-}Emmanuel Gaillardon and Dietmar Fey and Tajana Simunic Rosing}, title = {Guest Editorial Memristive-Device-Based Computing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {12}, pages = {2581--2583}, year = {2018}, url = {https://doi.org/10.1109/TVLSI.2018.2878679}, doi = {10.1109/TVLSI.2018.2878679}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HamdiouiGFR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ImaniMPWR18, author = {Mohsen Imani and Max Masich and Daniel Peroni and Pushen Wang and Tajana Rosing}, editor = {Youngsoo Shin}, title = {{CANNA:} Neural network acceleration using configurable approximation on {GPGPU}}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {682--689}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297401}, doi = {10.1109/ASPDAC.2018.8297401}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ImaniMPWR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/ImaniNRR18, author = {Mohsen Imani and Tarek Nassar and Abbas Rahimi and Tajana Rosing}, title = {{HDNA:} Energy-efficient {DNA} sequencing using hyperdimensional computing}, booktitle = {2018 {IEEE} {EMBS} International Conference on Biomedical {\&} Health Informatics, {BHI} 2018, Las Vegas, NV, USA, March 4-7, 2018}, pages = {271--274}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BHI.2018.8333421}, doi = {10.1109/BHI.2018.8333421}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bhi/ImaniNRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ImaniHKR18, author = {Mohsen Imani and Chenyu Huang and Deqian Kong and Tajana Rosing}, title = {Hierarchical hyperdimensional computing for energy efficient classification}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {108:1--108:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3196060}, doi = {10.1145/3195970.3196060}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/dac/ImaniHKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniGR18, author = {Mohsen Imani and Saransh Gupta and Tajana Rosing}, editor = {Jan Madsen and Ayse K. Coskun}, title = {GenPIM: Generalized processing in-memory to accelerate data intensive applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1155--1158}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342186}, doi = {10.23919/DATE.2018.8342186}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ImaniGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaIR18, author = {Saransh Gupta and Mohsen Imani and Tajana Rosing}, editor = {Iris Bahar}, title = {{FELIX:} fast and energy-efficient logic in memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {55}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240811}, doi = {10.1145/3240765.3240811}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuptaIR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icrc/SalamatIGR18, author = {Sahand Salamat and Mohsen Imani and Saransh Gupta and Tajana Rosing}, title = {RNSnet: In-Memory Neural Network Acceleration Using Residue Number System}, booktitle = {2018 {IEEE} International Conference on Rebooting Computing, {ICRC} 2018, McLean, VA, USA, November 7-9, 2018}, pages = {1--12}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRC.2018.8638592}, doi = {10.1109/ICRC.2018.8638592}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icrc/SalamatIGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iot/KimIR18, author = {Yeseong Kim and Mohsen Imani and Tajana Simunic Rosing}, editor = {Krzysztof Janowicz and Werner Kuhn and Federica Cena and Armin Haller and Kyriakos G. Vamvoudakis}, title = {Efficient human activity recognition using hyperdimensional computing}, booktitle = {Proceedings of the 8th International Conference on the Internet of Things, {IOT} 2018, Santa Barbara, CA, USA, October 15-18, 2018}, pages = {38:1--38:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3277593.3277617}, doi = {10.1145/3277593.3277617}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iot/KimIR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ImaniGGR18, author = {Mohsen Imani and Ricardo Garcia and Saransh Gupta and Tajana Rosing}, title = {{RMAC:} Runtime Configurable Floating Point Multiplier for Approximate Computing}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {12:1--12:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3218621}, doi = {10.1145/3218603.3218621}, timestamp = {Fri, 30 Nov 2018 02:24:56 +0100}, biburl = {https://dblp.org/rec/conf/islped/ImaniGGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhouIGR18, author = {Minxuan Zhou and Mohsen Imani and Saransh Gupta and Tajana Rosing}, title = {{GAS:} {A} Heterogeneous Memory Architecture for Graph Processing}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {27:1--27:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3218631}, doi = {10.1145/3218603.3218631}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ZhouIGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ImaniPR18, author = {Mohsen Imani and Daniel Peroni and Tajana Rosing}, title = {Program acceleration using nearest distance associative search}, booktitle = {19th International Symposium on Quality Electronic Design, {ISQED} 2018, Santa Clara, CA, USA, March 13-14, 2018}, pages = {43--48}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISQED.2018.8357263}, doi = {10.1109/ISQED.2018.8357263}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ImaniPR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SimICKR18, author = {Joonseop Sim and Mohsen Imani and Woojin Choi and Yeseong Kim and Tajana Rosing}, title = {{LUPIS:} Latch-up based ultra efficient processing in-memory system}, booktitle = {19th International Symposium on Quality Electronic Design, {ISQED} 2018, Santa Clara, CA, USA, March 13-14, 2018}, pages = {55--60}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISQED.2018.8357265}, doi = {10.1109/ISQED.2018.8357265}, timestamp = {Tue, 15 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SimICKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ImaniWR18, author = {Mohsen Imani and Pushen Wang and Tajana Rosing}, title = {Deep neural network acceleration framework under hardware uncertainty}, booktitle = {19th International Symposium on Quality Electronic Design, {ISQED} 2018, Santa Clara, CA, USA, March 13-14, 2018}, pages = {389--394}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISQED.2018.8357318}, doi = {10.1109/ISQED.2018.8357318}, timestamp = {Tue, 15 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ImaniWR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-05794, author = {Mohsen Imani and Mohammad Samragh and Yeseong Kim and Saransh Gupta and Farinaz Koushanfar and Tajana Rosing}, title = {{RAPIDNN:} In-Memory Deep Neural Network Acceleration Framework}, journal = {CoRR}, volume = {abs/1806.05794}, year = {2018}, url = {http://arxiv.org/abs/1806.05794}, eprinttype = {arXiv}, eprint = {1806.05794}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-05794.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-08737, author = {Yunhui Guo and Honghui Shi and Abhishek Kumar and Kristen Grauman and Tajana Rosing and Rog{\'{e}}rio Schmidt Feris}, title = {SpotTune: Transfer Learning through Adaptive Fine-tuning}, journal = {CoRR}, volume = {abs/1811.08737}, year = {2018}, url = {http://arxiv.org/abs/1811.08737}, eprinttype = {arXiv}, eprint = {1811.08737}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-08737.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ImaniHRRR17, author = {Mohsen Imani and John Hwang and Tajana Rosing and Abbas Rahimi and Jan M. Rabaey}, title = {Low-Power Sparse Hyperdimensional Encoder for Language Recognition}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {94--101}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2740839}, doi = {10.1109/MDAT.2017.2740839}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ImaniHRRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/VenkateshACAR17, author = {Jagannathan Venkatesh and Baris Aksanli and Christine S. Chan and Alper Sinan Aky{\"{u}}rek and Tajana Simunic Rosing}, title = {Scalable-Application Design for the IoT}, journal = {{IEEE} Softw.}, volume = {34}, number = {1}, pages = {62--70}, year = {2017}, url = {https://doi.org/10.1109/MS.2017.4}, doi = {10.1109/MS.2017.4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/VenkateshACAR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MercatiPBBR17, author = {Pietro Mercati and Francesco Paterna and Andrea Bartolini and Luca Benini and Tajana Simunic Rosing}, title = {{WARM:} Workload-Aware Reliability Management in Linux/Android}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {9}, pages = {1557--1570}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2016.2611501}, doi = {10.1109/TCAD.2016.2611501}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MercatiPBBR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ImaniKR17, author = {Mohsen Imani and Yeseong Kim and Tajana Rosing}, title = {{MPIM:} Multi-purpose in-memory processing using configurable resistive memory}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {757--763}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858415}, doi = {10.1109/ASPDAC.2017.7858415}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ImaniKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/CuiKR17, author = {Wanlin Cui and Yeseong Kim and Tajana Simunic Rosing}, title = {Cross-platform machine learning characterization for task allocation in IoT ecosystems}, booktitle = {{IEEE} 7th Annual Computing and Communication Workshop and Conference, {CCWC} 2017, Las Vegas, NV, USA, January 9-11, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CCWC.2017.7868438}, doi = {10.1109/CCWC.2017.7868438}, timestamp = {Sun, 08 Aug 2021 01:40:48 +0200}, biburl = {https://dblp.org/rec/conf/ccwc/CuiKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MercatiAKSBPR17, author = {Pietro Mercati and Raid Ayoub and Michael Kishinevsky and Eric Samson and Marc Beuchat and Francesco Paterna and Tajana Simunic Rosing}, title = {Multi-variable Dynamic Power Management for the {GPU} Subsystem}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {2:1--2:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062288}, doi = {10.1145/3061639.3062288}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MercatiAKSBPR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ImaniGR17, author = {Mohsen Imani and Saransh Gupta and Tajana Rosing}, title = {Ultra-Efficient Processing In-Memory for Data Intensive Applications}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {6:1--6:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062337}, doi = {10.1145/3061639.3062337}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ImaniGR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ImaniPR17, author = {Mohsen Imani and Daniel Peroni and Tajana Rosing}, title = {{CFPU:} Configurable Floating Point Multiplier for Energy-Efficient Computing}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {76:1--76:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062210}, doi = {10.1145/3061639.3062210}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ImaniPR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniPKRR17, author = {Mohsen Imani and Daniel Peroni and Yeseong Kim and Abbas Rahimi and Tajana Rosing}, editor = {David Atienza and Giorgio Di Natale}, title = {Efficient neural network acceleration on {GPGPU} using content addressable memory}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1026--1031}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927141}, doi = {10.23919/DATE.2017.7927141}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ImaniPKRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RazlighiIKR17, author = {Mohammad Samragh Razlighi and Mohsen Imani and Farinaz Koushanfar and Tajana Rosing}, editor = {David Atienza and Giorgio Di Natale}, title = {LookNN: Neural network with no multiplication}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1775--1780}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927280}, doi = {10.23919/DATE.2017.7927280}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RazlighiIKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ImaniRKRR17, author = {Mohsen Imani and Abbas Rahimi and Deqian Kong and Tajana Rosing and Jan M. Rabaey}, title = {Exploring Hyperdimensional Associative Memory}, booktitle = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {445--456}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HPCA.2017.28}, doi = {10.1109/HPCA.2017.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ImaniRKRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimIR17, author = {Yeseong Kim and Mohsen Imani and Tajana Rosing}, editor = {Sri Parameswaran}, title = {{ORCHARD:} Visual object recognition accelerator based on approximate in-memory processing}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {25--32}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203756}, doi = {10.1109/ICCAD.2017.8203756}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimIR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimMMSR17, author = {Yeseong Kim and Pietro Mercati and Ankit More and Emily Shriver and Tajana Rosing}, editor = {Sri Parameswaran}, title = {P\({}^{\mbox{4}}\): Phase-based power/performance prediction of heterogeneous systems via neural networks}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {683--690}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203843}, doi = {10.1109/ICCAD.2017.8203843}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimMMSR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icrc/ImaniKR17, author = {Mohsen Imani and Yeseong Kim and Tajana Rosing}, title = {NNgine: Ultra-Efficient Nearest Neighbor Accelerator Based on In-Memory Computing}, booktitle = {{IEEE} International Conference on Rebooting Computing, {ICRC} 2017, Washington, DC, USA, November 8-9, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICRC.2017.8123666}, doi = {10.1109/ICRC.2017.8123666}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icrc/ImaniKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icrc/ImaniKRR17, author = {Mohsen Imani and Deqian Kong and Abbas Rahimi and Tajana Rosing}, title = {VoiceHD: Hyperdimensional Computing for Efficient Speech Recognition}, booktitle = {{IEEE} International Conference on Rebooting Computing, {ICRC} 2017, Washington, DC, USA, November 8-9, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICRC.2017.8123650}, doi = {10.1109/ICRC.2017.8123650}, timestamp = {Tue, 09 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icrc/ImaniKRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ImaniGAR17, author = {Mohsen Imani and Saransh Gupta and Atl Arredondo and Tajana Rosing}, title = {Efficient query processing in crossbar memory}, booktitle = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISLPED.2017.8009204}, doi = {10.1109/ISLPED.2017.8009204}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/ImaniGAR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ImaniR17, author = {Mohsen Imani and Tajana Rosing}, title = {{CAP:} Configurable resistive associative processor for near-data computing}, booktitle = {18th International Symposium on Quality Electronic Design, {ISQED} 2017, Santa Clara, CA, USA, March 14-15, 2017}, pages = {346--352}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISQED.2017.7918340}, doi = {10.1109/ISQED.2017.7918340}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ImaniR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/AksanliVCAR17, author = {Baris Aksanli and Jagannathan Venkatesh and Christine S. Chan and Alper Sinan Akyurek and Tajana Simunic Rosing}, title = {Context-aware and user-centric residential energy management}, booktitle = {2017 {IEEE} International Conference on Pervasive Computing and Communications Workshops, PerCom Workshops 2017, Kona, Big Island, HI, USA, March 13-17, 2017}, pages = {455--460}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PERCOMW.2017.7917606}, doi = {10.1109/PERCOMW.2017.7917606}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/percom/AksanliVCAR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/MousaviAAR17, author = {Nima Mousavi and Baris Aksanli and Alper Sinan Akyurek and Tajana Simunic Rosing}, title = {Accuracy-resource tradeoff for edge devices in Internet of Things}, booktitle = {2017 {IEEE} International Conference on Pervasive Computing and Communications Workshops, PerCom Workshops 2017, Kona, Big Island, HI, USA, March 13-17, 2017}, pages = {581--586}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PERCOMW.2017.7917627}, doi = {10.1109/PERCOMW.2017.7917627}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/percom/MousaviAAR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SimIKR17, author = {Joonseop Sim and Mohsen Imani and Yeseong Kim and Tajana Rosing}, title = {Enabling efficient system design using vertical nanowire transistor current mode logic}, booktitle = {2017 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2017, Abu Dhabi, United Arab Emirates, October 23-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-SoC.2017.8203486}, doi = {10.1109/VLSI-SOC.2017.8203486}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/SimIKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniPR16, author = {Mohsen Imani and Shruti Patil and Tajana Simunic Rosing}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {{MASC:} Ultra-low energy multiple-access single-charge {TCAM} for approximate computing}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {373--378}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459339/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ImaniPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniRR16, author = {Mohsen Imani and Abbas Rahimi and Tajana Simunic Rosing}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Resistive configurable associative memory for approximate computing}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1327--1332}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459515/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ImaniRR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/AkyurekR16, author = {Alper Sinan Akyurek and Tajana Simunic Rosing}, title = {Optimal in-network packet aggregation policy for maximum information freshness}, booktitle = {European Conference on Networks and Communications, EuCNC 2016, Athens, Greece, June 27-30, 2016}, pages = {89--93}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EuCNC.2016.7561011}, doi = {10.1109/EUCNC.2016.7561011}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/eucnc/AkyurekR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ImaniPR16, author = {Mohsen Imani and Shruti Patil and Tajana Simunic Rosing}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {{DCC:} Double Capacity Cache Architecture for Narrow-Width Values}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {113--116}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2902990}, doi = {10.1145/2902961.2902990}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ImaniPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MercatiPBIBR16, author = {Pietro Mercati and Francesco Paterna and Andrea Bartolini and Mohsen Imani and Luca Benini and Tajana Simunic Rosing}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {VarDroid: Online Variability Emulation in Android/Linux Platforms}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {269--274}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2902971}, doi = {10.1145/2902961.2902971}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MercatiPBIBR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdi/VenkateshCAR16, author = {Jagannathan Venkatesh and Christine S. Chan and Alper Sinan Akyurek and Tajana Simunic Rosing}, title = {A Modular Approach to Context-Aware IoT Applications}, booktitle = {First {IEEE} International Conference on Internet-of-Things Design and Implementation, IoTDI 2016, Berlin, Germany, April 4-8, 2016}, pages = {235--240}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IoTDI.2015.13}, doi = {10.1109/IOTDI.2015.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iotdi/VenkateshCAR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ImaniKRR16, author = {Mohsen Imani and Yeseong Kim and Abbas Rahimi and Tajana Rosing}, title = {{ACAM:} Approximate Computing Based on Adaptive Associative Memory with Online Learning}, booktitle = {Proceedings of the 2016 International Symposium on Low Power Electronics and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016}, pages = {162--167}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2934583.2934595}, doi = {10.1145/2934583.2934595}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ImaniKRR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ImaniPR16, author = {Mohsen Imani and Shruti Patil and Tajana Simunic Rosing}, title = {Low power data-aware {STT-RAM} based hybrid cache architecture}, booktitle = {17th International Symposium on Quality Electronic Design, {ISQED} 2016, Santa Clara, CA, USA, March 15-16, 2016}, pages = {88--94}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISQED.2016.7479181}, doi = {10.1109/ISQED.2016.7479181}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ImaniPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ImaniMR16, author = {Mohsen Imani and Pietro Mercati and Tajana Rosing}, title = {ReMAM: Low energy Resistive Multi-stage Associative Memory for energy efficient computing}, booktitle = {17th International Symposium on Quality Electronic Design, {ISQED} 2016, Santa Clara, CA, USA, March 15-16, 2016}, pages = {101--106}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISQED.2016.7479183}, doi = {10.1109/ISQED.2016.7479183}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ImaniMR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/ImaniCR16, author = {Mohsen Imani and Yan Cheng and Tajana Rosing}, editor = {Bruce L. Jacob}, title = {Processing Acceleration with Resistive Memory-based Computation}, booktitle = {Proceedings of the Second International Symposium on Memory Systems, {MEMSYS} 2016, Alexandria, VA, USA, October 3-6, 2016}, pages = {208--210}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2989081.2989086}, doi = {10.1145/2989081.2989086}, timestamp = {Fri, 13 Nov 2020 09:24:44 +0100}, biburl = {https://dblp.org/rec/conf/memsys/ImaniCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ImaniRKR16, author = {Mohsen Imani and Abbas Rahimi and Yeseong Kim and Tajana Rosing}, title = {A low-power hybrid magnetic cache architecture exploiting narrow-width values}, booktitle = {5th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2016, Daegu, South Korea, August 17-19, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NVMSA.2016.7547174}, doi = {10.1109/NVMSA.2016.7547174}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/ImaniRKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensornets/YangTR16, author = {Jinseok Yang and Sameer Tilak and Tajana Simunic Rosing}, editor = {Andreas Ahrens and Octavian Postolache and C{\'{e}}sar Benavente{-}Peces}, title = {An Interactive Context-aware Power Management Technique for Optimizing Sensor Network Lifetime}, booktitle = {{SENSORNETS} 2016 - Proceedings of the 5th International Confererence on Sensor Networks, Rome, Italy, February 19-21, 2016}, pages = {69--76}, publisher = {SciTePress}, year = {2016}, url = {https://doi.org/10.5220/0005728600690076}, doi = {10.5220/0005728600690076}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensornets/YangTR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/MauryaAAR16, author = {Akanksha Maurya and Alper Sinan Akyurek and Baris Aksanli and Tajana Simunic Rosing}, title = {Time-series clustering for data analysis in Smart Grid}, booktitle = {2016 {IEEE} International Conference on Smart Grid Communications, SmartGridComm 2016, Sydney, Australia, November 6-9, 2016}, pages = {606--611}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SmartGridComm.2016.7778828}, doi = {10.1109/SMARTGRIDCOMM.2016.7778828}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/MauryaAAR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/AksanliVMR16, author = {Baris Aksanli and Jagannathan Venkatesh and Inder Monga and Tajana Simunic Rosing}, editor = {J{\"{o}}rg L{\"{a}}ssig and Kristian Kersting and Katharina Morik}, title = {Renewable Energy Prediction for Improved Utilization and Efficiency in Datacenters and Backbone Networks}, booktitle = {Computational Sustainability}, series = {Studies in Computational Intelligence}, volume = {645}, pages = {47--74}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-31858-5\_4}, doi = {10.1007/978-3-319-31858-5\_4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/AksanliVMR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ew/MercatiHKBR15, author = {Pietro Mercati and Vinay Hanumaiah and Jitendra Kulkarni and Simon Bloch and Tajana Rosing}, title = {{BLAST:} Battery Lifetime-constrained Adaptation with Selected Target in Mobile Devices}, journal = {{EAI} Endorsed Trans. Energy Web}, volume = {2}, number = {5}, pages = {e2}, year = {2015}, url = {https://doi.org/10.4108/eai.22-7-2015.2260051}, doi = {10.4108/EAI.22-7-2015.2260051}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ew/MercatiHKBR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/it/WannerLRGMHSADD15, author = {Lucas Francisco Wanner and Liangzhen Lai and Abbas Rahimi and Mark Gottscho and Pietro Mercati and Chu{-}Hsiang Huang and Frederic Sala and Yuvraj Agarwal and Lara Dolecek and Nikil D. Dutt and Puneet Gupta and Rajesh K. Gupta and Ranjit Jhala and Rakesh Kumar and Sorin Lerner and Subhasish Mitra and Alexandru Nicolau and Tajana Simunic Rosing and Mani B. Srivastava and Steven Swanson and Dennis Sylvester and Yuanyuan Zhou}, title = {{NSF} expedition on variability-aware software: Recent results and contributions}, journal = {it Inf. Technol.}, volume = {57}, number = {3}, pages = {181--198}, year = {2015}, url = {https://doi.org/10.1515/itit-2014-1085}, doi = {10.1515/ITIT-2014-1085}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/it/WannerLRGMHSADD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/RodriguesSAR15, author = {Henrique Rodrigues and Richard D. Strong and Alper Sinan Akyurek and Tajana Simunic Rosing}, editor = {Gordon J. Brebner and Alex Bachmutsky and Chita R. Das}, title = {Dynamic Optical Switching for Latency Sensitive Applications}, booktitle = {Proceedings of the Eleventh {ACM/IEEE} Symposium on Architectures for networking and communications systems, {ANCS} 2015, Oakland, CA, USA, May 7-8, 2015}, pages = {75--86}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ANCS.2015.7110122}, doi = {10.1109/ANCS.2015.7110122}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ancs/RodriguesSAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/PatilCR15, author = {Shruti Patil and Yu Chen and Tajana Simunic Rosing}, title = {GazeTube: Gaze-Based Adaptive Video Playback for Bandwidth and Power Optimizations}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417405}, doi = {10.1109/GLOCOM.2014.7417405}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/PatilCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YangTR15, author = {Jinseok Yang and Sameer Tilak and Tajana Simunic Rosing}, title = {Transmission Manager in Heterogeneous WSNs}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417826}, doi = {10.1109/GLOCOM.2014.7417826}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/YangTR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/AksanliAR15, author = {Baris Aksanli and Alper Sinan Akyurek and Tajana Rosing}, title = {Minimizing the effects of data centers on microgrid stability}, booktitle = {Sixth International Green and Sustainable Computing Conference, {IGSC} 2015, Las Vegas, NV, USA, December 14-16, 2015}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IGCC.2015.7393720}, doi = {10.1109/IGCC.2015.7393720}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/AksanliAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/AkyurekAR15, author = {Alper Sinan Akyurek and Baris Aksanli and Tajana Simunic Rosing}, title = {S2Sim: Smart Grid Swarm Simulator}, booktitle = {Sixth International Green and Sustainable Computing Conference, {IGSC} 2015, Las Vegas, NV, USA, December 14-16, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IGCC.2015.7393713}, doi = {10.1109/IGCC.2015.7393713}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/AkyurekAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/RodriguesSR15, author = {Henrique Rodrigues and Richard D. Strong and Tajana Rosing}, title = {Accurate emulation of fast optical circuit switches}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {5030--5035}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7249121}, doi = {10.1109/ICC.2015.7249121}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/RodriguesSR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimPTR15, author = {Yeseong Kim and Francesco Paterna and Sameer Tilak and Tajana Simunic Rosing}, editor = {Diana Marculescu and Frank Liu}, title = {Smartphone Analysis and Optimization based on User Activity Recognition}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {605--612}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372625}, doi = {10.1109/ICCAD.2015.7372625}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimPTR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimIPR15, author = {Yeseong Kim and Mohsen Imani and Shruti Patil and Tajana Simunic Rosing}, editor = {Diana Marculescu and Frank Liu}, title = {{CAUSE:} Critical Application Usage-Aware Memory System using Non-volatile Memory for Mobile Devices}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {690--696}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372637}, doi = {10.1109/ICCAD.2015.7372637}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimIPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PaternaR15, author = {Francesco Paterna and Tajana Simunic Rosing}, editor = {Diana Marculescu and Frank Liu}, title = {Modeling and Mitigation of Extra-SoC Thermal Coupling Effects and Heat Transfer Variations in Mobile Devices}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {831--838}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372657}, doi = {10.1109/ICCAD.2015.7372657}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PaternaR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicase/PatilKKAR15, author = {Shruti Patil and Yeseong Kim and Kunal Korgaonkar and Ibrahim Awwal and Tajana Simunic Rosing}, editor = {Stephan Sigg and Petteri Nurmi and Flora D. Salim}, title = {Characterization of User's Behavior Variations for Design of Replayable Mobile Workloads}, booktitle = {Mobile Computing, Applications, and Services - 7th International Conference, MobiCASE 2015, Berlin, Germany, November 12-13, 2015, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {162}, pages = {51--70}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-29003-4\_4}, doi = {10.1007/978-3-319-29003-4\_4}, timestamp = {Sun, 04 Aug 2024 19:44:12 +0200}, biburl = {https://dblp.org/rec/conf/mobicase/PatilKKAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/ImaniPR15, author = {Mohsen Imani and Shruti Patil and Tajana Simunic Rosing}, title = {Hierarchical design of robust and low data dependent FinFET based {SRAM} array}, booktitle = {Proceedings of the 2015 {IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2015, Boston, MA, USA, July 8-10, 2015}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NANOARCH.2015.7180588}, doi = {10.1109/NANOARCH.2015.7180588}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/ImaniPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14, author = {Edward A. Lee and Bj{\"{o}}rn Hartmann and John Kubiatowicz and Tajana Simunic Rosing and John Wawrzynek and David Wessel and Jan M. Rabaey and Kris Pister and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and David T. Blaauw and Prabal Dutta and Kevin Fu and Carlos Guestrin and Ben Taskar and Roozbeh Jafari and Douglas L. Jones and Vijay Kumar and Rahul Mangharam and George J. Pappas and Richard M. Murray and Anthony Rowe}, title = {The Swarm at the Edge of the Cloud}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {8--20}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2314600}, doi = {10.1109/MDAT.2014.2314600}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AksanliR14, author = {Baris Aksanli and Tajana Rosing}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Providing regulation services and managing data center peak power budgets}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.156}, doi = {10.7873/DATE.2014.156}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AksanliR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MercatiBPRB14, author = {Pietro Mercati and Andrea Bartolini and Francesco Paterna and Tajana Simunic Rosing and Luca Benini}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {A Linux-governor based Dynamic Reliability Manager for android mobile devices}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.117}, doi = {10.7873/DATE.2014.117}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MercatiBPRB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaternaZR14, author = {Francesco Paterna and Joe Zanotelli and Tajana Simunic Rosing}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Ambient variation-tolerant and inter components aware thermal management for mobile system on chips}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.223}, doi = {10.7873/DATE.2014.223}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PaternaZR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/MercatiBPBR14, author = {Pietro Mercati and Andrea Bartolini and Francesco Paterna and Luca Benini and Tajana Simunic Rosing}, title = {An On-line Reliability Emulation Framework}, booktitle = {12th {IEEE} International Conference on Embedded and Ubiquitous Computing, {EUC} 2014, Milano, Italy, August 26-28, 2014}, pages = {334--339}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/EUC.2014.59}, doi = {10.1109/EUC.2014.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/MercatiBPBR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hoti/RodriguesMSSGPLR14, author = {Henrique Rodrigues and Inder Monga and Abhinava Sadasivarao and Sharfuddin Syed and Chin Guok and Eric Pouyoul and Chris Liou and Tajana Rosing}, title = {Traffic Optimization in Multi-layered WANs Using {SDN}}, booktitle = {22nd {IEEE} Annual Symposium on High-Performance Interconnects, {HOTI} 2014, Mountain View, CA, USA, August 26-28, 2014}, pages = {71--78}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HOTI.2014.23}, doi = {10.1109/HOTI.2014.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hoti/RodriguesMSSGPLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MercatiPBBR14, author = {Pietro Mercati and Francesco Paterna and Andrea Bartolini and Luca Benini and Tajana Simunic Rosing}, title = {Dynamic variability management in mobile multicore processors under lifetime constraints}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {448--455}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974718}, doi = {10.1109/ICCD.2014.6974718}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MercatiPBBR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/SeraciniZRK14, author = {Filippo Seracini and Xiang Zhang and Tajana Rosing and Ingolf Kr{\"{u}}ger}, title = {A Proactive Customer-Aware Resource Allocation Approach for Data Centers}, booktitle = {{IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2014, Milan, Italy, August 26-28, 2014}, pages = {26--33}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPA.2014.13}, doi = {10.1109/ISPA.2014.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/SeraciniZRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issnip/YangRT14, author = {Jinseok Yang and Tajana Simunic Rosing and Sameer S. Tilak}, title = {Leveraging application context for efficient sensing}, booktitle = {2014 {IEEE} Ninth International Conference on Intelligent Sensors, Sensor Networks and Information Processing (ISSNIP), Singapore, April 21-24, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSNIP.2014.6827692}, doi = {10.1109/ISSNIP.2014.6827692}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/issnip/YangRT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicase/MercatiRHKB14, author = {Pietro Mercati and Tajana Simunic Rosing and Vinay Hanumaiah and Jitendra Kulkarni and Simon Bloch}, editor = {Christine Julien and Nicholas D. Lane and Shivakant Mishra}, title = {User-centric joint power and thermal management for smartphones}, booktitle = {6th International Conference on Mobile Computing, Applications and Services, MobiCASE 2014, Austin, TX, USA, November 6-7, 2014}, pages = {98--105}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.4108/icst.mobicase.2014.257788}, doi = {10.4108/ICST.MOBICASE.2014.257788}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicase/MercatiRHKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/AksanliABCDDLMMNRRSSRV14, author = {Baris Aksanli and Alper Sinan Akyurek and Madhur Behl and Meghan Clark and Alexandre Donz{\'{e}} and Prabal Dutta and Patrick Lazik and Mehdi Maasoumy and Rahul Mangharam and Truong X. Nghiem and Vasumathi Raman and Anthony Rowe and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Tajana Simunic Rosing and Jagannathan Venkatesh}, editor = {Mani Srivastava}, title = {Distributed control of a swarm of buildings connected to a smart grid: demo abstract}, booktitle = {Proceedings of the 1st {ACM} Conference on Embedded Systems for Energy-Efficient Buildings, BuildSys 2014, Memphis, TN, USA, November 3-6, 2014}, pages = {172--173}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2674061.2675019}, doi = {10.1145/2674061.2675019}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/AksanliABCDDLMMNRRSSRV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/AkyurekAKR14, author = {Bengu Ozge Akyurek and Alper Sinan Akyurek and Jan Kleissl and Tajana Simunic Rosing}, title = {{TESLA:} Taylor expanded solar analog forecasting}, booktitle = {2014 {IEEE} International Conference on Smart Grid Communications, SmartGridComm 2014, Venice, Italy, November 3-6, 2014}, pages = {127--132}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SmartGridComm.2014.7007634}, doi = {10.1109/SMARTGRIDCOMM.2014.7007634}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/AkyurekAKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/AgheraYZKCR13, author = {Priti Aghera and Jinseok Yang and Piero Zappi and Dilip Krishnaswamy and Ayse K. Coskun and Tajana Simunic Rosing}, title = {Energy Management in Wireless Mobile Systems Using Dynamic Task Assignment}, journal = {J. Low Power Electron.}, volume = {9}, number = {2}, pages = {198--217}, year = {2013}, url = {https://doi.org/10.1166/jolpe.2013.1256}, doi = {10.1166/JOLPE.2013.1256}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/AgheraYZKCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmetrics/ChanPGVR13, author = {Christine S. Chan and Boxiang Pan and Kenny C. Gross and Kalyan Vaidyanathan and Tajana Simunic Rosing}, title = {Correcting vibration-induced performance degradation in enterprise servers}, journal = {{SIGMETRICS} Perform. Evaluation Rev.}, volume = {41}, number = {3}, pages = {83--88}, year = {2013}, url = {https://doi.org/10.1145/2567529.2567555}, doi = {10.1145/2567529.2567555}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/ChanPGVR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuptaADDGKMNRSSS13, author = {Puneet Gupta and Yuvraj Agarwal and Lara Dolecek and Nikil D. Dutt and Rajesh K. Gupta and Rakesh Kumar and Subhasish Mitra and Alexandru Nicolau and Tajana Simunic Rosing and Mani B. Srivastava and Steven Swanson and Dennis Sylvester}, title = {Underdesigned and Opportunistic Computing in Presence of Hardware Variability}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {1}, pages = {8--23}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2223467}, doi = {10.1109/TCAD.2012.2223467}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/GuptaADDGKMNRSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SharifiKR13, author = {Shervin Sharifi and Dilip Krishnaswamy and Tajana Simunic Rosing}, title = {{PROMETHEUS:} {A} Proactive Method for Thermal Management of Heterogeneous MPSoCs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {7}, pages = {1110--1123}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2247656}, doi = {10.1109/TCAD.2013.2247656}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SharifiKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KahngKRS13, author = {Andrew B. Kahng and Seokhyeong Kang and Tajana Simunic Rosing and Richard D. Strong}, title = {Many-Core Token-Based Adaptive Power Gating}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {8}, pages = {1288--1292}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2257923}, doi = {10.1109/TCAD.2013.2257923}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KahngKRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AyoubNR13, author = {Raid Ayoub and Rajib Nath and Tajana Simunic Rosing}, title = {CoMETC: Coordinated management of energy/thermal/cooling in servers}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {19}, number = {1}, pages = {1:1--1:28}, year = {2013}, url = {https://doi.org/10.1145/2534381}, doi = {10.1145/2534381}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/AyoubNR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KahngNR13, author = {Andrew B. Kahng and Siddhartha Nath and Tajana Rosing}, title = {On potential design impacts of electromigration awareness}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {527--532}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509650}, doi = {10.1109/ASPDAC.2013.6509650}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KahngNR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MercatiBPRB13, author = {Pietro Mercati and Andrea Bartolini and Francesco Paterna and Tajana Simunic Rosing and Luca Benini}, title = {Workload and user experience-aware dynamic reliability management in multicore processors}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {2:1--2:6}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488735}, doi = {10.1145/2463209.2488735}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MercatiBPRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NathAR13, author = {Rajib Nath and Raid Zuhair Ayoub and Tajana Simunic Rosing}, title = {Temperature aware thread block scheduling in GPGPUs}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {177:1--177:6}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488952}, doi = {10.1145/2463209.2488952}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NathAR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YangTR13, author = {Jinseok Yang and Sameer S. Tilak and Tajana Simunic Rosing}, title = {A novel protocol for adaptive broadcasting of sensor data in urban scenarios}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831038}, doi = {10.1109/GLOCOM.2013.6831038}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/YangTR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/AksanliRP13, author = {Baris Aksanli and Tajana Rosing and Eddie Pettis}, title = {Distributed battery control for peak power shaving in datacenters}, booktitle = {International Green Computing Conference, {IGCC} 2013, Arlington, VA, USA, June 27-29, 2013, Proceedings}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IGCC.2013.6604477}, doi = {10.1109/IGCC.2013.6604477}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/AksanliRP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/VenkateshAJMR13, author = {Jagannathan Venkatesh and Baris Aksanli and Jean{-}Claude Junqua and Philippe Morin and Tajana Simunic Rosing}, title = {HomeSim: Comprehensive, smart, residential electrical energy simulation and scheduling}, booktitle = {International Green Computing Conference, {IGCC} 2013, Arlington, VA, USA, June 27-29, 2013, Proceedings}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IGCC.2013.6604479}, doi = {10.1109/IGCC.2013.6604479}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/VenkateshAJMR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/greens/MenariniSZRK13, author = {Massimiliano Menarini and Filippo Seracini and Xiang Zhang and Tajana Rosing and Ingolf Kr{\"{u}}ger}, editor = {Patricia Lago and Niklaus Meyer and Maurizio Morisio and Hausi A. M{\"{u}}ller and Giuseppe Scanniello}, title = {Green web services: Improving energy efficiency in data centers via workload predictions}, booktitle = {2nd International Workshop on Green and Sustainable Software, {GREENS} 2013, San Francisco, CA, USA, May 20, 2013}, pages = {8--15}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/GREENS.2013.6606416}, doi = {10.1109/GREENS.2013.6606416}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/greens/MenariniSZRK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ZhangDR13, author = {Liuyi Eric Zhang and Gaurav Dhiman and Tajana Simunic Rosing}, title = {vGreenNet: Managing Server and Networking Resources of Co-Located Heterogeneous VMs}, booktitle = {2013 {IEEE} International Symposium on Parallel {\&} Distributed Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24, 2013}, pages = {984--991}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IPDPSW.2013.85}, doi = {10.1109/IPDPSW.2013.85}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ZhangDR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/VenkateshAR13, author = {Jagannathan Venkatesh and Baris Aksanli and Tajana Simunic Rosing}, title = {Residential energy simulation and scheduling: {A} case study approach}, booktitle = {2013 {IEEE} Symposium on Computers and Communications, {ISCC} 2013, Split, Croatia, 7-10 July, 2013}, pages = {161--166}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISCC.2013.6754939}, doi = {10.1109/ISCC.2013.6754939}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscc/VenkateshAR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/AksanliVRM13, author = {Baris Aksanli and Jagannathan Venkatesh and Tajana Rosing and Inder Monga}, title = {A comprehensive approach to reduce the energy cost of network of datacenters}, booktitle = {2013 {IEEE} Symposium on Computers and Communications, {ISCC} 2013, Split, Croatia, 7-10 July, 2013}, pages = {275--280}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISCC.2013.6754959}, doi = {10.1109/ISCC.2013.6754959}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscc/AksanliVRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/NathCR13, author = {Rajib Nath and Douglas M. Carmean and Tajana Simunic Rosing}, title = {Power modeling and thermal management techniques for manycores}, booktitle = {2013 {IEEE} Symposium on Computers and Communications, {ISCC} 2013, Split, Croatia, 7-10 July, 2013}, pages = {740--746}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISCC.2013.6755037}, doi = {10.1109/ISCC.2013.6755037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/NathCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/AksanliPR13, author = {Baris Aksanli and Eddie Pettis and Tajana Rosing}, title = {Architecting Efficient Peak Power Shaving Using Batteries in Data Centers}, booktitle = {2013 {IEEE} 21st International Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems, San Francisco, CA, USA, August 14-16, 2013}, pages = {242--253}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MASCOTS.2013.32}, doi = {10.1109/MASCOTS.2013.32}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mascots/AksanliPR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/MilosevicYVTZFBR13, author = {Bojan Milosevic and Jinseok Yang and Nakul Verma and Sameer S. Tilak and Piero Zappi and Elisabetta Farella and Luca Benini and Tajana Simunic Rosing}, editor = {Bj{\"{o}}rn Landfeldt and M{\'{o}}nica Aguilar{-}Igartua and Ravi Prakash and Cheng Li}, title = {Efficient energy management and data recovery in sensor networks using latent variables based tensor factorization}, booktitle = {16th {ACM} International Conference on Modeling, Analysis and Simulation of Wireless and Mobile Systems, MSWiM '13, Barcelona, Spain, November 3-8, 2013}, pages = {247--254}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2507924.2507953}, doi = {10.1145/2507924.2507953}, timestamp = {Wed, 22 Mar 2023 16:53:34 +0100}, biburl = {https://dblp.org/rec/conf/mswim/MilosevicYVTZFBR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/PorterSFFSRFPV13, author = {George Porter and Richard D. Strong and Nathan Farrington and Alex Forencich and Pang{-}Chen Sun and Tajana Rosing and Yeshaiahu Fainman and George Papen and Amin Vahdat}, editor = {Dah Ming Chiu and Jia Wang and Paul Barford and Srinivasan Seshan}, title = {Integrating microsecond circuit switching into the data center}, booktitle = {{ACM} {SIGCOMM} 2013 Conference, {SIGCOMM} 2013, Hong Kong, August 12-16, 2013}, pages = {447--458}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2486001.2486007}, doi = {10.1145/2486001.2486007}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/PorterSFFSRFPV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/AksanliR13, author = {Baris Aksanli and Tajana Rosing}, title = {Optimal battery configuration in a residential home with time-of-use pricing}, booktitle = {{IEEE} Fourth International Conference on Smart Grid Communications, SmartGridComm 2013, Vancouver, BC, Canada, October 21-24, 2013}, pages = {157--162}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SmartGridComm.2013.6687950}, doi = {10.1109/SMARTGRIDCOMM.2013.6687950}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/AksanliR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/AkyurekTR13, author = {Alper Sinan Akyurek and Bill Torre and Tajana Simunic Rosing}, title = {{ECO-DAC} Energy Control over Divide and Control}, booktitle = {{IEEE} Fourth International Conference on Smart Grid Communications, SmartGridComm 2013, Vancouver, BC, Canada, October 21-24, 2013}, pages = {666--671}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SmartGridComm.2013.6688035}, doi = {10.1109/SMARTGRIDCOMM.2013.6688035}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/AkyurekTR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/AksanliVR12, author = {Baris Aksanli and Jagannathan Venkatesh and Tajana Simunic Rosing}, title = {Using Datacenter Simulation to Evaluate Green Energy Integration}, journal = {Computer}, volume = {45}, number = {9}, pages = {56--64}, year = {2012}, url = {https://doi.org/10.1109/MC.2012.249}, doi = {10.1109/MC.2012.249}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/AksanliVR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AksanliRM12, author = {Baris Aksanli and Tajana Simunic Rosing and Inder Monga}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Benefits of green energy and proportionality in high speed wide area networks connecting data centers}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {175--180}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176458}, doi = {10.1109/DATE.2012.6176458}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AksanliRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SharifiAR12, author = {Shervin Sharifi and Raid Zuhair Ayoub and Tajana Simunic Rosing}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {593--598}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176542}, doi = {10.1109/DATE.2012.6176542}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SharifiAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JeongKKRS12, author = {Kwangok Jeong and Andrew B. Kahng and Seokhyeong Kang and Tajana Simunic Rosing and Richard D. Strong}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{MAPG:} Memory access power gating}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1054--1059}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176651}, doi = {10.1109/DATE.2012.6176651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JeongKKRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/DhimanKAZSTR12, author = {Gaurav Dhiman and Vasileios Kontorinis and Raid Zuhair Ayoub and Liuyi Eric Zhang and Chris Sadler and Dean M. Tullsen and Tajana Simunic Rosing}, editor = {Ioannis Caragiannis and Michael Alexander and Rosa M. Badia and Mario Cannataro and Alexandru Costan and Marco Danelutto and Fr{\'{e}}d{\'{e}}ric Desprez and Bettina Krammer and Julio Sahuquillo and Stephen L. Scott and Josef Weidendorfer}, title = {Themis: Energy Efficient Management of Workloads in Virtualized Data Centers}, booktitle = {Euro-Par 2012: Parallel Processing Workshops - BDMC, CGWS, HeteroPar, HiBB, OMHI, Paraphrase, PROPER, Resilience, UCHPC, VHPC, Rhodes Islands, Greece, August 27-31, 2012. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7640}, pages = {557--566}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36949-0\_65}, doi = {10.1007/978-3-642-36949-0\_65}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/DhimanKAZSTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/AyoubNR12, author = {Raid Zuhair Ayoub and Rajib Nath and Tajana Rosing}, title = {{JETC:} Joint energy thermal and cooling management for memory and {CPU} subsystems in servers}, booktitle = {18th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2012, New Orleans, LA, USA, 25-29 February, 2012}, pages = {299--310}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCA.2012.6169035}, doi = {10.1109/HPCA.2012.6169035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/AyoubNR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/NikzadYZRK12, author = {Nima Nikzad and Jinseok Yang and Piero Zappi and Tajana Simunic Rosing and Dilip Krishnaswamy}, title = {Model-driven adaptive wireless sensing for environmental healthcare feedback systems}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {3439--3444}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364575}, doi = {10.1109/ICC.2012.6364575}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/NikzadYZRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KontorinisZASHPTR12, author = {Vasileios Kontorinis and Liuyi Eric Zhang and Baris Aksanli and Jack Sampson and Houman Homayoun and Eddie Pettis and Dean M. Tullsen and Tajana Simunic Rosing}, title = {Managing distributed {UPS} energy for effective power capping in data centers}, booktitle = {39th International Symposium on Computer Architecture {(ISCA} 2012), June 9-13, 2012, Portland, OR, {USA}}, pages = {488--499}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISCA.2012.6237042}, doi = {10.1109/ISCA.2012.6237042}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/KontorinisZASHPTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KahngKRS12, author = {Andrew B. Kahng and Seokhyeong Kang and Tajana Rosing and Richard D. Strong}, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {{TAP:} token-based adaptive power gating}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, pages = {203--208}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2333660.2333711}, doi = {10.1145/2333660.2333711}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KahngKRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChanJWGVR12, author = {Christine S. Chan and Yanqin Jin and Yen{-}Kuan Wu and Kenny C. Gross and Kalyan Vaidyanathan and Tajana Simunic Rosing}, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {Fan-speed-aware scheduling of data intensive jobs}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, pages = {409--414}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2333660.2333753}, doi = {10.1145/2333660.2333753}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChanJWGVR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicase/MoghimiVZR12, author = {Mohammad Moghimi and Jagannathan Venkatesh and Piero Zappi and Tajana Rosing}, editor = {David Uhler and Khanjan Mehta and Jennifer L. Wong}, title = {Context-Aware Mobile Power Management Using Fuzzy Inference as a Service}, booktitle = {Mobile Computing, Applications, and Services - 4th International Conference, MobiCASE 2012, Seattle, WA, USA, October 11-12, 2012. Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {110}, pages = {314--327}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36632-1\_18}, doi = {10.1007/978-3-642-36632-1\_18}, timestamp = {Sat, 30 Sep 2023 09:53:28 +0200}, biburl = {https://dblp.org/rec/conf/mobicase/MoghimiVZR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/NikzadVZBQZPDKRG12, author = {Nima Nikzad and Nakul Verma and Celal Ziftci and Elizabeth S. Bales and Nichole Quick and Piero Zappi and Kevin Patrick and Sanjoy Dasgupta and Ingolf Krueger and Tajana Simunic Rosing and William G. Griswold}, editor = {William J. Kaiser and Robert McCray}, title = {CitiSense: improving geospatial environmental assessment of air quality using a wireless personal exposure monitoring system}, booktitle = {Wireless Health 2012, {WH} '12, La Jolla, CA, USA, October 22 - 25, 2012}, pages = {11:1--11:8}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2448096.2448107}, doi = {10.1145/2448096.2448107}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/NikzadVZBQZPDKRG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/ReginiLR11, author = {Edoardo Regini and Daeseob Lim and Tajana Simunic Rosing}, title = {Resource Management in Heterogeneous Wireless Sensor Networks}, journal = {J. Low Power Electron.}, volume = {7}, number = {2}, pages = {123--140}, year = {2011}, url = {https://doi.org/10.1166/jolpe.2011.1122}, doi = {10.1166/JOLPE.2011.1122}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/ReginiLR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigops/AksanliVZR11, author = {Baris Aksanli and Jagannathan Venkatesh and Liuyi Eric Zhang and Tajana Rosing}, title = {Utilizing green energy prediction to schedule mixed batch and service jobs in data centers}, journal = {{ACM} {SIGOPS} Oper. Syst. Rev.}, volume = {45}, number = {3}, pages = {53--57}, year = {2011}, url = {https://doi.org/10.1145/2094091.2094105}, doi = {10.1145/2094091.2094105}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigops/AksanliVZR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AyoubIR11, author = {Raid Zuhair Ayoub and Krishnam Raju Indukuri and Tajana Simunic Rosing}, title = {Temperature Aware Dynamic Workload Scheduling in Multisocket {CPU} Servers}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {9}, pages = {1359--1372}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2153852}, doi = {10.1109/TCAD.2011.2153852}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AyoubIR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SabryCARB11, author = {Mohamed M. Sabry and Ayse K. Coskun and David Atienza and Tajana Simunic Rosing and Thomas Brunschwiler}, title = {Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {12}, pages = {1883--1896}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2164540}, doi = {10.1109/TCAD.2011.2164540}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SabryCARB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/Rosing11, author = {Tajana Rosing}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {Energy efficient computing in large scale systems}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055376}, doi = {10.1109/CICC.2011.6055376}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/Rosing11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/SharifiWR11, author = {Shervin Sharifi and Yen{-}Kuan Wu and Tajana Simunic Rosing}, editor = {Haohong Wang and Jin Li and George N. Rouskas and Xiaobo Zhou}, title = {Temperature-Aware Scheduling for Embedded Heterogeneous MPSoCs with Special Purpose {IP} Cores}, booktitle = {Proceedings of 20th International Conference on Computer Communications and Networks, {ICCCN} 2011, Maui, Hawaii, USA, July 31 - August 4, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICCCN.2011.6005920}, doi = {10.1109/ICCCN.2011.6005920}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/SharifiWR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WuSR11, author = {Yen{-}Kuan Wu and Shervin Sharifi and Tajana Simunic Rosing}, title = {Distributed thermal management for embedded heterogeneous MPSoCs with dedicated hardware accelerators}, booktitle = {{IEEE} 29th International Conference on Computer Design, {ICCD} 2011, Amherst, MA, USA, October 9-12, 2011}, pages = {183--189}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCD.2011.6081395}, doi = {10.1109/ICCD.2011.6081395}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WuSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DondiZR11, author = {Denis Dondi and Piero Zappi and Tajana Simunic Rosing}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {A scheduling algorithm for consistent monitoring results with solar powered high-performance wireless embedded systems}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {259--264}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016861\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/DondiZR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AyoubOGJKDR11, author = {Raid Zuhair Ayoub and {\"{U}}mit Y. Ogras and Eugene Gorbatov and Yanqin Jin and Timothy Kam and Paul Diefenbaugh and Tajana Rosing}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {OS-level power minimization under tight performance constraints in general purpose systems}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {321--326}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016875\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/AyoubOGJKDR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/AksanliVZR11, author = {Baris Aksanli and Jagannathan Venkatesh and Liuyi Eric Zhang and Tajana Rosing}, editor = {Ricardo Bianchini and Prabal Dutta}, title = {Utilizing green energy prediction to schedule mixed batch and service jobs in data centers}, booktitle = {Proceedings of the 4th Workshop on Power-Aware Computing and Systems, HotPower '11, Cascais, Portugal, October 23, 2011}, pages = {5:1--5:5}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2039252.2039257}, doi = {10.1145/2039252.2039257}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sosp/AksanliVZR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SharifiR10, author = {Shervin Sharifi and Tajana Simunic Rosing}, title = {Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {10}, pages = {1586--1599}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2061310}, doi = {10.1109/TCAD.2010.2061310}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SharifiR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/DhimanMR10, author = {Gaurav Dhiman and Giacomo Marchetti and Tajana Rosing}, title = {vGreen: {A} System for Energy-Efficient Management of Virtual Machines}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {16}, number = {1}, pages = {6:1--6:27}, year = {2010}, url = {https://doi.org/10.1145/1870109.1870115}, doi = {10.1145/1870109.1870115}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/DhimanMR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SharifiCR10, author = {Shervin Sharifi and Ayse Kivilcim Coskun and Tajana Simunic Rosing}, title = {Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {873--878}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419681}, doi = {10.1109/ASPDAC.2010.5419681}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SharifiCR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AyoubR10, author = {Raid Zuhair Ayoub and Tajana Simunic Rosing}, title = {Cool and save: cooling aware dynamic workload scheduling in multi-socket {CPU} systems}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {891--896}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419676}, doi = {10.1109/ASPDAC.2010.5419676}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AyoubR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/AgheraKR10, author = {Priti Aghera and Dilip Krishnaswamy and Tajana Rosing}, editor = {Victor C. M. Leung and Athanasios V. Vasilakos and Thomas Falck and Karim Qayumi and Xinbing Wang}, title = {DynAGreen: hierarchical dynamic energy efficient task assignment for wireless healthcare systems}, booktitle = {5th International {ICST} Conference on Body Area Networks, {BODYNETS} 2010, Corfu Island, Greece, September 10-12, 2010}, pages = {33--36}, publisher = {{ACM} / {ICST}}, year = {2010}, url = {https://doi.org/10.1145/2221924.2221932}, doi = {10.1145/2221924.2221932}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/AgheraKR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DhimanMR10, author = {Gaurav Dhiman and Kresimir Mihic and Tajana Rosing}, editor = {Sachin S. Sapatnekar}, title = {A system for online power prediction in virtualized environments using Gaussian mixture models}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {807--812}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837478}, doi = {10.1145/1837274.1837478}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DhimanMR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoskunARBM10, author = {Ayse K. Coskun and David Atienza and Tajana Simunic Rosing and Thomas Brunschwiler and Bruno Michel}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Energy-efficient variable-flow liquid cooling in 3D stacked architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457228}, doi = {10.1109/DATE.2010.5457228}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/CoskunARBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AyoubSR10, author = {Raid Zuhair Ayoub and Shervin Sharifi and Tajana Simunic Rosing}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {GentleCool: Cooling aware proactive workload scheduling in multi-machine systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {295--298}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457191}, doi = {10.1109/DATE.2010.5457191}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AyoubSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RavinagarajanDR10, author = {A. Ravinagarajan and Denis Dondi and Tajana Simunic Rosing}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {{DVFS} based task scheduling in a harvesting {WSN} for Structural Health Monitoring}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1518--1523}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457052}, doi = {10.1109/DATE.2010.5457052}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RavinagarajanDR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AgheraKFCR10, author = {Priti Aghera and Dilip Krishnaswamy and Diana Fang and Ayse K. Coskun and Tajana Rosing}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {DynAHeal: Dynamic energy efficient task assignment for wireless healthcare systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1661--1664}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457080}, doi = {10.1109/DATE.2010.5457080}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AgheraKFCR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SharifiR10, author = {Shervin Sharifi and Tajana Simunic Rosing}, title = {Package-Aware Scheduling of embedded workloads for temperature and Energy management on heterogeneous MPSoCs}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {521--527}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647628}, doi = {10.1109/ICCD.2010.5647628}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SharifiR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AyoubIR10, author = {Raid Zuhair Ayoub and Krishnam Raju Indukuri and Tajana Simunic Rosing}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Energy efficient proactive thermal management in memory subsystem}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {195--200}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840884}, doi = {10.1145/1840845.1840884}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AyoubIR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DhimanKTRSC10, author = {Gaurav Dhiman and Vasileios Kontorinis and Dean M. Tullsen and Tajana Rosing and Eric Saxe and Jonathan Chew}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Dynamic workload characterization for power efficient scheduling on {CMP} systems}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {437--442}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840941}, doi = {10.1145/1840845.1840941}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/DhimanKTRSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DhimanR09, author = {Gaurav Dhiman and Tajana Simunic Rosing}, title = {System-Level Power Management Using Online Learning}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {5}, pages = {676--689}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2015740}, doi = {10.1109/TCAD.2009.2015740}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DhimanR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CoskunRG09, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Kenny C. Gross}, title = {Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {10}, pages = {1503--1516}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2026357}, doi = {10.1109/TCAD.2009.2026357}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CoskunRG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DhimanAR09, author = {Gaurav Dhiman and Raid Zuhair Ayoub and Tajana Rosing}, title = {{PDRAM:} a hybrid {PRAM} and {DRAM} main memory system}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {664--469}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630086}, doi = {10.1145/1629911.1630086}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DhimanAR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoskunAARL09, author = {Ayse K. Coskun and Jos{\'{e}} L. Ayala and David Atienza and Tajana Simunic Rosing and Yusuf Leblebici}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Dynamic thermal management in 3D multicore architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1410--1415}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090885}, doi = {10.1109/DATE.2009.5090885}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CoskunAARL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/CoskunKR09, author = {Ayse K. Coskun and Andrew B. Kahng and Tajana Simunic Rosing}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {183--190}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.233}, doi = {10.1109/DSD.2009.233}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/CoskunKR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/AgheraRFP09, author = {Priti Aghera and Tajana Simunic Rosing and Diana Fang and Kevin Patrick}, title = {Poster abstract: Energy management in wireless healthcare systems}, booktitle = {Proceedings of the 8th International Conference on Information Processing in Sensor Networks, {IPSN} 2009, April 13-16, 2009, San Francisco, California, {USA}}, pages = {363--364}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/document/5211916/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/AgheraRFP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AyoubR09, author = {Raid Zuhair Ayoub and Tajana Simunic Rosing}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {Predict and act: dynamic thermal management for multi-core processors}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {99--104}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594256}, doi = {10.1145/1594233.1594256}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AyoubR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DhimanMR09, author = {Gaurav Dhiman and Giacomo Marchetti and Tajana Rosing}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {vGreen: a system for energy efficient computing in virtualized environments}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {243--248}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594292}, doi = {10.1145/1594233.1594292}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/DhimanMR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/CoskunSTR09, author = {Ayse K. Coskun and Richard D. Strong and Dean M. Tullsen and Tajana Simunic Rosing}, editor = {John R. Douceur and Albert G. Greenberg and Thomas Bonald and Jason Nieh}, title = {Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors}, booktitle = {Proceedings of the Eleventh International Joint Conference on Measurement and Modeling of Computer Systems, SIGMETRICS/Performance 2009, Seattle, WA, USA, June 15-19, 2009}, pages = {169--180}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555349.1555369}, doi = {10.1145/1555349.1555369}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/CoskunSTR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/CoskunAAR09, author = {Ayse Kivilcim Coskun and Jos{\'{e}} L. Ayala and David Atienza and Tajana Simunic Rosing}, editor = {J{\"{u}}rgen Becker and Marcelo O. Johann and Ricardo Reis}, title = {Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures}, booktitle = {VLSI-SoC: Technologies for Systems Integration - 17th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2009, Florian{\'{o}}polis, Brazil, October 12-14, 2009, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {360}, pages = {34--55}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-23120-9\_3}, doi = {10.1007/978-3-642-23120-9\_3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/CoskunAAR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/CoskunRWG08, author = {Ayse K. Coskun and Tajana Simunic Rosing and Keith Whisnant and Kenny C. Gross}, title = {Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {9}, pages = {1127--1140}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000726}, doi = {10.1109/TVLSI.2008.2000726}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/CoskunRWG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CoskunRWG08, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Keith Whisnant and Kenny C. Gross}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Temperature-aware MPSoC scheduling for reducing hot spots and gradients}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {49--54}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484002}, doi = {10.1109/ASPDAC.2008.4484002}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CoskunRWG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CoskunRG08, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Kenny C. Gross}, editor = {Limor Fix}, title = {Temperature management in multiprocessor SoCs using online learning}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {890--893}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391693}, doi = {10.1145/1391469.1391693}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CoskunRG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SharifiR08, author = {Shervin Sharifi and Tajana Simunic Rosing}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {An analytical model for the upper bound on temperature differences on a chip}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {417--422}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366210}, doi = {10.1145/1366110.1366210}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/SharifiR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CoskunRG08, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Kenny C. Gross}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Proactive temperature balancing for low cost thermal management in MPSoCs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {250--257}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681582}, doi = {10.1109/ICCAD.2008.4681582}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CoskunRG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/CoskunRG08, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Kenny C. Gross}, editor = {Vijaykrishnan Narayanan and C. P. Ravikumar and J{\"{o}}rg Henkel and Ali Keshavarzi and Vojin G. Oklobdzija and Barry M. Pangrle}, title = {Proactive temperature management in MPSoCs}, booktitle = {Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008}, pages = {165--170}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1393921.1393966}, doi = {10.1145/1393921.1393966}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/CoskunRG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SharifiLR08, author = {Shervin Sharifi and Chunchen Liu and Tajana Simunic Rosing}, title = {Accurate Temperature Estimation for Efficient Thermal Management}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479714}, doi = {10.1109/ISQED.2008.4479714}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/SharifiLR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RosingMM07, author = {Tajana Simunic Rosing and Kresimir Mihic and Giovanni De Micheli}, title = {Power and Reliability Management of SoCs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {4}, pages = {391--403}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.895245}, doi = {10.1109/TVLSI.2007.895245}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RosingMM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoskunRW07, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Keith Whisnant}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Temperature aware task scheduling in MPSoCs}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1659--1664}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266730}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/CoskunRW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/MusianiLR07, author = {D. Musiani and K. Lin and Tajana Simunic Rosing}, editor = {Tarek F. Abdelzaher and Leonidas J. Guibas and Matt Welsh}, title = {Active sensing platform for wireless structural health monitoring}, booktitle = {Proceedings of the 6th International Conference on Information Processing in Sensor Networks, {IPSN} 2007, Cambridge, Massachusetts, USA, April 25-27, 2007}, pages = {390--399}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1236360.1236409}, doi = {10.1145/1236360.1236409}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/MusianiLR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DhimanR07, author = {Gaurav Dhiman and Tajana Simunic Rosing}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {Dynamic voltage frequency scaling for multi-tasking systems using online learning}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {207--212}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283825}, doi = {10.1145/1283780.1283825}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/DhimanR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/crc/KimR07, author = {Jihong Kim and Tajana Simunic Rosing}, editor = {Sang Hyuk Son and Insup Lee and Joseph Y.{-}T. Leung}, title = {Power-Aware Resource Management Techniques for Low-Power Embedded Systems}, booktitle = {Handbook of Real-Time and Embedded Systems}, publisher = {Chapman and Hall/CRC}, year = {2007}, url = {https://doi.org/10.1201/9781420011746.ch6}, doi = {10.1201/9781420011746.CH6}, timestamp = {Thu, 26 Jan 2023 14:05:55 +0100}, biburl = {https://dblp.org/rec/reference/crc/KimR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4816, author = {Tajana Simunic}, title = {Power Saving Techniques for Wireless LANs}, journal = {CoRR}, volume = {abs/0710.4816}, year = {2007}, url = {http://arxiv.org/abs/0710.4816}, eprinttype = {arXiv}, eprint = {0710.4816}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4816.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/CoskunSMML06, author = {Ayse Kivilcim Coskun and Tajana Simunic and Kresimir Mihic and Giovanni De Micheli and Yusuf Leblebici}, title = {Analysis and Optimization of MPSoC Reliability}, journal = {J. Low Power Electron.}, volume = {2}, number = {1}, pages = {56--69}, year = {2006}, url = {https://doi.org/10.1166/jolpe.2006.007}, doi = {10.1166/JOLPE.2006.007}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/CoskunSMML06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CoskunRLM06, author = {Ayse K. Coskun and Tajana Simunic Rosing and Yusuf Leblebici and Giovanni De Micheli}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {A simulation methodology for reliability analysis in multi-core SoCs}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {95--99}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1127933}, doi = {10.1145/1127908.1127933}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CoskunRLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DhimanR06, author = {Gaurav Dhiman and Tajana Simunic Rosing}, editor = {Soha Hassoun}, title = {Dynamic power management using machine learning}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {747--754}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233656}, doi = {10.1145/1233501.1233656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DhimanR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/LimSRJ06, author = {Daeseob Lim and Jaewook Shim and Tajana Simunic Rosing and Tara Javidi}, title = {Scheduling Data Delivery in Heterogeneous Wireless Sensor Networks}, booktitle = {Eigth {IEEE} International Symposium on Multimedia {(ISM} 2006), 11-13 December 2006, San Diego, CA, {USA}}, pages = {575--583}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISM.2006.133}, doi = {10.1109/ISM.2006.133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/LimSRJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DelaneySJ05, author = {Brian Delaney and Tajana Simunic and Nikil Jayant}, title = {Energy-Aware Distributed Speech Recognition for Wireless Mobile Devices}, journal = {{IEEE} Des. Test Comput.}, volume = {22}, number = {1}, pages = {39--49}, year = {2005}, url = {https://doi.org/10.1109/MDT.2005.9}, doi = {10.1109/MDT.2005.9}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DelaneySJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/AcquavivaSDR05, author = {Andrea Acquaviva and Tajana Simunic and Vinay Deolalikar and Sumit Roy}, title = {Remote power control of wireless network interfaces}, journal = {J. Embed. Comput.}, volume = {1}, number = {3}, pages = {381--389}, year = {2005}, url = {http://content.iospress.com/articles/journal-of-embedded-computing/jec00040}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/AcquavivaSDR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Simunic05, author = {Tajana Simunic}, title = {Power Saving Techniques for Wireless LANs}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {96--97}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.242}, doi = {10.1109/DATE.2005.242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Simunic05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/SimunicMM05, author = {Tajana Simunic and Kresimir Mihic and Giovanni De Micheli}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Optimization of Reliability and Power Consumption in Systems on a Chip}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {237--246}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_25}, doi = {10.1007/11556930\_25}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/SimunicMM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SimunicBG04, author = {Tajana Simunic and Stephen P. Boyd and Peter W. Glynn}, title = {Managing power consumption in networks on chips}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {1}, pages = {96--107}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2003.820533}, doi = {10.1109/TVLSI.2003.820533}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SimunicBG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MihicSM04, author = {Kresimir Mihic and Tajana Simunic and Giovanni De Micheli}, title = {Reliability and Power Management of Integrated Systems}, booktitle = {2004 Euromicro Symposium on Digital Systems Design {(DSD} 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France}, pages = {5--11}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DSD.2004.1333252}, doi = {10.1109/DSD.2004.1333252}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/MihicSM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CelebicanRM04, author = {Ozgur Celebican and Tajana Simunic Rosing and Vincent John Mooney III}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Energy estimation of peripheral devices in embedded systems}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {430--435}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.989055}, doi = {10.1145/988952.989055}, timestamp = {Fri, 20 Aug 2021 16:30:37 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CelebicanRM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmash/ManjunathSKTDSM04, author = {Geetha Manjunath and Tajana Simunic and Venkatesh Krishnan and Jean Tourrilhes and D. Das and Venugopal Srinivasmurthy and Alan A. McReynolds}, editor = {Giuseppe Bianchi and Sunghyun Choi and Bill N. Schilit}, title = {Smart edge server: beyond a wireless access point}, booktitle = {Proceedings of the 2nd {ACM} International Workshop on Wireless Mobile Applications and Services on {WLAN} Hotspots, {WMASH} 2004, Philadelphia, PA, USA, October 1, 2004}, pages = {41--50}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1024733.1024739}, doi = {10.1145/1024733.1024739}, timestamp = {Wed, 08 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmash/ManjunathSKTDSM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PeymandoustSM03, author = {Armita Peymandoust and Tajana Simunic and Giovanni De Micheli}, title = {Complex instruction and software library mapping for embedded software using symbolic algebra}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {8}, pages = {964--975}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.814951}, doi = {10.1109/TCAD.2003.814951}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PeymandoustSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/DelaneyJS03, author = {Brian Delaney and Nikil Jayant and Tajana Simunic}, editor = {Gerhard Fohler and Radu Marculescu}, title = {A {WLAN} Scheduling Algorithm to Reduce the Energy Consumption of a Distributed Speech Recognition Front-End}, booktitle = {First Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with {CODES-ISSS} 2003, Proceedings}, pages = {33--39}, year = {2003}, timestamp = {Thu, 17 Feb 2022 09:36:08 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/DelaneyJS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacs/QadeerRAKM03, author = {Wajahat Qadeer and Tajana Simunic Rosing and John Ankcorn and Venky Krishnan and Giovanni De Micheli}, editor = {Babak Falsafi and T. N. Vijaykumar}, title = {Heterogeneous Wireless Network Management}, booktitle = {Power-Aware Computer Systems, Third International Workshop, {PACS} 2003, SanDiego, CA, USA, December 1, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {3164}, pages = {86--100}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-28641-7\_7}, doi = {10.1007/978-3-540-28641-7\_7}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/pacs/QadeerRAKM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/AcquavivaSDR03, author = {Andrea Acquaviva and Tajana Simunic and Vinay Deolalikar and Sumit Roy}, editor = {Jorge Juan{-}Chico and Enrico Macii}, title = {Remote Power Control of Wireless Network Interfaces}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 13th International Workshop, {PATMOS} 2003, Torino, Italy, September 10-12, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2799}, pages = {369--378}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39762-5\_43}, doi = {10.1007/978-3-540-39762-5\_43}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/AcquavivaSDR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PeymandoustMS02, author = {Armita Peymandoust and Giovanni De Micheli and Tajana Simunic}, title = {Complex library mapping for embedded software using symbolic algebra}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {325--330}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514003}, doi = {10.1145/513918.514003}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PeymandoustMS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SimunicB02, author = {Tajana Simunic and Stephen P. Boyd}, title = {Managing Power Consumption in Networks on Chip}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {110--116}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998257}, doi = {10.1109/DATE.2002.998257}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SimunicB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PeymandoustSM02, author = {Armita Peymandoust and Tajana Simunic and Giovanni De Micheli}, title = {Low Power Embedded Software Optimization Using Symbolic Algebra}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {1052--1058}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998432}, doi = {10.1109/DATE.2002.998432}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PeymandoustSM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/DelaneyJHSA02, author = {Brian Delaney and Nikil Jayant and Mat Hans and Tajana Simunic and Andrea Acquaviva}, title = {A low-power, fixed-point, front-end feature extraction for a distributed speech recognition system}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2002, May 13-17 2002, Orlando, Florida, {USA}}, pages = {793--796}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICASSP.2002.5743837}, doi = {10.1109/ICASSP.2002.5743837}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/DelaneyJHSA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SimunicBGM01, author = {Tajana Simunic and Luca Benini and Peter W. Glynn and Giovanni De Micheli}, title = {Event-driven power management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {7}, pages = {840--857}, year = {2001}, url = {https://doi.org/10.1109/43.931003}, doi = {10.1109/43.931003}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SimunicBGM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SimunicBM01, author = {Tajana Simunic and Luca Benini and Giovanni De Micheli}, title = {Energy-efficient design of battery-powered embedded systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {1}, pages = {15--28}, year = {2001}, url = {https://doi.org/10.1109/92.920814}, doi = {10.1109/92.920814}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SimunicBM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SimunicBAGM01, author = {Tajana Simunic and Luca Benini and Andrea Acquaviva and Peter W. Glynn and Giovanni De Micheli}, title = {Dynamic Voltage Scaling and Power Management for Portable Systems}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {524--529}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379016}, doi = {10.1145/378239.379016}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SimunicBAGM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuCSMB00, author = {Yung{-}Hsiang Lu and Eui{-}Young Chung and Tajana Simunic and Giovanni De Micheli and Luca Benini}, editor = {Ivo Bolsens}, title = {Quantitative Comparison of Power Management Algorithms}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {20--26}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840010}, doi = {10.1109/DATE.2000.840010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LuCSMB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SimunicBGM00, author = {Tajana Simunic and Luca Benini and Peter W. Glynn and Giovanni De Micheli}, editor = {Ivo Bolsens}, title = {Dynamic Power Management of Laptop Hard Disk}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {736}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840869}, doi = {10.1109/DATE.2000.840869}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SimunicBGM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SimunicVGM00, author = {Tajana Simunic and Haris Vikalo and Peter W. Glynn and Giovanni De Micheli}, editor = {David T. Blaauw and Christian C. Enz and Thaddeus Gabara and Enrico Macii}, title = {Energy efficient design of portable wireless systems}, booktitle = {Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000}, pages = {49--54}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/344166.344197}, doi = {10.1145/344166.344197}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SimunicVGM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/SimunicMBH00, author = {Tajana Simunic and Giovanni De Micheli and Luca Benini and Mat Hans}, editor = {Fadi J. Kurdahi and Rom{\'{a}}n Hermida}, title = {Source Code Optimization and Profiling of Energy Consumption in Embedded Systems}, booktitle = {Proceedings of the 13th International Symposium on System Synthesis, ISSS'00, Madrid, Spain, September 20-22, 2000}, pages = {193--199}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISSS.2000.874049}, doi = {10.1109/ISSS.2000.874049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/SimunicMBH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/SimunicBGM00, author = {Tajana Simunic and Luca Benini and Peter W. Glynn and Giovanni De Micheli}, editor = {Raymond L. Pickholtz and Sajal K. Das and Ram{\'{o}}n C{\'{a}}ceres and J. J. Garcia{-}Luna{-}Aceves}, title = {Dynamic power management for portable systems}, booktitle = {{MOBICOM} 2000, Proceedings of the sixth annual international conference on Mobile computing and networking, Boston, MA, USA, August 6-11, 2000}, pages = {11--19}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/345910.345914}, doi = {10.1145/345910.345914}, timestamp = {Tue, 10 Sep 2019 13:47:28 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/SimunicBGM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LuSM99, author = {Yung{-}Hsiang Lu and Tajana Simunic and Giovanni De Micheli}, editor = {Ahmed Amine Jerraya and Luciano Lavagno and Frank Vahid}, title = {Software controlled power management}, booktitle = {Proceedings of the Seventh International Workshop on Hardware/Software Codesign, {CODES} 1999, Rome, Italy, 1999}, pages = {157--161}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/301177.301518}, doi = {10.1145/301177.301518}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/LuSM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SimunicBM99, author = {Tajana Simunic and Luca Benini and Giovanni De Micheli}, editor = {Mary Jane Irwin}, title = {Cycle-Accurate Simulation of Energy Consumption in Embedded Systems}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {867--872}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.310090}, doi = {10.1145/309847.310090}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SimunicBM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SimunicBM99, author = {Tajana Simunic and Luca Benini and Giovanni De Micheli}, editor = {Farid N. Najm and Jason Cong and David T. Blaauw}, title = {Energy-efficient design of battery-powered embedded systems}, booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}, pages = {212--217}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/313817.313928}, doi = {10.1145/313817.313928}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SimunicBM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/SimunicMB99, author = {Tajana Simunic and Giovanni De Micheli and Luca Benini}, title = {Event-Driven Power Management of Portable Systems}, booktitle = {Proceedings of the 12th International Symposium on System Synthesis, {ISSS} '99, Boca Raton, Florida, USA, November 1-4, 1999}, pages = {18--23}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISSS.1999.814255}, doi = {10.1109/ISSS.1999.814255}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/SimunicMB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.