BibTeX records: Sang-Pil Sim

download as .bib file

@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15,
  author       = {Taejoong Song and
                  Woojin Rim and
                  Jonghoon Jung and
                  Giyong Yang and
                  Jaeho Park and
                  Sunghyun Park and
                  Yongho Kim and
                  Kang{-}Hyun Baek and
                  Sanghoon Baek and
                  Sang{-}Kyu Oh and
                  Jinsuk Jung and
                  Sungbong Kim and
                  Gyu{-}Hong Kim and
                  Jintae Kim and
                  Young{-}Keun Lee and
                  Sang{-}Pil Sim and
                  Jong Shik Yoon and
                  Kyu{-}Myung Choi and
                  Hyo{-}Sig Won and
                  Jaehong Park},
  title        = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement
                  Techniques for Low-Power Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {50},
  number       = {1},
  pages        = {158--169},
  year         = {2015},
  url          = {https://doi.org/10.1109/JSSC.2014.2362842},
  doi          = {10.1109/JSSC.2014.2362842},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14,
  author       = {Taejoong Song and
                  Woojin Rim and
                  Jonghoon Jung and
                  Giyong Yang and
                  Jaeho Park and
                  Sunghyun Park and
                  Kang{-}Hyun Baek and
                  Sanghoon Baek and
                  Sang{-}Kyu Oh and
                  Jinsuk Jung and
                  Sungbong Kim and
                  Gyu{-}Hong Kim and
                  Jintae Kim and
                  Young{-}Keun Lee and
                  Kee Sup Kim and
                  Sang{-}Pil Sim and
                  Jong Shik Yoon and
                  Kyu{-}Myung Choi},
  title        = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques
                  for low-power applications},
  booktitle    = {2014 {IEEE} International Conference on Solid-State Circuits Conference,
                  {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA,
                  February 9-13, 2014},
  pages        = {232--233},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSCC.2014.6757413},
  doi          = {10.1109/ISSCC.2014.6757413},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics