default search action
BibTeX records: Li Shang
@article{DBLP:journals/access/HuangZZCS24, author = {Bo Huang and Yan Zhou and Xu Zhang and Jie Chen and Li Shang}, title = {Computation Offloading and Resource Allocation for Vehicle-Assisted Edge Computing Networks With Joint Access and Backhaul}, journal = {{IEEE} Access}, volume = {12}, pages = {110248--110259}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3440000}, doi = {10.1109/ACCESS.2024.3440000}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuangZZCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/XuXLZZWDCLDYLGS24, author = {Zhenyu Xu and Hailin Xu and Zhouyang Lu and Yingying Zhao and Rui Zhu and Yujiang Wang and Mingzhi Dong and Yuhu Chang and Qin Lv and Robert P. Dick and Fan Yang and Tun Lu and Ning Gu and Li Shang}, title = {Can Large Language Models Be Good Companions?: An LLM-Based Eyewear System with Conversational Common Ground}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {8}, number = {2}, pages = {87:1--87:41}, year = {2024}, url = {https://doi.org/10.1145/3659600}, doi = {10.1145/3659600}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/XuXLZZWDCLDYLGS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scpe/Shang24a, author = {Li Shang}, title = {Energy Saving and Emission Reduction Optimization of Enterprise Hazardous Waste Recycling Management System based on Hybrid Genetic Algorithm}, journal = {Scalable Comput. Pract. Exp.}, volume = {25}, number = {4}, pages = {3033--3047}, year = {2024}, url = {https://doi.org/10.12694/scpe.v25i4.2979}, doi = {10.12694/SCPE.V25I4.2979}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scpe/Shang24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuYSZ24, author = {Lihao Liu and Fan Yang and Li Shang and Xuan Zeng}, title = {GNN-Cap: Chip-Scale Interconnect Capacitance Extraction Using Graph Neural Network}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {4}, pages = {1206--1217}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3331942}, doi = {10.1109/TCAD.2023.3331942}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuYSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuZWYZS24, author = {Yiting Liu and Hai Zhou and Jia Wang and Fan Yang and Xuan Zeng and Li Shang}, title = {Hierarchical Graph Learning-Based Floorplanning With Dirichlet Boundary Conditions}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {5}, pages = {810--822}, year = {2024}, url = {https://doi.org/10.1109/TVLSI.2024.3363666}, doi = {10.1109/TVLSI.2024.3363666}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuZWYZS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HuangGZS24, author = {Bo Huang and Aihuang Guo and Yan Zhou and Li Shang}, title = {Downlink Coverage Probability and Rate Analysis Based on Backhaul-Access Pair in Moving Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {6}, pages = {8928--8941}, year = {2024}, url = {https://doi.org/10.1109/TVT.2024.3361972}, doi = {10.1109/TVT.2024.3361972}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/HuangGZS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenMYS024, author = {Zihao Chen and Songlei Meng and Fan Yang and Li Shang and Xuan Zeng}, title = {{MACRO:} Multi-agent Reinforcement Learning-based Cross-layer Optimization of Operational Amplifier}, booktitle = {Proceedings of the 29th Asia and South Pacific Design Automation Conference, {ASPDAC} 2024, Incheon, Korea, January 22-25, 2024}, pages = {423--428}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ASP-DAC58780.2024.10473977}, doi = {10.1109/ASP-DAC58780.2024.10473977}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenMYS024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuYSY24, author = {Zheng Wu and Xiaoling Yi and Li Shang and Fan Yang}, title = {SenseDSE: Sensitivity-Based Performance Evaluation for Design Space Exploration of Microarchitecture}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546673}, timestamp = {Mon, 17 Jun 2024 14:45:14 +0200}, biburl = {https://dblp.org/rec/conf/date/WuYSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangH24, author = {Li Shang and Bo Huang}, editor = {De{-}Shuang Huang and Wei Chen and Yijie Pan}, title = {Palmprint Recognition Using {SC-LNMF} Model in Gabor Domain}, booktitle = {Advanced Intelligent Computing Technology and Applications - 20th International Conference, {ICIC} 2024, Tianjin, China, August 5-8, 2024, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {14869}, pages = {167--178}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-5603-2\_14}, doi = {10.1007/978-981-97-5603-2\_14}, timestamp = {Thu, 08 Aug 2024 08:41:10 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/XiaLGLZSG24, author = {Jiafeng Xia and Dongsheng Li and Hansu Gu and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, editor = {Luz Angelica Caudillo{-}Mata and Silvio Lattanzi and Andr{\'{e}}s Mu{\~{n}}oz Medina and Leman Akoglu and Aristides Gionis and Sergei Vassilvitskii}, title = {Neural Kalman Filtering for Robust Temporal Recommendation}, booktitle = {Proceedings of the 17th {ACM} International Conference on Web Search and Data Mining, {WSDM} 2024, Merida, Mexico, March 4-8, 2024}, pages = {836--845}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3616855.3635837}, doi = {10.1145/3616855.3635837}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/XiaLGLZSG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/Xia0GL0SG24, author = {Jiafeng Xia and Dongsheng Li and Hansu Gu and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Ravi Kumar and Hady W. Lauw and Roy Ka{-}Wei Lee}, title = {Hierarchical Graph Signal Processing for Collaborative Filtering}, booktitle = {Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, May 13-17, 2024}, pages = {3229--3240}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589334.3645368}, doi = {10.1145/3589334.3645368}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/Xia0GL0SG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08426, author = {Jiafeng Xia and Dongsheng Li and Hansu Gu and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, title = {Frequency-aware Graph Signal Processing for Collaborative Filtering}, journal = {CoRR}, volume = {abs/2402.08426}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08426}, doi = {10.48550/ARXIV.2402.08426}, eprinttype = {arXiv}, eprint = {2402.08426}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-07527, author = {Yubin Shi and Yixuan Chen and Mingzhi Dong and Xiaochen Yang and Dongsheng Li and Yujiang Wang and Robert P. Dick and Qin Lv and Yingying Zhao and Fan Yang and Tun Lu and Ning Gu and Li Shang}, title = {Train Faster, Perform Better: Modular Adaptive Training in Over-Parameterized Models}, journal = {CoRR}, volume = {abs/2405.07527}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.07527}, doi = {10.48550/ARXIV.2405.07527}, eprinttype = {arXiv}, eprint = {2405.07527}, timestamp = {Sat, 15 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-07527.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-07886, author = {Hongyang Pan and Cunqing Lan and Yiting Liu and Zhiang Wang and Li Shang and Xuan Zeng and Fan Yang and Keren Zhu}, title = {Physically Aware Synthesis Revisited: Guiding Technology Mapping with Primitive Logic Gate Placement}, journal = {CoRR}, volume = {abs/2408.07886}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.07886}, doi = {10.48550/ARXIV.2408.07886}, eprinttype = {arXiv}, eprint = {2408.07886}, timestamp = {Mon, 23 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-07886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuLLSC23, author = {Lianzhou Yu and Qi Li and Jiping Li and Li Shang and Gang Chen}, title = {Research on Thermal Compensation of x-Axis Partition of Drilling and Tapping Center Machine Tools}, journal = {{IEEE} Access}, volume = {11}, pages = {10751--10761}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3240770}, doi = {10.1109/ACCESS.2023.3240770}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YuLLSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computation/ShangZTCYPL23, author = {Li Shang and Zi Zhang and Fujian Tang and Qi Cao and Nita Yodo and Hong Pan and Zhibin Lin}, title = {Deep Learning Enriched Automation in Damage Detection for Sustainable Operation in Pipelines with Welding Defects under Varying Embedment Conditions}, journal = {Comput.}, volume = {11}, number = {11}, pages = {218}, year = {2023}, url = {https://doi.org/10.3390/computation11110218}, doi = {10.3390/COMPUTATION11110218}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computation/ShangZTCYPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/QiLPZZDCLDYLGS23, author = {Xiangyao Qi and Qi Lu and Wentao Pan and Yingying Zhao and Rui Zhu and Mingzhi Dong and Yuhu Chang and Qin Lv and Robert P. Dick and Fan Yang and Tun Lu and Ning Gu and Li Shang}, title = {{CASES:} {A} Cognition-Aware Smart Eyewear System for Understanding How People Read}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {3}, pages = {115:1--115:31}, year = {2023}, url = {https://doi.org/10.1145/3610910}, doi = {10.1145/3610910}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/QiLPZZDCLDYLGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShangZTCPL23, author = {Li Shang and Zi Zhang and Fujian Tang and Qi Cao and Hong Pan and Zhibin Lin}, title = {{CNN-LSTM} Hybrid Model to Promote Signal Processing of Ultrasonic Guided Lamb Waves for Damage Detection in Metallic Pipelines}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7059}, year = {2023}, url = {https://doi.org/10.3390/s23167059}, doi = {10.3390/S23167059}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ShangZTCPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LuLHYSZ23, author = {Jialin Lu and Liangbo Lei and Jiangli Huang and Fan Yang and Li Shang and Xuan Zeng}, title = {Automatic Op-Amp Generation From Specification to Layout}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {12}, pages = {4378--4390}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3296374}, doi = {10.1109/TCAD.2023.3296374}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LuLHYSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LuLYSZ23, author = {Jialin Lu and Yijie Li and Fan Yang and Li Shang and Xuan Zeng}, title = {High-Level Topology Synthesis Method for {\(\Delta\)}-{\(\Sigma\)} Modulators via Bi-Level Bayesian Optimization}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {12}, pages = {4389--4393}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3292389}, doi = {10.1109/TCSII.2023.3292389}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LuLYSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LiuJLDZWYZS23, author = {Yiting Liu and Ziyi Ju and Zhengming Li and Mingzhi Dong and Hai Zhou and Jia Wang and Fan Yang and Xuan Zeng and Li Shang}, title = {GraphPlanner: Floorplanning with Graph Neural Network}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {2}, pages = {21:1--21:24}, year = {2023}, url = {https://doi.org/10.1145/3555804}, doi = {10.1145/3555804}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/LiuJLDZWYZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MarkovYSZ23, author = {Igor L. Markov and Fan Yang and Li Shang and Hai Zhou}, title = {Guest Editor's Introduction: Machine Learning for {VLSI} Physical Design}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {4}, pages = {48:1--48:3}, year = {2023}, url = {https://doi.org/10.1145/3592606}, doi = {10.1145/3592606}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/MarkovYSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SunYYSZ23, author = {Shuyuan Sun and Fan Yang and Bei Yu and Li Shang and Xuan Zeng}, title = {Efficient {ILT} via Multi-level Lithography Simulation}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247704}, doi = {10.1109/DAC56929.2023.10247704}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/SunYYSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YiLXXSY23, author = {Xiaoling Yi and Jialin Lu and Xiankui Xiong and Dong Xu and Li Shang and Fan Yang}, title = {Graph Representation Learning for Microarchitecture Design Space Exploration}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247687}, doi = {10.1109/DAC56929.2023.10247687}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YiLXXSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoWLBLYYSZZ23, author = {Aidong Zhao and Xianan Wang and Zixiao Lin and Zhaori Bi and Xudong Li and Changhao Yan and Fan Yang and Li Shang and Dian Zhou and Xuan Zeng}, title = {cVTS: {A} Constrained Voronoi Tree Search Method for High Dimensional Analog Circuit Synthesis}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247994}, doi = {10.1109/DAC56929.2023.10247994}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoWLBLYYSZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenYSZ23, author = {Zihao Chen and Fan Yang and Li Shang and Xuan Zeng}, title = {Automated and Agile Design of Layout Hotspot Detector via Neural Architecture Search}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137142}, doi = {10.23919/DATE56975.2023.10137142}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenYSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PuSHYSZ23, author = {Ruiyao Pu and Yiwei Sun and Pei{-}Hsin Ho and Fan Yang and Li Shang and Xuan Zeng}, title = {Sphinx: {A} Hybrid Boolean Processor-FPGA Hardware Emulation System}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323694}, doi = {10.1109/ICCAD57390.2023.10323694}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PuSHYSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZH23, author = {Li Shang and Yuze Zhang and Bo Huang}, editor = {De{-}Shuang Huang and Prashan Premaratne and Baohua Jin and Boyang Qu and Kang{-}Hyun Jo and Abir Hussain}, title = {Palmprint Recognition Utilizing Modified {LNMF} Method}, booktitle = {Advanced Intelligent Computing Technology and Applications - 19th International Conference, {ICIC} 2023, Zhengzhou, China, August 10-13, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14087}, pages = {377--388}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-4742-3\_31}, doi = {10.1007/978-981-99-4742-3\_31}, timestamp = {Sat, 12 Aug 2023 19:37:58 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0003SDY00DLZ0GS23, author = {Yixuan Chen and Yubin Shi and Mingzhi Dong and Xiaochen Yang and Dongsheng Li and Yujiang Wang and Robert P. Dick and Qin Lv and Yingying Zhao and Fan Yang and Ning Gu and Li Shang}, title = {Over-parameterized Model Optimization with Polyak-{\L}ojasiewicz Condition}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=aBIpZvMdS56}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/0003SDY00DLZ0GS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChenM0S023, author = {Zihao Chen and Songlei Meng and Fan Yang and Li Shang and Xuan Zeng}, title = {{TOTAL:} Topology Optimization of Operational Amplifier via Reinforcement Learning}, booktitle = {24th International Symposium on Quality Electronic Design, {ISQED} 2023, San Francisco, CA, USA, April 5-7, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISQED57927.2023.10129336}, doi = {10.1109/ISQED57927.2023.10129336}, timestamp = {Thu, 01 Jun 2023 22:29:52 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ChenM0S023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/Shi0DYL0DLZ0LGS23, author = {Yubin Shi and Yixuan Chen and Mingzhi Dong and Xiaochen Yang and Dongsheng Li and Yujiang Wang and Robert P. Dick and Qin Lv and Yingying Zhao and Fan Yang and Tun Lu and Ning Gu and Li Shang}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Train Faster, Perform Better: Modular Adaptive Training in Over-Parameterized Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/516fd05dc408fd6d6374940a83930193-Abstract-Conference.html}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/Shi0DYL0DLZ0LGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LiuLGL0SG23, author = {Jiahao Liu and Dongsheng Li and Hansu Gu and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, editor = {Hsin{-}Hsi Chen and Wei{-}Jou (Edward) Duh and Hen{-}Hsen Huang and Makoto P. Kato and Josiane Mothe and Barbara Poblete}, title = {Triple Structural Information Modelling for Accurate, Explainable and Interactive Recommendation}, booktitle = {Proceedings of the 46th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2023, Taipei, Taiwan, July 23-27, 2023}, pages = {1086--1095}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539618.3591779}, doi = {10.1145/3539618.3591779}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/LiuLGL0SG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LiuLGL0SG23, author = {Jiahao Liu and Dongsheng Li and Hansu Gu and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Personalized Graph Signal Processing for Collaborative Filtering}, booktitle = {Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {1264--1272}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543507.3583466}, doi = {10.1145/3543507.3583466}, timestamp = {Mon, 28 Aug 2023 21:17:10 +0200}, biburl = {https://dblp.org/rec/conf/www/LiuLGL0SG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-02113, author = {Jiahao Liu and Dongsheng Li and Hansu Gu and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, title = {Personalized Graph Signal Processing for Collaborative Filtering}, journal = {CoRR}, volume = {abs/2302.02113}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.02113}, doi = {10.48550/ARXIV.2302.02113}, eprinttype = {arXiv}, eprint = {2302.02113}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-02113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-11528, author = {Jiahao Liu and Dongsheng Li and Hansu Gu and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, title = {Triple Structural Information Modelling for Accurate, Explainable and Interactive Recommendation}, journal = {CoRR}, volume = {abs/2304.11528}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.11528}, doi = {10.48550/ARXIV.2304.11528}, eprinttype = {arXiv}, eprint = {2304.11528}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-11528.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-03711, author = {Yujiang Wang and Anshul Thakur and Mingzhi Dong and Pingchuan Ma and Stavros Petridis and Li Shang and Tingting Zhu and David A. Clifton}, title = {Is dataset condensation a silver bullet for healthcare data sharing?}, journal = {CoRR}, volume = {abs/2305.03711}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.03711}, doi = {10.48550/ARXIV.2305.03711}, eprinttype = {arXiv}, eprint = {2305.03711}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-03711.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14103, author = {Guangping Zhang and Dongsheng Li and Hansu Gu and Tun Lu and Li Shang and Ning Gu}, title = {Simulating News Recommendation Ecosystem for Fun and Profit}, journal = {CoRR}, volume = {abs/2305.14103}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14103}, doi = {10.48550/ARXIV.2305.14103}, eprinttype = {arXiv}, eprint = {2305.14103}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-15960, author = {Jiahao Liu and Dongsheng Li and Hansu Gu and Tun Lu and Jiongran Wu and Peng Zhang and Li Shang and Ning Gu}, title = {Recommendation Unlearning via Matrix Correction}, journal = {CoRR}, volume = {abs/2307.15960}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.15960}, doi = {10.48550/ARXIV.2307.15960}, eprinttype = {arXiv}, eprint = {2307.15960}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-15960.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04625, author = {Fangye Wang and Yingxu Wang and Hansu Gu and Dongsheng Li and Tun Lu and Peng Zhang and Li Shang and Ning Gu}, title = {A Comprehensive Summarization and Evaluation of Feature Refinement Modules for {CTR} Prediction}, journal = {CoRR}, volume = {abs/2311.04625}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04625}, doi = {10.48550/ARXIV.2311.04625}, eprinttype = {arXiv}, eprint = {2311.04625}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-18251, author = {Zhenyu Xu and Hailin Xu and Zhouyang Lu and Yingying Zhao and Rui Zhu and Yujiang Wang and Mingzhi Dong and Yuhu Chang and Qin Lv and Robert P. Dick and Fan Yang and Tun Lu and Ning Gu and Li Shang}, title = {Can Large Language Models Be Good Companions? An LLM-Based Eyewear System with Conversational Common Ground}, journal = {CoRR}, volume = {abs/2311.18251}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.18251}, doi = {10.48550/ARXIV.2311.18251}, eprinttype = {arXiv}, eprint = {2311.18251}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-18251.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccftpci/DingSW22, author = {Xianghua Ding and Li Shang and Hao{-}Chuan Wang}, title = {Editorial for special issue on human-centered cooperative computing}, journal = {{CCF} Trans. Pervasive Comput. Interact.}, volume = {4}, number = {2}, pages = {103--105}, year = {2022}, url = {https://doi.org/10.1007/s42486-022-00099-1}, doi = {10.1007/S42486-022-00099-1}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccftpci/DingSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ZhaoCLWDLDYLGS22, author = {Yingying Zhao and Yuhu Chang and Yutian Lu and Yujiang Wang and Mingzhi Dong and Qin Lv and Robert P. Dick and Fan Yang and Tun Lu and Ning Gu and Li Shang}, title = {Do Smart Glasses Dream of Sentimental Visions?: Deep Emotionship Analysis for Eyewear Devices}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {6}, number = {1}, pages = {38:1--38:29}, year = {2022}, url = {https://doi.org/10.1145/3517250}, doi = {10.1145/3517250}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ZhaoCLWDLDYLGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ZhaoLPWDDLDLYLG22, author = {Yingying Zhao and Ning Li and Wentao Pan and Yujiang Wang and Mingzhi Dong and Sharon Xianghua Ding and Qin Lv and Robert P. Dick and Dongsheng Li and Fan Yang and Tun Lu and Ning Gu and Li Shang}, title = {Unveiling Causal Attention in Dogs' Eyes with Smart Eyewear}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {6}, number = {4}, pages = {199:1--199:33}, year = {2022}, url = {https://doi.org/10.1145/3569490}, doi = {10.1145/3569490}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imwut/ZhaoLPWDDLDLYLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ZhaoDWFLDLLGS22, author = {Yingying Zhao and Mingzhi Dong and Yujiang Wang and Da Feng and Qin Lv and Robert P. Dick and Dongsheng Li and Tun Lu and Ning Gu and Li Shang}, title = {A Reinforcement-Learning-Based Energy-Efficient Framework for Multi-Task Video Analytics Pipeline}, journal = {{IEEE} Trans. Multim.}, volume = {24}, pages = {2150--2163}, year = {2022}, url = {https://doi.org/10.1109/TMM.2021.3076612}, doi = {10.1109/TMM.2021.3076612}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/ZhaoDWFLDLLGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuJLDZWY0S22, author = {Yiting Liu and Ziyi Ju and Zhengming Li and Mingzhi Dong and Hai Zhou and Jia Wang and Fan Yang and Xuan Zeng and Li Shang}, editor = {Rob Oshana}, title = {Floorplanning with graph attention}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1303--1308}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530484}, doi = {10.1145/3489517.3530484}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuJLDZWY0S22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuLYSZ22, author = {Jialin Lu and Liangbo Lei and Fan Yang and Li Shang and Xuan Zeng}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Topology Optimization of Operational Amplifier in Continuous Space via Graph Embedding}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {142--147}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774676}, doi = {10.23919/DATE54114.2022.9774676}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/LuLYSZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZS22, author = {Li Shang and Yuze Zhang and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Junfeng Jing and Prashan Premaratne and Vitoantonio Bevilacqua and Abir Hussain}, title = {Palmprint Recognition Using the Combined Method of {BEMD} and {WCB-NNSC}}, booktitle = {Intelligent Computing Theories and Application - 18th International Conference, {ICIC} 2022, Xi'an, China, August 7-11, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13393}, pages = {456--463}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-13870-6\_38}, doi = {10.1007/978-3-031-13870-6\_38}, timestamp = {Tue, 16 Aug 2022 16:15:39 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZS22a, author = {Li Shang and Yuze Zhang and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Junfeng Jing and Prashan Premaratne and Vitoantonio Bevilacqua and Abir Hussain}, title = {Palmprint Feature Extraction Utilizing {WTA-ICA} in Contourlet Domain}, booktitle = {Intelligent Computing Theories and Application - 18th International Conference, {ICIC} 2022, Xi'an, China, August 7-11, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13393}, pages = {464--471}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-13870-6\_39}, doi = {10.1007/978-3-031-13870-6\_39}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0003S00DZDLYS22, author = {Yixuan Chen and Yubin Shi and Dongsheng Li and Yujiang Wang and Mingzhi Dong and Yingying Zhao and Robert P. Dick and Qin Lv and Fan Yang and Li Shang}, title = {Recursive Disentanglement Network}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=CSfcOznpDY}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/0003S00DZDLYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/0003LZSLTS22, author = {Yixuan Chen and Dongsheng Li and Peng Zhang and Jie Sui and Qin Lv and Tun Lu and Li Shang}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Cross-modal Ambiguity Learning for Multimodal Fake News Detection}, booktitle = {{WWW} '22: The {ACM} Web Conference 2022, Virtual Event, Lyon, France, April 25 - 29, 2022}, pages = {2897--2905}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3485447.3511968}, doi = {10.1145/3485447.3511968}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/0003LZSLTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-09933, author = {Yingying Zhao and Yuhu Chang and Yutian Lu and Yujiang Wang and Mingzhi Dong and Qin Lv and Robert P. Dick and Fan Yang and Tun Lu and Ning Gu and Li Shang}, title = {Do Smart Glasses Dream of Sentimental Visions? Deep Emotionship Analysis for Eyewear Devices}, journal = {CoRR}, volume = {abs/2201.09933}, year = {2022}, url = {https://arxiv.org/abs/2201.09933}, eprinttype = {arXiv}, eprint = {2201.09933}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-09933.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ChangZDWLLDLGS21, author = {Yuhu Chang and Yingying Zhao and Mingzhi Dong and Yujiang Wang and Yutian Lu and Qin Lv and Robert P. Dick and Tun Lu and Ning Gu and Li Shang}, title = {MemX: An Attention-Aware Smart Eyewear System for Personalized Moment Auto-capture}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {5}, number = {2}, pages = {56:1--56:23}, year = {2021}, url = {https://doi.org/10.1145/3463509}, doi = {10.1145/3463509}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ChangZDWLLDLGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-04443, author = {Yingying Zhao and Mingzhi Dong and Yujiang Wang and Da Feng and Qin Lv and Robert P. Dick and Dongsheng Li and Tun Lu and Ning Gu and Li Shang}, title = {A Reinforcement-Learning-Based Energy-Efficient Framework for Multi-Task Video Analytics Pipeline}, journal = {CoRR}, volume = {abs/2104.04443}, year = {2021}, url = {https://arxiv.org/abs/2104.04443}, eprinttype = {arXiv}, eprint = {2104.04443}, timestamp = {Thu, 16 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-04443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-00916, author = {Yuhu Chang and Yingying Zhao and Mingzhi Dong and Yujiang Wang and Yutian Lu and Qin Lv and Robert P. Dick and Tun Lu and Ning Gu and Li Shang}, title = {MemX: An Attention-Aware Smart Eyewear System for Personalized Moment Auto-capture}, journal = {CoRR}, volume = {abs/2105.00916}, year = {2021}, url = {https://arxiv.org/abs/2105.00916}, eprinttype = {arXiv}, eprint = {2105.00916}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-00916.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DickSWY20, author = {Robert P. Dick and Li Shang and Marilyn Wolf and Shao{-}Wen Yang}, title = {Guest Editors' Introduction: Embedded Intelligence in the Internet-of-Things}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {5--6}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2957370}, doi = {10.1109/MDAT.2019.2957370}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DickSWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DickSWY20a, author = {Robert P. Dick and Li Shang and Marilyn Wolf and Shao{-}Wen Yang}, title = {Embedded Intelligence in the Internet-of-Things}, journal = {{IEEE} Des. Test}, volume = {37}, number = {1}, pages = {7--27}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2957352}, doi = {10.1109/MDAT.2019.2957352}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DickSWY20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/0002S20, author = {Yan Zhou and Li Shang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Abir Hussain}, title = {Time Sequence Features Extraction Algorithm of Lying Speech Based on Sparse {CNN} and {LSTM}}, booktitle = {Intelligent Computing Theories and Application - 16th International Conference, {ICIC} 2020, Bari, Italy, October 2-5, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12463}, pages = {95--104}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60799-9\_8}, doi = {10.1007/978-3-030-60799-9\_8}, timestamp = {Fri, 16 Oct 2020 14:32:08 +0200}, biburl = {https://dblp.org/rec/conf/icic/0002S20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ShangD19, author = {Li Shang and Weihong Deng}, title = {Blended Emotion in-the-Wild: Multi-label Facial Expression Recognition Using Crowdsourced Annotations and Deep Locality Feature Learning}, journal = {Int. J. Comput. Vis.}, volume = {127}, number = {6-7}, pages = {884--906}, year = {2019}, url = {https://doi.org/10.1007/s11263-018-1131-1}, doi = {10.1007/S11263-018-1131-1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ShangD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ShangZZFZY19, author = {Li Shang and Wei Zhao and Jiaju Zhang and Qiang Fu and Qian Zhao and Yang Yang}, title = {Network Security Situation Prediction Based on Long Short-Term Memory Network}, booktitle = {20th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2019, Matsue, Japan, September 18-20, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/APNOMS.2019.8893096}, doi = {10.23919/APNOMS.2019.8893096}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/ShangZZFZY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/QinCYQSHW19, author = {Wei Qin and Xue Cui and Chang{-}An Yuan and Xiao Qin and Li Shang and Zhi{-}Kai Huang and Si{-}Zhe Wan}, editor = {De{-}Shuang Huang and Zhi{-}Kai Huang and Abir Hussain}, title = {Flower Species Recognition System Combining Object Detection and Attention Mechanism}, booktitle = {Intelligent Computing Methodologies - 15th International Conference, {ICIC} 2019, Nanchang, China, August 3-6, 2019, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11645}, pages = {1--8}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26766-7\_1}, doi = {10.1007/978-3-030-26766-7\_1}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/QinCYQSHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/Shang0S19, author = {Li Shang and Yan Zhou and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Palm Recognition Using the Adaptive {LWT} Based Sparse Representation Method}, booktitle = {Intelligent Computing Theories and Application - 15th International Conference, {ICIC} 2019, Nanchang, China, August 3-6, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11643}, pages = {208--217}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26763-6\_20}, doi = {10.1007/978-3-030-26763-6\_20}, timestamp = {Tue, 30 Jul 2019 13:29:10 +0200}, biburl = {https://dblp.org/rec/conf/icic/Shang0S19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/JiaoZ0QS19, author = {Zhihao Jiao and Lijun Zhang and Chang{-}an Yuan and Xiao Qin and Li Shang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Plant Leaf Recognition Based on Conditional Generative Adversarial Nets}, booktitle = {Intelligent Computing Theories and Application - 15th International Conference, {ICIC} 2019, Nanchang, China, August 3-6, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11643}, pages = {312--319}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26763-6\_30}, doi = {10.1007/978-3-030-26763-6\_30}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/JiaoZ0QS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhengYSH19, author = {Yang Zheng and Chang{-}an Yuan and Li Shang and Zhi{-}Kai Huang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Leaf Recognition Based on Capsule Network}, booktitle = {Intelligent Computing Theories and Application - 15th International Conference, {ICIC} 2019, Nanchang, China, August 3-6, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11643}, pages = {320--325}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26763-6\_31}, doi = {10.1007/978-3-030-26763-6\_31}, timestamp = {Wed, 31 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhengYSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/Yu0QHS19, author = {Wenbo Yu and Chang{-}An Yuan and Xiao Qin and Zhi{-}Kai Huang and Li Shang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Zhi{-}Kai Huang}, title = {Hierarchical Attention Network for Predicting DNA-Protein Binding Sites}, booktitle = {Intelligent Computing Theories and Application - 15th International Conference, {ICIC} 2019, Nanchang, China, August 3-6, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11644}, pages = {366--373}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26969-2\_35}, doi = {10.1007/978-3-030-26969-2\_35}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/Yu0QHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WangZYQHS19, author = {Dailun Wang and Qinhu Zhang and Chang{-}An Yuan and Xiao Qin and Zhi{-}Kai Huang and Li Shang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Zhi{-}Kai Huang}, title = {Motif Discovery via Convolutional Networks with K-mer Embedding}, booktitle = {Intelligent Computing Theories and Application - 15th International Conference, {ICIC} 2019, Nanchang, China, August 3-6, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11644}, pages = {374--382}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26969-2\_36}, doi = {10.1007/978-3-030-26969-2\_36}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/WangZYQHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csps/ShangJ18, author = {Li Shang and Zhou Ji}, editor = {Qilian Liang and Xin Liu and Zhenyu Na and Wei Wang and Jiasong Mu and Baoju Zhang}, title = {Construction of Microwave Network Topology Based on Disjoint Path Algorithm}, booktitle = {Communications, Signal Processing, and Systems - Proceedings of the 2018 CSPS, Volume {I:} Communications, Dalian, China, 14-16 July 2018}, series = {Lecture Notes in Electrical Engineering}, volume = {515}, pages = {638--645}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-6264-4\_76}, doi = {10.1007/978-981-13-6264-4\_76}, timestamp = {Sun, 12 Apr 2020 18:16:51 +0200}, biburl = {https://dblp.org/rec/conf/csps/ShangJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhouZS18, author = {Yan Zhou and Heming Zhao and Li Shang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne and Phalguni Gupta}, title = {Lie Speech Time-Series Modeling Based on Dynamic Sparse Bayesian Network}, booktitle = {Intelligent Computing Theories and Application - 14th International Conference, {ICIC} 2018, Wuhan, China, August 15-18, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10954}, pages = {434--443}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-95930-6\_40}, doi = {10.1007/978-3-319-95930-6\_40}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhouZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/LiZZLS18, author = {Dongsheng Li and Yingying Zhao and Yawen Zhang and Qin Lv and Li Shang}, title = {An Algorithmic Method for Tampering-Proof and Privacy-Preserving Smart Metering}, booktitle = {16th {IEEE} International Conference on Industrial Informatics, {INDIN} 2018, Porto, Portugal, July 18-20, 2018}, pages = {459--465}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/INDIN.2018.8471959}, doi = {10.1109/INDIN.2018.8471959}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/LiZZLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/ZhaoLLLS18, author = {Yingying Zhao and Dongsheng Li and Qi Liu and Qin Lv and Li Shang}, title = {Deriving Customer Privacy from Randomly Perturbed Smart Metering Data}, booktitle = {16th {IEEE} International Conference on Industrial Informatics, {INDIN} 2018, Porto, Portugal, July 18-20, 2018}, pages = {959--965}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/INDIN.2018.8471935}, doi = {10.1109/INDIN.2018.8471935}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/ZhaoLLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LiCLGLSGC18, author = {Dongsheng Li and Chao Chen and Qin Lv and Hansu Gu and Tun Lu and Li Shang and Ning Gu and Stephen M. Chu}, editor = {Pierre{-}Antoine Champin and Fabien Gandon and Mounia Lalmas and Panagiotis G. Ipeirotis}, title = {AdaError: An Adaptive Learning Rate Method for Matrix Approximation-based Collaborative Filtering}, booktitle = {Proceedings of the 2018 World Wide Web Conference on World Wide Web, {WWW} 2018, Lyon, France, April 23-27, 2018}, pages = {741--751}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178876.3186155}, doi = {10.1145/3178876.3186155}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/LiCLGLSGC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-02198, author = {Dongsheng Li and Chao Chen and Qin Lv and Junchi Yan and Li Shang and Stephen M. Chu}, title = {Collaborative Filtering with Stability}, journal = {CoRR}, volume = {abs/1811.02198}, year = {2018}, url = {http://arxiv.org/abs/1811.02198}, eprinttype = {arXiv}, eprint = {1811.02198}, timestamp = {Sat, 21 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-02198.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-02217, author = {Yingying Zhao and Dongsheng Li and Qin Lv and Li Shang}, title = {A Scalable Algorithm for Privacy-Preserving Item-based Top-N Recommendation}, journal = {CoRR}, volume = {abs/1811.02217}, year = {2018}, url = {http://arxiv.org/abs/1811.02217}, eprinttype = {arXiv}, eprint = {1811.02217}, timestamp = {Sat, 21 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-02217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiLSG17, author = {Dongsheng Li and Qin Lv and Li Shang and Ning Gu}, title = {Efficient privacy-preserving content recommendation for online social communities}, journal = {Neurocomputing}, volume = {219}, pages = {440--454}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.09.059}, doi = {10.1016/J.NEUCOM.2016.09.059}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiLSG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShangLZS17, author = {Li Shang and Shu{-}fen Liu and Yan Zhou and Zhan{-}Li Sun}, title = {Modified sparse representation based image super-resolution reconstruction method}, journal = {Neurocomputing}, volume = {228}, pages = {37--52}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.09.090}, doi = {10.1016/J.NEUCOM.2016.09.090}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ShangLZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiuSCS17, author = {Yang Liu and Zhan{-}Li Sun and Xia Chen and Li Shang}, title = {A BRMF-based model for missing-data estimation of image sequence}, journal = {Neurocomputing}, volume = {228}, pages = {65--70}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.09.088}, doi = {10.1016/J.NEUCOM.2016.09.088}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiuSCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LiuWLMLDS17, author = {Qi Liu and James Williamson and Kun Li and Wyatt Mohrman and Qin Lv and Robert P. Dick and Li Shang}, title = {Gazelle: Energy-Efficient Wearable Analysis for Running}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {16}, number = {9}, pages = {2531--2544}, year = {2017}, url = {https://doi.org/10.1109/TMC.2016.2623304}, doi = {10.1109/TMC.2016.2623304}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/LiuWLMLDS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChenLLYSC17, author = {Chao Chen and Dongsheng Li and Qin Lv and Junchi Yan and Li Shang and Stephen M. Chu}, editor = {Satinder Singh and Shaul Markovitch}, title = {{GLOMA:} Embedding Global Information in Local Matrix Approximation Models for Collaborative Filtering}, booktitle = {Proceedings of the Thirty-First {AAAI} Conference on Artificial Intelligence, February 4-9, 2017, San Francisco, California, {USA}}, pages = {1295--1301}, publisher = {{AAAI} Press}, year = {2017}, url = {https://doi.org/10.1609/aaai.v31i1.10752}, doi = {10.1609/AAAI.V31I1.10752}, timestamp = {Mon, 04 Sep 2023 14:40:32 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChenLLYSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiCLSCZ17, author = {Dongsheng Li and Chao Chen and Qin Lv and Li Shang and Stephen M. Chu and Hongyuan Zha}, editor = {Satinder Singh and Shaul Markovitch}, title = {{ERMMA:} Expected Risk Minimization for Matrix Approximation-based Recommender Systems}, booktitle = {Proceedings of the Thirty-First {AAAI} Conference on Artificial Intelligence, February 4-9, 2017, San Francisco, California, {USA}}, pages = {1403--1409}, publisher = {{AAAI} Press}, year = {2017}, url = {https://doi.org/10.1609/aaai.v31i1.10743}, doi = {10.1609/AAAI.V31I1.10743}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiCLSCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZS17, author = {Li Shang and Yan Zhou and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne and Phalguni Gupta}, title = {Image Recognition Using Local Features Based {NNSC} Model}, booktitle = {Intelligent Computing Theories and Application - 13th International Conference, {ICIC} 2017, Liverpool, UK, August 7-10, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10361}, pages = {190--199}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63309-1\_18}, doi = {10.1007/978-3-319-63309-1\_18}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZS17a, author = {Li Shang and Yan Zhou and Zhanli Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne and Phalguni Gupta}, title = {{MMW} Image Restoration Using the Combination Method of Modified Fuzzy {RBFNN} and Sparse Representation}, booktitle = {Intelligent Computing Theories and Application - 13th International Conference, {ICIC} 2017, Liverpool, UK, August 7-10, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10361}, pages = {319--328}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63309-1\_29}, doi = {10.1007/978-3-319-63309-1\_29}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZS17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhouZS17, author = {Yan Zhou and Heming Zhao and Li Shang}, editor = {De{-}Shuang Huang and Abir Hussain and Kyungsook Han and M. Michael Gromiha}, title = {Lying Speech Characteristic Extraction Based on {SSAE} Deep Learning Model}, booktitle = {Intelligent Computing Methodologies - 13th International Conference, {ICIC} 2017, Liverpool, UK, August 7-10, 2017, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {10363}, pages = {672--681}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63315-2\_59}, doi = {10.1007/978-3-319-63315-2\_59}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhouZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiuZLS17, author = {Qi Liu and Yawen Zhang and Qin Lv and Li Shang}, title = {Data quality screening for high-resolution satellite imagery via spectral clustering}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {4742--4745}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128061}, doi = {10.1109/IGARSS.2017.8128061}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiuZLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/LiuZZKLS17, author = {Qi Liu and Yingying Zhao and Yawen Zhang and Dahai Kang and Qin Lv and Li Shang}, title = {Hierarchical context-aware anomaly diagnosis in large-scale {PV} systems using {SCADA} data}, booktitle = {15th {IEEE} International Conference on Industrial Informatics, {INDIN} 2017, Emden, Germany, July 24-26, 2017}, pages = {1025--1030}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/INDIN.2017.8104914}, doi = {10.1109/INDIN.2017.8104914}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/LiuZZKLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiuZLS17a, author = {Qi Liu and Yawen Zhang and Qin Lv and Li Shang}, title = {Applying High-Resolution Visible Imagery to Satellite Melt Pond Fraction Retrieval: {A} Neural Network Approach}, journal = {CoRR}, volume = {abs/1704.04281}, year = {2017}, url = {http://arxiv.org/abs/1704.04281}, eprinttype = {arXiv}, eprint = {1704.04281}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiuZLS17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LiCLSZLG16, author = {Dongsheng Li and Chao Chen and Qin Lv and Li Shang and Yingying Zhao and Tun Lu and Ning Gu}, title = {An algorithm for efficient privacy-preserving item-based collaborative filtering}, journal = {Future Gener. Comput. Syst.}, volume = {55}, pages = {311--320}, year = {2016}, url = {https://doi.org/10.1016/j.future.2014.11.003}, doi = {10.1016/J.FUTURE.2014.11.003}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LiCLSZLG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShangWZS16, author = {Li Shang and Xin Wang and Yan Zhou and Zhan{-}Li Sun}, title = {A new {ISR} method based on the combination of modified {K-SVD} model and {RAMP} algorithm}, journal = {Neurocomputing}, volume = {188}, pages = {120--130}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2014.10.110}, doi = {10.1016/J.NEUCOM.2014.10.110}, timestamp = {Wed, 04 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ShangWZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SunS16, author = {Zhan{-}Li Sun and Li Shang}, title = {A local spectral feature based face recognition approach for the one-sample-per-person problem}, journal = {Neurocomputing}, volume = {188}, pages = {160--166}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2014.10.111}, doi = {10.1016/J.NEUCOM.2014.10.111}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/SunS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShangWS16, author = {Li Shang and Xin Wang and Zhan{-}Li Sun}, title = {Dispersion constraint based non-negative sparse coding algorithm}, journal = {Neurocomputing}, volume = {188}, pages = {253--261}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2014.09.107}, doi = {10.1016/J.NEUCOM.2014.09.107}, timestamp = {Tue, 11 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ShangWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiuSWS16, author = {Yang Liu and Zhan{-}Li Sun and Ya{-}Ping Wang and Li Shang}, title = {An eigen decomposition based rank parameter selection approach for the {NRSFM} algorithm}, journal = {Neurocomputing}, volume = {198}, pages = {109--113}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.06.110}, doi = {10.1016/J.NEUCOM.2015.06.110}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiuSWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiRLS16, author = {Dongsheng Li and Yaoping Ruan and Qin Lv and Li Shang}, title = {SalesExplorer: Exploring sales opportunities from white-space customers in the enterprise market}, journal = {Knowl. Based Syst.}, volume = {113}, pages = {51--60}, year = {2016}, url = {https://doi.org/10.1016/j.knosys.2016.09.011}, doi = {10.1016/J.KNOSYS.2016.09.011}, timestamp = {Sat, 21 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/LiRLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/WangWSS16, author = {Xin Wang and Can Wang and Li Shang and Zhan{-}Li Sun}, title = {Dispersion Constraint Based Non-negative Sparse Coding Model}, journal = {Neural Process. Lett.}, volume = {43}, number = {2}, pages = {603--609}, year = {2016}, url = {https://doi.org/10.1007/s11063-015-9432-7}, doi = {10.1007/S11063-015-9432-7}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/WangWSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WuSHWZ16, author = {Yan{-}Hao Wu and Li Shang and Zhi{-}Kai Huang and Gang Wang and Xiao{-}Ping (Steven) Zhang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Convolutional Neural Network Application on Leaf Classification}, booktitle = {Intelligent Computing Theories and Application - 12th International Conference, {ICIC} 2016, Lanzhou, China, August 2-5, 2016, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9771}, pages = {12--17}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-42291-6\_2}, doi = {10.1007/978-3-319-42291-6\_2}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/WuSHWZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhouZCLWS16, author = {Yan Zhou and Heming Zhao and Xueqin Chen and Tao Liu and Di Wu and Li Shang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo}, title = {Speech Denoising Based on Sparse Representation Algorithm}, booktitle = {Intelligent Computing Theories and Application - 12th International Conference, {ICIC} 2016, Lanzhou, China, August 2-5, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9772}, pages = {202--211}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-42294-7\_17}, doi = {10.1007/978-3-319-42294-7\_17}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/ZhouZCLWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HeWZSH16, author = {Xiang He and Gang Wang and Xiao{-}Ping (Steven) Zhang and Li Shang and Zhi{-}Kai Huang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo}, title = {Leaf Classification Utilizing a Convolutional Neural Network with a Structure of Single Connected Layer}, booktitle = {Intelligent Computing Theories and Application - 12th International Conference, {ICIC} 2016, Lanzhou, China, August 2-5, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9772}, pages = {332--340}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-42294-7\_29}, doi = {10.1007/978-3-319-42294-7\_29}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/HeWZSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/LiangZSH16, author = {Xianpeng Liang and Xiao{-}Ping (Steven) Zhang and Li Shang and Zhi{-}Kai Huang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo}, title = {Locally Biased Discriminative Clustering Method for Interactive Image Segmentation}, booktitle = {Intelligent Computing Theories and Application - 12th International Conference, {ICIC} 2016, Lanzhou, China, August 2-5, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9772}, pages = {514--522}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-42294-7\_46}, doi = {10.1007/978-3-319-42294-7\_46}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/LiangZSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LiCLYSC16, author = {Dongsheng Li and Chao Chen and Qin Lv and Junchi Yan and Li Shang and Stephen M. Chu}, editor = {Maria{-}Florina Balcan and Kilian Q. Weinberger}, title = {Low-Rank Matrix Approximation with Stability}, booktitle = {Proceedings of the 33nd International Conference on Machine Learning, {ICML} 2016, New York City, NY, USA, June 19-24, 2016}, series = {{JMLR} Workshop and Conference Proceedings}, volume = {48}, pages = {295--303}, publisher = {JMLR.org}, year = {2016}, url = {http://proceedings.mlr.press/v48/lib16.html}, timestamp = {Sat, 21 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/LiCLYSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChenLLYCS16, author = {Chao Chen and Dongsheng Li and Qin Lv and Junchi Yan and Stephen M. Chu and Li Shang}, editor = {Subbarao Kambhampati}, title = {{MPMA:} Mixture Probabilistic Matrix Approximation for Collaborative Filtering}, booktitle = {Proceedings of the Twenty-Fifth International Joint Conference on Artificial Intelligence, {IJCAI} 2016, New York, NY, USA, 9-15 July 2016}, pages = {1382--1388}, publisher = {{IJCAI/AAAI} Press}, year = {2016}, url = {http://www.ijcai.org/Abstract/16/199}, timestamp = {Sat, 21 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/ChenLLYCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LiuZHWZSH16, author = {Qing{-}Yi Liu and Lin Zhu and De{-}Shuang Huang and Gang Wang and Xiao{-}Ping (Steven) Zhang and Li Shang and Zhi{-}Kai Huang}, title = {Visual data completion via local sensitive low rank tensor learning}, booktitle = {2016 International Joint Conference on Neural Networks, {IJCNN} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {2693--2698}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IJCNN.2016.7727537}, doi = {10.1109/IJCNN.2016.7727537}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/LiuZHWZSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cvi/HuHYSZ15, author = {Dameng Hu and Weiguo Huang and Jianyu Yang and Li Shang and Zhongkui Zhu}, title = {Shape matching and object recognition using common base triangle area}, journal = {{IET} Comput. Vis.}, volume = {9}, number = {5}, pages = {769--778}, year = {2015}, url = {https://doi.org/10.1049/iet-cvi.2014.0409}, doi = {10.1049/IET-CVI.2014.0409}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cvi/HuHYSZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/DingWSS15, author = {Jinlin Ding and Feng Wang and Hong Sun and Li Shang}, title = {Improved incremental Regularized Extreme Learning Machine Algorithm and its application in two-motor decoupling control}, journal = {Neurocomputing}, volume = {149}, pages = {215--223}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2014.02.071}, doi = {10.1016/J.NEUCOM.2014.02.071}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/DingWSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhouZPS15, author = {Yan Zhou and Heming Zhao and Xinyu Pan and Li Shang}, title = {Deception detecting from speech signal using relevance vector machine and non-linear dynamics features}, journal = {Neurocomputing}, volume = {151}, pages = {1042--1052}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2014.04.083}, doi = {10.1016/J.NEUCOM.2014.04.083}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ZhouZPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WilliamsonLLMLD15, author = {James Williamson and Qi Liu and Fenglong Lu and Wyatt Mohrman and Kun Li and Robert P. Dick and Li Shang}, title = {Data sensing and analysis: Challenges for wearables}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {136--141}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7058994}, doi = {10.1109/ASPDAC.2015.7058994}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WilliamsonLLMLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/LiuZZZSHG15, author = {Zhiyu Liu and Lin Zhu and Xiao{-}Ping (Steven) Zhang and Xiaobo Zhou and Li Shang and Zhi{-}Kai Huang and Yong Gan}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Abir Jaafar Hussain}, title = {Hybrid Deep Learning for Plant Leaves Classification}, booktitle = {Intelligent Computing Theories and Methodologies - 11th International Conference, {ICIC} 2015, Fuzhou, China, August 20-23, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9226}, pages = {115--123}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22186-1\_11}, doi = {10.1007/978-3-319-22186-1\_11}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/LiuZZZSHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/LiZSHZG15, author = {Ze{-}Xue Li and Xiao{-}Ping (Steven) Zhang and Li Shang and Zhi{-}Kai Huang and Hao{-}Dong Zhu and Yong Gan}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Abir Jaafar Hussain}, title = {Plant Leaf Recognition Based on Contourlet Transform and Support Vector Machine}, booktitle = {Intelligent Computing Theories and Methodologies - 11th International Conference, {ICIC} 2015, Fuzhou, China, August 20-23, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9226}, pages = {145--154}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22186-1\_14}, doi = {10.1007/978-3-319-22186-1\_14}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/LiZSHZG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/XuYZSHZG15, author = {Gong{-}Sheng Xu and Jing{-}Hua Yuan and Xiao{-}Ping (Steven) Zhang and Li Shang and Zhi{-}Kai Huang and Hao{-}Dong Zhu and Yong Gan}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Abir Jaafar Hussain}, title = {Implementation of Plant Leaf Recognition System on {ARM} Tablet Based on Local Ternary Pattern}, booktitle = {Intelligent Computing Theories and Methodologies - 11th International Conference, {ICIC} 2015, Fuzhou, China, August 20-23, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9226}, pages = {155--164}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22186-1\_15}, doi = {10.1007/978-3-319-22186-1\_15}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/XuYZSHZG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangLS15, author = {Li Shang and Shu{-}fen Liu and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Image Super-Resolution Reconstruction Based on Sparse Representation and {POCS} Method}, booktitle = {Intelligent Computing Theories and Methodologies - 11th International Conference, {ICIC} 2015, Fuzhou, China, August 20-23, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9225}, pages = {348--356}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22180-9\_34}, doi = {10.1007/978-3-319-22180-9\_34}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangSS15, author = {Li Shang and Pingang Su and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Modified Sparse Representation Based Image Super-Resolution Reconstruction}, booktitle = {Intelligent Computing Theories and Methodologies - 11th International Conference, {ICIC} 2015, Fuzhou, China, August 20-23, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9225}, pages = {491--497}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22180-9\_48}, doi = {10.1007/978-3-319-22180-9\_48}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhaoZSHZG15, author = {Sen Zhao and Xiaoping Zhang and Li Shang and Zhi{-}Kai Huang and Hao{-}Dong Zhu and Yong Gan}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Implementation of Leaf Image Recognition System Based on {LBP} and {B/S} Framework}, booktitle = {Intelligent Computing Theories and Methodologies - 11th International Conference, {ICIC} 2015, Fuzhou, China, August 20-23, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9225}, pages = {661--670}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22180-9\_66}, doi = {10.1007/978-3-319-22180-9\_66}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhaoZSHZG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ChenLZLS15, author = {Chao Chen and Dongsheng Li and Yingying Zhao and Qin Lv and Li Shang}, editor = {Ricardo Baeza{-}Yates and Mounia Lalmas and Alistair Moffat and Berthier A. Ribeiro{-}Neto}, title = {{WEMAREC:} Accurate and Scalable Recommendation through Weighted and Ensemble Matrix Approximation}, booktitle = {Proceedings of the 38th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Santiago, Chile, August 9-13, 2015}, pages = {303--312}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2766462.2767718}, doi = {10.1145/2766462.2767718}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ChenLZLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShangZS14, author = {Li Shang and Yan Zhou and Pingang Su}, title = {Super-resolution restoration of {MMW} image based on sparse representation method}, journal = {Neurocomputing}, volume = {137}, pages = {79--88}, year = {2014}, url = {https://doi.org/10.1016/j.neucom.2013.02.056}, doi = {10.1016/J.NEUCOM.2013.02.056}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ShangZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhouZSL14, author = {Yan Zhou and Heming Zhao and Li Shang and Tao Liu}, title = {Immune {K-SVD} algorithm for dictionary learning in speech denoising}, journal = {Neurocomputing}, volume = {137}, pages = {223--233}, year = {2014}, url = {https://doi.org/10.1016/j.neucom.2013.02.045}, doi = {10.1016/J.NEUCOM.2013.02.045}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ZhouZSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiLSG14, author = {Dongsheng Li and Qin Lv and Li Shang and Ning Gu}, title = {Item-based top-N recommendation resilient to aggregated information revelation}, journal = {Knowl. Based Syst.}, volume = {67}, pages = {290--304}, year = {2014}, url = {https://doi.org/10.1016/j.knosys.2014.04.038}, doi = {10.1016/J.KNOSYS.2014.04.038}, timestamp = {Sat, 21 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/LiLSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MohamedLCSM14, author = {Moustafa Mohamed and Zheng Li and Xi Chen and Li Shang and Alan Rolf Mickelson}, title = {Reliability-Aware Design Flow for Silicon Photonics On-Chip Interconnect}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {8}, pages = {1763--1776}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2278383}, doi = {10.1109/TVLSI.2013.2278383}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MohamedLCSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/GaoZZGS14, author = {Yushu Gao and Lin Zhu and Hao{-}Dong Zhu and Yong Gan and Li Shang}, editor = {De{-}Shuang Huang and Kyungsook Han and M. Michael Gromiha}, title = {Extract Features Using Stacked Denoised Autoencoder}, booktitle = {Intelligent Computing in Bioinformatics - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8590}, pages = {10--14}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09330-7\_2}, doi = {10.1007/978-3-319-09330-7\_2}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/GaoZZGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WangSS14a, author = {Ya{-}Ping Wang and Zhan{-}Li Sun and Li Shang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Ling Wang}, title = {An Integrated {NRSFM} Approach for Image Sequences with Small Size}, booktitle = {Intelligent Computing Methodologies - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8589}, pages = {271--276}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09339-0\_27}, doi = {10.1007/978-3-319-09339-0\_27}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/WangSS14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangS14, author = {Li Shang and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Ling Wang}, title = {Image Super-Resolution Reconstruction Based on Two-Stage Dictionary Learning}, booktitle = {Intelligent Computing Methodologies - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8589}, pages = {277--284}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09339-0\_28}, doi = {10.1007/978-3-319-09339-0\_28}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/XiaZGS14, author = {Qing Xia and Hao{-}Dong Zhu and Yong Gan and Li Shang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Ling Wang}, title = {Plant Leaf Recognition Using Histograms of Oriented Gradients}, booktitle = {Intelligent Computing Methodologies - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8589}, pages = {369--374}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09339-0\_38}, doi = {10.1007/978-3-319-09339-0\_38}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/XiaZGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/YuanZGS14, author = {Jing{-}Hua Yuan and Hao{-}Dong Zhu and Yong Gan and Li Shang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Enhanced Local Ternary Pattern for Texture Classification}, booktitle = {Intelligent Computing Theory - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8588}, pages = {443--448}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09333-8\_48}, doi = {10.1007/978-3-319-09333-8\_48}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/YuanZGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhuSSZ14, author = {Hao{-}Dong Zhu and Zhen Shen and Li Shang and Xiaoping Zhang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Parallel Image Texture Feature Extraction under Hadoop Cloud Platform}, booktitle = {Intelligent Computing Theory - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8588}, pages = {459--465}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09333-8\_50}, doi = {10.1007/978-3-319-09333-8\_50}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhuSSZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangLS14, author = {Li Shang and Tao Liu and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Image Super-resolution Reconstruction Utilizing the Combined Method of {K-SVD} and {RAMP}}, booktitle = {Intelligent Computing Theory - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8588}, pages = {466--472}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09333-8\_51}, doi = {10.1007/978-3-319-09333-8\_51}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZS14, author = {Li Shang and Yan Zhou and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Dispersion Constraint Based Non-Negative Sparse Coding Neural Network Model}, booktitle = {Intelligent Computing Theory - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8588}, pages = {473--479}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09333-8\_52}, doi = {10.1007/978-3-319-09333-8\_52}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WangSS14, author = {Xing{-}Zhu Wang and Zhan{-}Li Sun and Li Shang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {Using Spectral Feature for Face Recognition of One-Sample-Per-Person Problem}, booktitle = {Intelligent Computing Theory - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8588}, pages = {502--506}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09333-8\_56}, doi = {10.1007/978-3-319-09333-8\_56}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/WangSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/XiangCFHSZ14, author = {Weiwei Xiang and Gaigai Cai and Wei Fan and Weiguo Huang and Li Shang and Zhongkui Zhu}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {The Research of the Transient Feature Extraction by Resonance-Based Method Using Double-TQWT}, booktitle = {Intelligent Computing Theory - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8588}, pages = {684--692}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09333-8\_74}, doi = {10.1007/978-3-319-09333-8\_74}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/XiangCFHSZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WeiZGS14, author = {Haibin Wei and Hao{-}Dong Zhu and Yong Gan and Li Shang}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne}, title = {A New Local Binary Pattern in Texture Classification}, booktitle = {Intelligent Computing Theory - 10th International Conference, {ICIC} 2014, Taiyuan, China, August 3-6, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8588}, pages = {700--705}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09333-8\_76}, doi = {10.1007/978-3-319-09333-8\_76}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/WeiZGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/HuHSZY14, author = {Dameng Hu and Weiguo Huang and Li Shang and Zhongkui Zhu and Jianyu Yang}, title = {Adaptive discrete curve evolution for shape recognition}, booktitle = {2014 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2014, Bali, Indonesia, December 5-10, 2014}, pages = {481--486}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ROBIO.2014.7090377}, doi = {10.1109/ROBIO.2014.7090377}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/HuHSZY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/JiangLPYTMLDHS13, author = {Yifei Jiang and Kun Li and Ricardo Piedrahita and Xiang Yun and Lei Tian and Omkar Mansata and Qin Lv and Robert P. Dick and Michael Hannigan and Li Shang}, title = {User-Centric Indoor Air Quality Monitoring on Mobile Devices}, journal = {{AI} Mag.}, volume = {34}, number = {2}, pages = {11--30}, year = {2013}, url = {https://doi.org/10.1609/aimag.v34i2.2472}, doi = {10.1609/AIMAG.V34I2.2472}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aim/JiangLPYTMLDHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/ShangZ13, author = {Li Shang and Heping Zhang}, title = {Super restricted edge-connectivity of graphs with diameter 2}, journal = {Discret. Appl. Math.}, volume = {161}, number = {3}, pages = {445--451}, year = {2013}, url = {https://doi.org/10.1016/j.dam.2012.08.030}, doi = {10.1016/J.DAM.2012.08.030}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/ShangZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/DingWSS13, author = {Jinlin Ding and Feng Wang and Hong Sun and Li Shang}, title = {Neural network generalized inverse of two-motor synchronous system working on constant volts per hertz control mode}, journal = {Neurocomputing}, volume = {116}, pages = {46--52}, year = {2013}, url = {https://doi.org/10.1016/j.neucom.2012.03.029}, doi = {10.1016/J.NEUCOM.2012.03.029}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/DingWSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/LiZLSD13, author = {Kun Li and Changyun Zhu and Qin Lv and Li Shang and Robert P. Dick}, title = {Personalized multi-modality image management and search for mobile devices}, journal = {Pers. Ubiquitous Comput.}, volume = {17}, number = {8}, pages = {1817--1834}, year = {2013}, url = {https://doi.org/10.1007/s00779-013-0660-4}, doi = {10.1007/S00779-013-0660-4}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/LiZLSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WuWLZLSS13, author = {Jie Wu and Jia Wang and Kun Li and Hai Zhou and Qin Lv and Li Shang and Yihe Sun}, title = {Large-Scale Energy Storage System Design and Optimization for Emerging Electric-Drive Vehicles}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {3}, pages = {325--338}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2228268}, doi = {10.1109/TCAD.2012.2228268}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WuWLZLSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/DongYDSGZ13, author = {Mingzhi Dong and Liang Yin and Weihong Deng and Li Shang and Jun Guo and Honggang Zhang}, editor = {Marie desJardins and Michael L. Littman}, title = {A Maximum K-Min Approach for Classification}, booktitle = {Proceedings of the Twenty-Seventh {AAAI} Conference on Artificial Intelligence, July 14-18, 2013, Bellevue, Washington, {USA}}, pages = {246--252}, publisher = {{AAAI} Press}, year = {2013}, url = {https://doi.org/10.1609/aaai.v27i1.8635}, doi = {10.1609/AAAI.V27I1.8635}, timestamp = {Mon, 04 Sep 2023 15:56:33 +0200}, biburl = {https://dblp.org/rec/conf/aaai/DongYDSGZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcoss/YunPDHLS13, author = {Xiang Yun and Ricardo Piedrahita and Robert P. Dick and Michael Hannigan and Qin Lv and Li Shang}, title = {A Hybrid Sensor System for Indoor Air Quality Monitoring}, booktitle = {{IEEE} International Conference on Distributed Computing in Sensor Systems, {DCOSS} 2013, Cambridge, MA, USA, May 20-23, 2013}, pages = {96--104}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DCOSS.2013.48}, doi = {10.1109/DCOSS.2013.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcoss/YunPDHLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/JiangYPLLDSH13, author = {Yifei Jiang and Xiang Yun and Xin Pan and Kun Li and Qin Lv and Robert P. Dick and Li Shang and Michael Hannigan}, editor = {Friedemann Mattern and Silvia Santini and John F. Canny and Marc Langheinrich and Jun Rekimoto}, title = {Hallway based automatic indoor floorplan construction using room fingerprints}, booktitle = {The 2013 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '13, Zurich, Switzerland, September 8-12, 2013}, pages = {315--324}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2493432.2493470}, doi = {10.1145/2493432.2493470}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/JiangYPLLDSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HuaiS13, author = {Wenjun Huai and Li Shang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Yong{-}Quan Zhou and Kyungsook Han}, title = {A Novel Method for Palmprint Feature Extraction Based on Modified Pulse-Coupled Neural Network}, booktitle = {Intelligent Computing Theories and Technology - 9th International Conference, {ICIC} 2013, Nanning, China, July 28-31, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7996}, pages = {292--298}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39482-9\_34}, doi = {10.1007/978-3-642-39482-9\_34}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/HuaiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangS13, author = {Li Shang and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Juan Carlos Figueroa Garc{\'{\i}}a and Prashan Premaratne}, title = {Low-Resolution Image Restoration Using the Combination Method of Sparse Representation and {PDE} Model}, booktitle = {Intelligent Computing Theories - 9th International Conference, {ICIC} 2013, Nanning, China, July 28-31, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7995}, pages = {462--471}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39479-9\_55}, doi = {10.1007/978-3-642-39479-9\_55}, timestamp = {Fri, 27 Mar 2020 08:57:46 +0100}, biburl = {https://dblp.org/rec/conf/icic/ShangS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/Shang13, author = {Li Shang}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Yong{-}Quan Zhou and Kyungsook Han}, title = {Palmprint Recognition Method Based on a New Kernel Sparse Representation Method}, booktitle = {Intelligent Computing Theories and Technology - 9th International Conference, {ICIC} 2013, Nanning, China, July 28-31, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7996}, pages = {515--523}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39482-9\_60}, doi = {10.1007/978-3-642-39482-9\_60}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/Shang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/GuHTSZ13, author = {Chao Gu and Weiguo Huang and Jin Tao and Li Shang and Zhongkui Zhu}, title = {Efficient object recognition method based on hierarchical representation}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2013, Shenzhen, China, December 12-14, 2013}, pages = {358--363}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ROBIO.2013.6739485}, doi = {10.1109/ROBIO.2013.6739485}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/GuHTSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShangSL12, author = {Li Shang and Pingang Su and Tao Liu}, title = {Denoising {MMW} image using the combination method of contourlet and {KSC} shrinkage}, journal = {Neurocomputing}, volume = {83}, pages = {229--233}, year = {2012}, url = {https://doi.org/10.1016/j.neucom.2011.11.003}, doi = {10.1016/J.NEUCOM.2011.11.003}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ShangSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiLXSXLG12, author = {Dongsheng Li and Qin Lv and Xing Xie and Li Shang and Huanhuan Xia and Tun Lu and Ning Gu}, title = {Interest-based real-time content recommendation in online social communities}, journal = {Knowl. Based Syst.}, volume = {28}, pages = {1--12}, year = {2012}, url = {https://doi.org/10.1016/j.knosys.2011.09.019}, doi = {10.1016/J.KNOSYS.2011.09.019}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/LiLXSXLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/DickSD12, author = {Robert P. Dick and Li Shang and Nikil D. Dutt}, title = {Introduction to special section SCPS'09}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {11}, number = {4}, pages = {74:1}, year = {2012}, url = {https://doi.org/10.1145/2362336.2362341}, doi = {10.1145/2362336.2362341}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/DickSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiMCDMSMJVSS12, author = {Zheng Li and Moustafa Mohamed and Xi Chen and Eric Dudley and Ke Meng and Li Shang and Alan Rolf Mickelson and Russ Joseph and Manish Vachharajani and Brian Schwartz and Yihe Sun}, title = {Reliability Modeling and Management of Nanophotonic On-Chip Networks}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {1}, pages = {98--111}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2010.2089072}, doi = {10.1109/TVLSI.2010.2089072}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiMCDMSMJVSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/JiangPLLDHS12, author = {Yifei Jiang and Xin Pan and Kun Li and Qin Lv and Robert P. Dick and Michael Hannigan and Li Shang}, editor = {Anind K. Dey and Hao{-}Hua Chu and Gillian R. Hayes}, title = {{ARIEL:} automatic wi-fi based room fingerprinting for indoor localization}, booktitle = {The 2012 {ACM} Conference on Ubiquitous Computing, Ubicomp '12, Pittsburgh, PA, USA, September 5-8, 2012}, pages = {441--450}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2370216.2370282}, doi = {10.1145/2370216.2370282}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/JiangPLLDHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZTS12, author = {Li Shang and Yan Zhou and Liu Tao and Zhan{-}Li Sun}, editor = {De{-}Shuang Huang and Phalguni Gupta and Xiang Zhang and Prashan Premaratne}, title = {Super-Resolution Restoration of {MMW} Image Using Sparse Representation Based on Couple Dictionaries}, booktitle = {Emerging Intelligent Computing Technology and Applications - 8th International Conference, {ICIC} 2012, Huangshan, China, July 25-29, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {304}, pages = {286--291}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31837-5\_42}, doi = {10.1007/978-3-642-31837-5\_42}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangSH12, author = {Li Shang and Pingang Su and Wenjun Huai}, editor = {De{-}Shuang Huang and Changjun Jiang and Vitoantonio Bevilacqua and Juan Carlos Figueroa Garc{\'{\i}}a}, title = {{MMW} Image Blind Restoration Using Sparse {ICA} in Contourlet Transform Domain}, booktitle = {Intelligent Computing Technology - 8th International Conference, {ICIC} 2012, Huangshan, China, July 25-29, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7389}, pages = {365--372}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31588-6\_47}, doi = {10.1007/978-3-642-31588-6\_47}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HuaiSS12, author = {Wenjun Huai and Li Shang and Pingang Su}, editor = {De{-}Shuang Huang and Changjun Jiang and Vitoantonio Bevilacqua and Juan Carlos Figueroa Garc{\'{\i}}a}, title = {{MMW} Image Enhancement Based on Gray Stretch Technique and {SSR} Theory}, booktitle = {Intelligent Computing Technology - 8th International Conference, {ICIC} 2012, Huangshan, China, July 25-29, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7389}, pages = {379--385}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31588-6\_49}, doi = {10.1007/978-3-642-31588-6\_49}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/HuaiSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangCSZ12, author = {Li Shang and Jie Chen and Pingang Su and Yan Zhou}, editor = {De{-}Shuang Huang and Jianhua Ma and Kang{-}Hyun Jo and M. Michael Gromiha}, title = {{ROI} Extraction of Palmprint Images Using Modified Harris Corner Point Detection Algorithm}, booktitle = {Intelligent Computing Theories and Applications - 8th International Conference, {ICIC} 2012, Huangshan, China, July 25-29, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7390}, pages = {479--486}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31576-3\_61}, doi = {10.1007/978-3-642-31576-3\_61}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangCSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/DongYDWYGSM12, author = {Mingzhi Dong and Liang Yin and Weihong Deng and Qiang Wang and Caixia Yuan and Jun Guo and Li Shang and Liwei Ma}, title = {A Linear Max K-min classifier}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {2967--2971}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460788/}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/DongYDWYGSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intelligent/ShangJ12, author = {Li Shang and Yujiao Jian}, editor = {Ajith Abraham and Sabu M. Thampi}, title = {Mining Top-K Frequent Correlated Subgraph Pairs in Graph Databases}, booktitle = {Intelligent Informatics - Proceedings of the International Symposium on Intelligent Informatics ISI'12 Held at August 4-5 2012, Chennai, India}, series = {Advances in Intelligent Systems and Computing}, volume = {182}, pages = {1--8}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32063-7\_1}, doi = {10.1007/978-3-642-32063-7\_1}, timestamp = {Sun, 04 Jun 2017 10:11:57 +0200}, biburl = {https://dblp.org/rec/conf/intelligent/ShangJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/YunBPDLHS12, author = {Xiang Yun and Lan S. Bai and Ricardo Piedrahita and Robert P. Dick and Qin Lv and Michael Hannigan and Li Shang}, editor = {Feng Zhao and Andreas Terzis and Kamin Whitehouse}, title = {Collaborative calibration and sensor placement for mobile sensor networks}, booktitle = {The 11th International Conference on Information Processing in Sensor Networks (co-located with {CPS} Week 2012), {IPSN} 2012, Beijing, China, April 16-19, 2012}, pages = {73--84}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2012}, url = {https://doi.org/10.1145/2185677.2185687}, doi = {10.1145/2185677.2185687}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/YunBPDLHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ShangZCH12, author = {Li Shang and Yan Zhou and Jie Chen and Wenjun Huai}, editor = {Jun Wang and Gary G. Yen and Marios M. Polycarpou}, title = {Nature Image Feature Extraction Using Several Sparse Variants of Non-negative Matrix Factorization Algorithm}, booktitle = {Advances in Neural Networks - {ISNN} 2012 - 9th International Symposium on Neural Networks, Shenyang, China, July 11-14, 2012. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7368}, pages = {274--281}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31362-2\_31}, doi = {10.1007/978-3-642-31362-2\_31}, timestamp = {Wed, 21 Aug 2024 07:35:24 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ShangZCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pervasive/LiLLLSM12, author = {Kun Li and Man Lu and Fenglong Lu and Qin Lv and Li Shang and Dragan Maksimovic}, editor = {Judy Kay and Paul Lukowicz and Hideyuki Tokuda and Patrick Olivier and Antonio Kr{\"{u}}ger}, title = {Personalized Driving Behavior Monitoring and Analysis for Emerging Hybrid Vehicles}, booktitle = {Pervasive Computing - 10th International Conference, Pervasive 2012, Newcastle, UK, June 18-22, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7319}, pages = {1--19}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31205-2\_1}, doi = {10.1007/978-3-642-31205-2\_1}, timestamp = {Sat, 30 Sep 2023 09:54:38 +0200}, biburl = {https://dblp.org/rec/conf/pervasive/LiLLLSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ShangX11, author = {Li Shang and Qianfan Xu}, title = {Introduction to nanophotonic communication technology integration}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {7}, number = {2}, pages = {5:1--5:2}, year = {2011}, url = {https://doi.org/10.1145/1970406.1970407}, doi = {10.1145/1970406.1970407}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/ShangX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/LiMCZMSV11, author = {Zheng Li and Moustafa Mohamed and Xi Chen and Hongyu Zhou and Alan Rolf Mickelson and Li Shang and Manish Vachharajani}, title = {Iris: {A} hybrid nanophotonic network design for high-performance and low-power on-chip communication}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {7}, number = {2}, pages = {8:1--8:22}, year = {2011}, url = {https://doi.org/10.1145/1970406.1970410}, doi = {10.1145/1970406.1970410}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/LiMCZMSV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KeutzerLSZ11, author = {Kurt Keutzer and Peng Li and Li Shang and Hai Zhou}, title = {A Special Section on Multicore Parallel {CAD:} Algorithm Design and Programming}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {16}, number = {3}, pages = {21:1--21:2}, year = {2011}, url = {https://doi.org/10.1145/1970353.1970354}, doi = {10.1145/1970353.1970354}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/KeutzerLSZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HassanAYSDZ11, author = {Zyad Hassan and Nicholas Allec and Fan Yang and Li Shang and Robert P. Dick and Xuan Zeng}, title = {Full-Spectrum Spatial-Temporal Dynamic Thermal Analysis for Nanometer-Scale Integrated Circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {12}, pages = {2276--2289}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2076351}, doi = {10.1109/TVLSI.2010.2076351}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HassanAYSDZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WilliamsonLSZZ11, author = {James Williamson and Yinghai Lu and Li Shang and Hai Zhou and Xuan Zeng}, title = {Parallel cross-layer optimization of high-level synthesis and physical design}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {467--472}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722235}, doi = {10.1109/ASPDAC.2011.5722235}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WilliamsonLSZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiLSG11, author = {Dongsheng Li and Qin Lv and Li Shang and Ning Gu}, editor = {Craig Macdonald and Iadh Ounis and Ian Ruthven}, title = {{YANA:} an efficient privacy-preserving recommender system for online social communities}, booktitle = {Proceedings of the 20th {ACM} Conference on Information and Knowledge Management, {CIKM} 2011, Glasgow, United Kingdom, October 24-28, 2011}, pages = {2269--2272}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063576.2063943}, doi = {10.1145/2063576.2063943}, timestamp = {Sat, 21 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/LiLSG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/MohamedLCMS11, author = {Moustafa Mohamed and Zheng Li and Xi Chen and Alan Rolf Mickelson and Li Shang}, editor = {Robert P. Dick and Jan Madsen}, title = {Modeling and analysis of micro-ring based silicon photonic interconnect for embedded systems}, booktitle = {Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011}, pages = {227--236}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2039370.2039406}, doi = {10.1145/2039370.2039406}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/MohamedLCMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiMCMS11, author = {Zheng Li and Moustafa Mohamed and Xi Chen and Alan Rolf Mickelson and Li Shang}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Device modeling and system simulation of nanophotonic on-chip networks for reliability, power and performance}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {735--740}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2024724.2024890}, doi = {10.1145/2024724.2024890}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiMCMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/JiangLTPYMLDHS11, author = {Yifei Jiang and Kun Li and Lei Tian and Ricardo Piedrahita and Xiang Yun and Omkar Mansata and Qin Lv and Robert P. Dick and Michael Hannigan and Li Shang}, editor = {James A. Landay and Yuanchun Shi and Donald J. Patterson and Yvonne Rogers and Xing Xie}, title = {{MAQS:} a personalized mobile sensing system for indoor air quality monitoring}, booktitle = {UbiComp 2011: Ubiquitous Computing, 13th International Conference, UbiComp 2011, Beijing, China, September 17-21, 2011, Proceedings}, pages = {271--280}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2030112.2030150}, doi = {10.1145/2030112.2030150}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/JiangLTPYMLDHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/JiangLTPYMLDHS11a, author = {Yifei Jiang and Kun Li and Lei Tian and Ricardo Piedrahita and Xiang Yun and Omkar Mansata and Qin Lv and Robert P. Dick and Michael Hannigan and Li Shang}, editor = {James A. Landay and Yuanchun Shi and Donald J. Patterson and Yvonne Rogers and Xing Xie}, title = {{MAQS:} a mobile sensing system for indoor air quality}, booktitle = {UbiComp 2011: Ubiquitous Computing, 13th International Conference, UbiComp 2011, Beijing, China, September 17-21, 2011, Proceedings}, pages = {493--494}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2030112.2030187}, doi = {10.1145/2030112.2030187}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/JiangLTPYMLDHS11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangC11, author = {Li Shang and Jie Chen}, editor = {De{-}Shuang Huang and Yong Gan and Vitoantonio Bevilacqua and Juan Carlos Figueroa Garc{\'{\i}}a}, title = {Image Feature Extraction Using the Fusion Features of {BEMD} and {WCB-NNSC}}, booktitle = {Advanced Intelligent Computing - 7th International Conference, {ICIC} 2011, Zhengzhou, China, August 11-14, 2011. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6838}, pages = {383--390}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24728-6\_52}, doi = {10.1007/978-3-642-24728-6\_52}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HuaiS11, author = {Wenjun Huai and Li Shang}, editor = {De{-}Shuang Huang and Yong Gan and Vitoantonio Bevilacqua and Juan Carlos Figueroa Garc{\'{\i}}a}, title = {A Palmprint Classification Method Based on Finite Ridgelet Transformation and {SVM}}, booktitle = {Advanced Intelligent Computing - 7th International Conference, {ICIC} 2011, Zhengzhou, China, August 11-14, 2011. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6838}, pages = {398--404}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24728-6\_54}, doi = {10.1007/978-3-642-24728-6\_54}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/HuaiS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhangQS11, author = {Yu Zhang and Meixing Qi and Li Shang}, editor = {De{-}Shuang Huang and Yong Gan and Vitoantonio Bevilacqua and Juan Carlos Figueroa Garc{\'{\i}}a}, title = {Palmprint Recognition Based on Two-Dimensional Gabor Wavelet Transform and Two-Dimensional Principal Component Analysis}, booktitle = {Advanced Intelligent Computing - 7th International Conference, {ICIC} 2011, Zhengzhou, China, August 11-14, 2011. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6838}, pages = {405--411}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24728-6\_55}, doi = {10.1007/978-3-642-24728-6\_55}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhangQS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangS11, author = {Li Shang and Pingang Su}, editor = {De{-}Shuang Huang and Yong Gan and Phalguni Gupta and M. Michael Gromiha}, title = {{MMW} Image Reconstruction Combined {NNSC} Shrinkage Technique and PDEs Algorithm}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence - 7th International Conference, {ICIC} 2011, Zhengzhou, China, August 11-14, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6839}, pages = {672--679}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25944-9\_88}, doi = {10.1007/978-3-642-25944-9\_88}, timestamp = {Sun, 02 Jun 2019 21:22:55 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangCC11, author = {Li Shang and Ming Cui and Jie Chen}, editor = {De{-}Shuang Huang and Yong Gan and Phalguni Gupta and M. Michael Gromiha}, title = {Palm Recognition Using Fast Sparse Coding Algorithm}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence - 7th International Conference, {ICIC} 2011, Zhengzhou, China, August 11-14, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6839}, pages = {701--707}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25944-9\_92}, doi = {10.1007/978-3-642-25944-9\_92}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhouS11, author = {Yan Zhou and Li Shang}, editor = {De{-}Shuang Huang and Yong Gan and Phalguni Gupta and M. Michael Gromiha}, title = {Speaker Recognition Based on Principal Component Analysis and Probabilistic Neural Network}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence - 7th International Conference, {ICIC} 2011, Zhengzhou, China, August 11-14, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6839}, pages = {708--715}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25944-9\_93}, doi = {10.1007/978-3-642-25944-9\_93}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhouS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/LiLXSLG11, author = {Dongsheng Li and Qin Lv and Huanhuan Xia and Li Shang and Tun Lu and Ning Gu}, editor = {Olivier Boissier and Boualem Benatallah and Mike P. Papazoglou and Zbigniew W. Ras and Mohand{-}Said Hacid}, title = {Pistis: {A} Privacy-Preserving Content Recommender System for Online Social Communities}, booktitle = {Proceedings of the 2011 {IEEE/WIC/ACM} International Conference on Web Intelligence, {WI} 2011, Campus Scientifique de la Doua, Lyon, France, August 22-27, 2011}, pages = {79--86}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/WI-IAT.2011.136}, doi = {10.1109/WI-IAT.2011.136}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/LiLXSLG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/GuXLRS11, author = {Hansu Gu and Xing Xie and Qin Lv and Yaoping Ruan and Li Shang}, editor = {Olivier Boissier and Boualem Benatallah and Mike P. Papazoglou and Zbigniew W. Ras and Mohand{-}Said Hacid}, title = {ETree: Effective and Efficient Event Modeling for Real-Time Online Social Media Networks}, booktitle = {Proceedings of the 2011 {IEEE/WIC/ACM} International Conference on Web Intelligence, {WI} 2011, Campus Scientifique de la Doua, Lyon, France, August 22-27, 2011}, pages = {300--307}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/WI-IAT.2011.126}, doi = {10.1109/WI-IAT.2011.126}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/GuXLRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/ZhangWS11, author = {Wei Zhang and James Williamson and Li Shang}, editor = {Swarup Bhunia and Saibal Mukhopadhyay}, title = {Power Dissipation}, booktitle = {Low-Power Variation-Tolerant Design in Nanometer Silicon}, pages = {41--80}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-7418-1\_2}, doi = {10.1007/978-1-4419-7418-1\_2}, timestamp = {Tue, 07 May 2024 19:59:15 +0200}, biburl = {https://dblp.org/rec/books/daglib/p/ZhangWS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiMZSMFVPS10, author = {Zheng Li and Moustafa Mohamed and Hongyu Zhou and Li Shang and Alan Rolf Mickelson and Dejan Filipovic and Manish Vachharajani and Wounjhang Park and Yihe Sun}, title = {Global On-Chip Coordination at Light Speed}, journal = {{IEEE} Des. Test Comput.}, volume = {27}, number = {4}, pages = {54--67}, year = {2010}, url = {https://doi.org/10.1109/MDT.2010.75}, doi = {10.1109/MDT.2010.75}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LiMZSMFVPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SunS10, author = {Zhan{-}Li Sun and Li Shang}, title = {An improved constrained {ICA} with reference based unmixing matrix initialization}, journal = {Neurocomputing}, volume = {73}, number = {4-6}, pages = {1013--1017}, year = {2010}, url = {https://doi.org/10.1016/j.neucom.2009.12.016}, doi = {10.1016/J.NEUCOM.2009.12.016}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/SunS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ZhangJS10, author = {Wei Zhang and Niraj K. Jha and Li Shang}, title = {Low-power 3D nano/CMOS hybrid dynamically reconfigurable architecture}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {6}, number = {3}, pages = {10:1--10:32}, year = {2010}, url = {https://doi.org/10.1145/1777401.1777403}, doi = {10.1145/1777401.1777403}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/ZhangJS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LuZSZ10, author = {Yinghai Lu and Hai Zhou and Li Shang and Xuan Zeng}, title = {Multicore Parallelization of Min-Cost Flow for {CAD} Applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {10}, pages = {1546--1557}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2061150}, doi = {10.1109/TCAD.2010.2061150}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LuZSZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenYDSL10, author = {Xi Chen and Lei Yang and Robert P. Dick and Li Shang and Haris Lekatsas}, title = {C-Pack: {A} High-Performance Microprocessor Cache Compression Algorithm}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {8}, pages = {1196--1208}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2020989}, doi = {10.1109/TVLSI.2009.2020989}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenYDSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AllecKS10, author = {Nicholas Allec and Robert G. Knobel and Li Shang}, title = {An Adaptive Algorithm for Single-Electron Device and Circuit Simulation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {8}, pages = {1253--1257}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2020988}, doi = {10.1109/TVLSI.2009.2020988}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AllecKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/XiangCDHS10, author = {Xiang Yun and Thidapat Chantem and Robert P. Dick and Xiaobo Sharon Hu and Li Shang}, editor = {Tony Givargis and Adam Donlin}, title = {System-level reliability modeling for MPSoCs}, booktitle = {Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2010, part of ESWeek '10 Sixth Embedded Systems Week, Scottsdale, AZ, USA, October 24-28, 2010}, pages = {297--306}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1878961.1879013}, doi = {10.1145/1878961.1879013}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/XiangCDHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenDS10, author = {Xi Chen and Robert P. Dick and Li Shang}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Properties of and improvements to time-domain dynamic thermal analysis algorithms}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1165--1170}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456984}, doi = {10.1109/DATE.2010.5456984}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenDS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BsoulMS10, author = {Assem A. M. Bsoul and Naraig Manjikian and Li Shang}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Reliability- and process variation-aware placement for FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1809--1814}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457107}, doi = {10.1109/DATE.2010.5457107}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BsoulMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangSDGZ10, author = {Li Shang and Pingang Su and Guiping Dai and Yunian Gu and Zhiqiang Zhao}, editor = {De{-}Shuang Huang and T. Martin McGinnity and Laurent Heutte and Xiao{-}Ping (Steven) Zhang}, title = {Palmprint Recognition Method Using {WTA-ICA} Based on 2DPCA}, booktitle = {Advanced Intelligent Computing Theories and Applications - 6th International Conference on Intelligent Computing, {ICIC} 2010, Changsha, China, August 18-21, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {93}, pages = {250--257}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14831-6\_34}, doi = {10.1007/978-3-642-14831-6\_34}, timestamp = {Thu, 12 Dec 2019 16:43:34 +0100}, biburl = {https://dblp.org/rec/conf/icic/ShangSDGZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhouHWFS10, author = {Changxiong Zhou and Zhifeng Hu and Feng Wang and Haijiang Fan and Li Shang}, editor = {De{-}Shuang Huang and T. Martin McGinnity and Laurent Heutte and Xiao{-}Ping (Steven) Zhang}, title = {Quantum Collapsing Median Filter}, booktitle = {Advanced Intelligent Computing Theories and Applications - 6th International Conference on Intelligent Computing, {ICIC} 2010, Changsha, China, August 18-21, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {93}, pages = {454--461}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14831-6\_59}, doi = {10.1007/978-3-642-14831-6\_59}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhouHWFS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HuaiS10, author = {Wenjun Huai and Li Shang}, editor = {De{-}Shuang Huang and T. Martin McGinnity and Laurent Heutte and Xiao{-}Ping (Steven) Zhang}, title = {Palm Line Extraction Using {FRIT}}, booktitle = {Advanced Intelligent Computing Theories and Applications - 6th International Conference on Intelligent Computing, {ICIC} 2010, Changsha, China, August 18-21, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {93}, pages = {499--506}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14831-6\_65}, doi = {10.1007/978-3-642-14831-6\_65}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/HuaiS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZGZ10, author = {Li Shang and Changxiong Zhou and Yunian Gu and Yu Zhang}, editor = {De{-}Shuang Huang and Zhongming Zhao and Vitoantonio Bevilacqua and Juan Carlos Figueroa Garc{\'{\i}}a}, title = {Face Recognition Using the Feature Fusion Technique Based on {LNMF} and {NNSC} Algorithms}, booktitle = {Advanced Intelligent Computing Theories and Applications, 6th International Conference on Intelligent Computing, {ICIC} 2010, Changsha, China, August 18-21, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6215}, pages = {547--554}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14922-1\_68}, doi = {10.1007/978-3-642-14922-1\_68}, timestamp = {Sun, 02 Jun 2019 21:22:55 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZGZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MohamedLCSMVS10, author = {Moustafa Mohamed and Zheng Li and Xi Chen and Li Shang and Alan Rolf Mickelson and Manish Vachharajani and Yihe Sun}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Power-efficient variation-aware photonic on-chip network management}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {31--36}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840853}, doi = {10.1145/1840845.1840853}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/MohamedLCSMVS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiWJHLSM10, author = {Kun Li and Jie Wu and Yifei Jiang and Zyad Hassan and Qin Lv and Li Shang and Dragan Maksimovic}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Large-scale battery system modeling and analysis for emerging electric-drive vehicles}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {277--282}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840903}, doi = {10.1145/1840845.1840903}, timestamp = {Fri, 22 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LiWJHLSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WangLLZS10, author = {Jia Wang and Kun Li and Qin Lv and Hai Zhou and Li Shang}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Hybrid energy storage system integration for vehicles}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {369--374}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840925}, doi = {10.1145/1840845.1840925}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/WangLLZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ShangHDCD10, author = {Li Shang and Wenjun Huai and Guiping Dai and Jie Chen and Ji{-}Xiang Du}, editor = {Liqing Zhang and Bao{-}Liang Lu and James Tin{-}Yau Kwok}, title = {Palmprint Recognition Using 2D-Gabor Wavelet Based Sparse Coding and {RBPNN} Classifier}, booktitle = {Advances in Neural Networks - {ISNN} 2010, 7th International Symposium on Neural Networks, {ISNN} 2010, Shanghai, China, June 6-9, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6064}, pages = {112--119}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13318-3\_15}, doi = {10.1007/978-3-642-13318-3\_15}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ShangHDCD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/ShangZ09, author = {Li Shang and Heping Zhang}, title = {Degree conditions for graphs to be lambda\({}_{\mbox{3}}\)-optimal and super-lambda\({}_{\mbox{3}}\)}, journal = {Discret. Math.}, volume = {309}, number = {10}, pages = {3336--3345}, year = {2009}, url = {https://doi.org/10.1016/j.disc.2008.09.051}, doi = {10.1016/J.DISC.2008.09.051}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/ShangZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ZhangJS09, author = {Wei Zhang and Niraj K. Jha and Li Shang}, title = {A hybrid Nano/CMOS dynamically reconfigurable system - Part {II:} Design optimization flow}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {5}, number = {3}, pages = {13:1--13:31}, year = {2009}, url = {https://doi.org/10.1145/1568485.1568487}, doi = {10.1145/1568485.1568487}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/ZhangJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ZhangJS09a, author = {Wei Zhang and Niraj K. Jha and Li Shang}, title = {A hybrid nano/CMOS dynamically reconfigurable system - Part {I:} Architecture}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {5}, number = {4}, pages = {16:1--16:30}, year = {2009}, url = {https://doi.org/10.1145/1629091.1629092}, doi = {10.1145/1629091.1629092}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/ZhangJS09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ZhangJS09b, author = {Wei Zhang and Niraj K. Jha and Li Shang}, title = {Design space exploration and data memory architecture design for a hybrid nano/CMOS dynamically reconfigurable architecture}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {5}, number = {4}, pages = {17:1--17:27}, year = {2009}, url = {https://doi.org/10.1145/1629091.1629093}, doi = {10.1145/1629091.1629093}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/ZhangJS09b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HassanASDVY09, author = {Zyad Hassan and Nicholas Allec and Li Shang and Robert P. Dick and V. Venkatraman and Ronggui Yang}, title = {Multiscale Thermal Analysis for Nanometer-Scale Integrated Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {6}, pages = {860--873}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2017428}, doi = {10.1109/TCAD.2009.2017428}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HassanASDVY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KeutzerLSZ09, author = {Kurt Keutzer and Peng Li and Li Shang and Hai Zhou}, title = {{ACM} Transactions on Design Automation of Electronic Systems {(TODAES)} special section call for papers: Parallel {CAD:} Algorithm design and programming}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {15}, number = {1}, pages = {9:1--9:2}, year = {2009}, url = {https://doi.org/10.1145/1640457.1640466}, doi = {10.1145/1640457.1640466}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/KeutzerLSZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhuGDSK09, author = {Changyun Zhu and Zhengyu Gu and Robert P. Dick and Li Shang and Robert G. Knobel}, title = {Characterization of Single-Electron Tunneling Transistors for Designing Low-Power Embedded Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {5}, pages = {646--659}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2009013}, doi = {10.1109/TVLSI.2008.2009013}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhuGDSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/FaySG09, author = {Dan Fay and Li Shang and Dirk Grunwald}, editor = {J{\"{o}}rg Henkel and Sri Parameswaran}, title = {A platform for developing adaptable multicore applications}, booktitle = {Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2009, Grenoble, France, October 11-16, 2009}, pages = {157--166}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629395.1629418}, doi = {10.1145/1629395.1629418}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/FaySG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuSZZYZ09, author = {Yinghai Lu and Li Shang and Hai Zhou and Hengliang Zhu and Fan Yang and Xuan Zeng}, title = {Statistical reliability analysis under process variation and aging effects}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {514--519}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630044}, doi = {10.1145/1629911.1630044}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LuSZZYZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiFMSVFPS09, author = {Zheng Li and Dan Fay and Alan Rolf Mickelson and Li Shang and Manish Vachharajani and Dejan Filipovic and Wounjhang Park and Yihe Sun}, title = {Spectrum: a hybrid nanophotonic-electric on-chip network}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {575--580}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630060}, doi = {10.1145/1629911.1630060}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiFMSVFPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangBDSJ09, author = {Lide Zhang and Lan S. Bai and Robert P. Dick and Li Shang and Russ Joseph}, title = {Process variation characterization of chip-level multiprocessors}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {694--697}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630092}, doi = {10.1145/1629911.1630092}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangBDSJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuZSZ09, author = {Yinghai Lu and Hai Zhou and Li Shang and Xuan Zeng}, title = {Multicore parallel min-cost flow algorithm for {CAD} applications}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {832--837}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630124}, doi = {10.1145/1629911.1630124}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LuZSZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiWSDS09, author = {Zheng Li and Jie Wu and Li Shang and Robert P. Dick and Yihe Sun}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Latency criticality aware on-chip communication}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1052--1057}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090820}, doi = {10.1109/DATE.2009.5090820}, timestamp = {Fri, 22 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiWSDS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ShangZHCD09, author = {Li Shang and Jinfeng Zhang and Wenjun Huai and Jie Chen and Ji{-}Xiang Du}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Hong{-}Hee Lee and Hee{-}Jun Kang and Vitoantonio Bevilacqua}, title = {Image Reconstruction Using {NMF} with Sparse Constraints Based on Kurtosis Measurement Criterion}, booktitle = {Emerging Intelligent Computing Technology and Applications. With Aspects of Artificial Intelligence, 5th International Conference on Intelligent Computing, {ICIC} 2009, Ulsan, South Korea, September 16-19, 2009, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5755}, pages = {834--840}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04020-7\_89}, doi = {10.1007/978-3-642-04020-7\_89}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/ShangZHCD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiWSMVFPS09, author = {Zheng Li and Jie Wu and Li Shang and Alan Rolf Mickelson and Manish Vachharajani and Dejan Filipovic and Wounjhang Park and Yihe Sun}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {A high-performance low-power nanophotonic on-chip network}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {291--294}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594305}, doi = {10.1145/1594233.1594305}, timestamp = {Fri, 22 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/LiWSMVFPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ZhuLLSD09, author = {Changyun Zhu and Kun Li and Qin Lv and Li Shang and Robert P. Dick}, editor = {Krzysztof Zielinski and Adam Wolisz and Jason Flinn and Anthony LaMarca}, title = {iScope: personalized multi-modality image search for mobile devices}, booktitle = {Proceedings of the 7th International Conference on Mobile Systems, Applications, and Services (MobiSys 2009), Krak{\'{o}}w, Poland, June 22-25, 2009}, pages = {277--290}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555816.1555845}, doi = {10.1145/1555816.1555845}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/ZhuLLSD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/Shang08, author = {Li Shang}, title = {Denoising natural images based on a modified sparse coding algorithm}, journal = {Appl. Math. Comput.}, volume = {205}, number = {2}, pages = {883--889}, year = {2008}, url = {https://doi.org/10.1016/j.amc.2008.05.018}, doi = {10.1016/J.AMC.2008.05.018}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/Shang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LiZSDS08, author = {Zheng Li and Changyun Zhu and Li Shang and Robert P. Dick and Yihe Sun}, title = {Transaction-Aware Network-on-Chip Resource Reservation}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {7}, number = {2}, pages = {53--56}, year = {2008}, url = {https://doi.org/10.1109/L-CA.2008.9}, doi = {10.1109/L-CA.2008.9}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/LiZSDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/Shang08, author = {Li Shang}, title = {Non-negative sparse coding shrinkage for image denoising using normal inverse Gaussian density model}, journal = {Image Vis. Comput.}, volume = {26}, number = {8}, pages = {1137--1147}, year = {2008}, url = {https://doi.org/10.1016/j.imavis.2007.12.006}, doi = {10.1016/J.IMAVIS.2007.12.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/Shang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KumarSPJ08, author = {Amit Kumar and Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, title = {System-Level Dynamic Thermal Management for High-Performance Microprocessors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {1}, pages = {96--108}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2007.907062}, doi = {10.1109/TCAD.2007.907062}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KumarSPJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhuGSDJ08, author = {Changyun Zhu and Zhenyu (Peter) Gu and Li Shang and Robert P. Dick and Russ Joseph}, title = {Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {8}, pages = {1479--1492}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.925793}, doi = {10.1109/TCAD.2008.925793}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhuGSDJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GuZSD08, author = {Zhenyu (Peter) Gu and Changyun Zhu and Li Shang and Robert P. Dick}, title = {Application-Specific MPSoC Reliability Optimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {5}, pages = {603--608}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.917574}, doi = {10.1109/TVLSI.2008.917574}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/GuZSD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/MengJDS08, author = {Ke Meng and Russ Joseph and Robert P. Dick and Li Shang}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {Multi-optimization power management for chip multiprocessors}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {177--186}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454141}, doi = {10.1145/1454115.1454141}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/MengJDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/EisleyPS08, author = {Noel Eisley and Li{-}Shiuan Peh and Li Shang}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {Leveraging on-chip networks for data cache migration in chip multiprocessors}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {197--207}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454144}, doi = {10.1145/1454115.1454144}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/EisleyPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AllecKS08, author = {Nicholas Allec and Robert G. Knobel and Li Shang}, editor = {Donatella Sciuto}, title = {Adaptive Simulation for Single-Electron Devices}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {1021--1026}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484815}, doi = {10.1109/DATE.2008.4484815}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AllecKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/ChenYLDS08, author = {Xi Chen and Lei Yang and Haris Lekatsas and Robert P. Dick and Li Shang}, title = {Design and Implementation of a High-Performance Microprocessor Cache Compression Algorithm}, booktitle = {2008 Data Compression Conference {(DCC} 2008), 25-27 March 2008, Snowbird, UT, {USA}}, pages = {43--52}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DCC.2008.90}, doi = {10.1109/DCC.2008.90}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcc/ChenYLDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BildMCKDHSC08, author = {David R. Bild and Sanchit Misra and Thidapat Chantem and Prabhat Kumar and Robert P. Dick and Xiaobo Sharon Hu and Li Shang and Alok N. Choudhary}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Temperature-aware test scheduling for multiprocessor systems-on-chip}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {59--66}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681552}, doi = {10.1109/ICCAD.2008.4681552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BildMCKDHSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AllecHSDY08, author = {Nicholas Allec and Zyad Hassan and Li Shang and Robert P. Dick and Ronggui Yang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {603--610}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681639}, doi = {10.1109/ICCAD.2008.4681639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AllecHSDY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/Shang08a, author = {Li Shang}, editor = {De{-}Shuang Huang and Donald C. Wunsch II and Daniel S. Levine and Kang{-}Hyun Jo}, title = {Image Reconstruction Using a Modified Sparse Coding Technique}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 4th International Conference on Intelligent Computing, {ICIC} 2008, Shanghai, China, September 15-18, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5226}, pages = {220--226}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87442-3\_28}, doi = {10.1007/978-3-540-87442-3\_28}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/Shang08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ShangCC08, author = {Li Shang and Feng{-}Wen Cao and Jie Chen}, editor = {Fuchun Sun and Jianwei Zhang and Ying Tan and Jinde Cao and Wen Yu}, title = {Denoising Natural Images Using Sparse Coding Algorithm Based on the Kurtosis Measurement}, booktitle = {Advances in Neural Networks - {ISNN} 2008, 5th International Symposium on Neural Networks, {ISNN} 2008, Beijing, China, September 24-28, 2008, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5264}, pages = {351--358}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87734-9\_40}, doi = {10.1007/978-3-540-87734-9\_40}, timestamp = {Tue, 20 Aug 2024 07:54:42 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ShangCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ShangCZ08, author = {Li Shang and Feng{-}Wen Cao and Jinfeng Zhang}, editor = {Fuchun Sun and Jianwei Zhang and Ying Tan and Jinde Cao and Wen Yu}, title = {A New Denoising Approach for Sound Signals Based on Non-negative Sparse Coding of Power Spectra}, booktitle = {Advances in Neural Networks - {ISNN} 2008, 5th International Symposium on Neural Networks, {ISNN} 2008, Beijing, China, September 24-28, 2008, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5264}, pages = {359--366}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87734-9\_41}, doi = {10.1007/978-3-540-87734-9\_41}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ShangCZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BrooksDJS07, author = {David M. Brooks and Robert P. Dick and Russ Joseph and Li Shang}, title = {Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors}, journal = {{IEEE} Micro}, volume = {27}, number = {3}, pages = {49--62}, year = {2007}, url = {https://doi.org/10.1109/MM.2007.58}, doi = {10.1109/MM.2007.58}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/BrooksDJS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/networks/ShangZ07, author = {Li Shang and Heping Zhang}, title = {Sufficient conditions for graphs to be \emph{lambda'}-optimal and super-\emph{lambda'}}, journal = {Networks}, volume = {49}, number = {3}, pages = {234--242}, year = {2007}, url = {https://doi.org/10.1002/net.20173}, doi = {10.1002/NET.20173}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/networks/ShangZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YangGZDS07, author = {Yonghong Yang and Zhenyu (Peter) Gu and Changyun Zhu and Robert P. Dick and Li Shang}, title = {{ISAC:} Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {1}, pages = {86--99}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2006.882589}, doi = {10.1109/TCAD.2006.882589}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YangGZDS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShangDJ07, author = {Li Shang and Robert P. Dick and Niraj K. Jha}, title = {{SLOPES:} Hardware-Software Cosynthesis of Low-Power Real-Time Distributed Embedded Systems With Dynamically Reconfigurable FPGAs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {3}, pages = {508--526}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2006.883909}, doi = {10.1109/TCAD.2006.883909}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShangDJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SunSD07, author = {Chong Sun and Li Shang and Robert P. Dick}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Three-dimensional multiprocessor system-on-chip thermal optimization}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {117--122}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289846}, doi = {10.1145/1289816.1289846}, timestamp = {Sat, 05 Sep 2020 18:08:48 +0200}, biburl = {https://dblp.org/rec/conf/codes/SunSD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ZhuGDS07, author = {Changyun Zhu and Zhenyu (Peter) Gu and Robert P. Dick and Li Shang}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Reliable multiprocessor system-on-chip synthesis}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {239--244}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289874}, doi = {10.1145/1289816.1289874}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/ZhuGDS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangSJ07, author = {Wei Zhang and Li Shang and Niraj K. Jha}, title = {NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {300--305}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278558}, doi = {10.1145/1278480.1278558}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuGSDK07, author = {Changyun Zhu and Zhenyu (Peter) Gu and Li Shang and Robert P. Dick and Robert G. Knobel}, title = {Towards An Ultra-Low-Power Architecture Using Single-Electron Tunneling Transistors}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {312--317}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278560}, doi = {10.1145/1278480.1278560}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhuGSDK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuDSY07, author = {Yongpan Liu and Robert P. Dick and Li Shang and Huazhong Yang}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Accurate temperature-dependent integrated circuit leakage power estimation is easy}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1526--1531}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266701}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuDSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouMLDSZHZ07, author = {Pingqiang Zhou and Yuchun Ma and Zhuoyuan Li and Robert P. Dick and Li Shang and Hai Zhou and Xianlong Hong and Qiang Zhou}, editor = {Georges G. E. Gielen}, title = {3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {590--597}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397329}, doi = {10.1109/ICCAD.2007.4397329}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhouMLDSZHZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/KongZWS07, author = {Xiangzhen Kong and Chun{-}Hou Zheng and Yuqiang Wu and Li Shang}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {Molecular Cancer Class Discovery Using Non-negative Matrix Factorization with Sparseness Constraint}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4681}, pages = {792--802}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74171-8\_79}, doi = {10.1007/978-3-540-74171-8\_79}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/KongZWS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ShangCZCZ07, author = {Li Shang and Feng{-}Wen Cao and Zhiqiang Zhao and Jie Chen and Yu Zhang}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {Palmprint Recognition Using a Novel Sparse Coding Technique}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4492}, pages = {810--818}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72393-6\_97}, doi = {10.1007/978-3-540-72393-6\_97}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ShangCZCZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuYDWS07, author = {Yongpan Liu and Huazhong Yang and Robert P. Dick and Hui Wang and Li Shang}, title = {Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {204--209}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.158}, doi = {10.1109/ISQED.2007.158}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LiuYDWS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/EisleyPS06, author = {Noel Eisley and Li{-}Shiuan Peh and Li Shang}, title = {In-network cache coherence}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {5}, number = {1}, pages = {34--37}, year = {2006}, url = {https://doi.org/10.1109/L-CA.2006.9}, doi = {10.1109/L-CA.2006.9}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/EisleyPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShangHZS06, author = {Li Shang and Deshuang Huang and Chunhou Zheng and Zhan{-}Li Sun}, title = {Noise removal using a novel non-negative sparse coding shrinkage technique}, journal = {Neurocomputing}, volume = {69}, number = {7-9}, pages = {874--877}, year = {2006}, url = {https://doi.org/10.1016/j.neucom.2005.07.004}, doi = {10.1016/J.NEUCOM.2005.07.004}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ShangHZS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SunHZS06, author = {Zhan{-}Li Sun and De{-}Shuang Huang and Chun{-}Hou Zheng and Li Shang}, title = {Optimal selection of time lags for {TDSEP} based on genetic algorithm}, journal = {Neurocomputing}, volume = {69}, number = {7-9}, pages = {884--887}, year = {2006}, url = {https://doi.org/10.1016/j.neucom.2005.06.010}, doi = {10.1016/J.NEUCOM.2005.06.010}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/SunHZS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ShangHDZ06, author = {Li Shang and De{-}Shuang Huang and Ji{-}Xiang Du and Chun{-}Hou Zheng}, title = {Palmprint recognition using FastICA algorithm and radial basis probabilistic neural network}, journal = {Neurocomputing}, volume = {69}, number = {13-15}, pages = {1782--1786}, year = {2006}, url = {https://doi.org/10.1016/j.neucom.2005.11.004}, doi = {10.1016/J.NEUCOM.2005.11.004}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ShangHDZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhengHS06, author = {Chun{-}Hou Zheng and De{-}Shuang Huang and Li Shang}, title = {Feature selection in independent component subspace for microarray data classification}, journal = {Neurocomputing}, volume = {69}, number = {16-18}, pages = {2407--2410}, year = {2006}, url = {https://doi.org/10.1016/j.neucom.2006.02.006}, doi = {10.1016/J.NEUCOM.2006.02.006}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ZhengHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ShangPKJ06, author = {Li Shang and Li{-}Shiuan Peh and Amit Kumar and Niraj K. Jha}, title = {Temperature-Aware On-Chip Networks}, journal = {{IEEE} Micro}, volume = {26}, number = {1}, pages = {130--139}, year = {2006}, url = {https://doi.org/10.1109/MM.2006.23}, doi = {10.1109/MM.2006.23}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ShangPKJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/ShangC06, author = {Li Shang and Feng{-}Wen Cao}, title = {Adaptive Denoising Using a Modified Sparse Coding Shrinkage Method}, journal = {Neural Process. Lett.}, volume = {24}, number = {2}, pages = {153--162}, year = {2006}, url = {https://doi.org/10.1007/s11063-006-9017-6}, doi = {10.1007/S11063-006-9017-6}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/ShangC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShangPJ06, author = {Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, title = {PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {1}, pages = {92--110}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.852438}, doi = {10.1109/TCAD.2005.852438}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShangPJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GuYWDS06, author = {Zhenyu (Peter) Gu and Yonghong Yang and Jia Wang and Robert P. Dick and Li Shang}, editor = {Fumiyasu Hirose}, title = {{TAPHS:} thermal-aware unified physical-level and high-level synthesis}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {879--885}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594797}, doi = {10.1109/ASPDAC.2006.1594797}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GuYWDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KumarSPJ06, author = {Amit Kumar and Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, editor = {Ellen Sentovich}, title = {HybDTM: a coordinated hardware-software approach for dynamic thermal management}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {548--553}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147052}, doi = {10.1145/1146909.1147052}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KumarSPJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangJS06, author = {Wei Zhang and Niraj K. Jha and Li Shang}, editor = {Ellen Sentovich}, title = {{NATURE:} a hybrid nanotube/CMOS dynamically reconfigurable architecture}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {711--716}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147091}, doi = {10.1145/1146909.1147091}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangGZSD06, author = {Yonghong Yang and Zhenyu (Peter) Gu and Changyun Zhu and Li Shang and Robert P. Dick}, editor = {Georges G. E. Gielen}, title = {Adaptive chip-package thermal analysis for synthesis and design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {844--849}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243742}, doi = {10.1109/DATE.2006.243742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangGZSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangZGSD06, author = {Yonghong Yang and Changyun Zhu and Zhenyu (Peter) Gu and Li Shang and Robert P. Dick}, editor = {Soha Hassoun}, title = {Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {575--582}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233619}, doi = {10.1145/1233501.1233619}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangZGSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhengSCH06, author = {Chun{-}Hou Zheng and Li Shang and Yan Chen and Zhi{-}Kai Huang}, editor = {De{-}Shuang Huang and Kang Li and George W. Irwin}, title = {Penalized Independent Component Discriminant Method for Tumor Classification}, booktitle = {Computational Intelligence and Bioinformatics, International Conference on Intelligent Computing, {ICIC} 2006, Kunming, China, August 16-19, 2006. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4115}, pages = {494--503}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11816102\_53}, doi = {10.1007/11816102\_53}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/ZhengSCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ShangHDH06, author = {Li Shang and De{-}Shuang Huang and Ji{-}Xiang Du and Zhi{-}Kai Huang}, editor = {Jun Wang and Zhang Yi and Jacek M. Zurada and Bao{-}Liang Lu and Hujun Yin}, title = {Palmprint Recognition Using {ICA} Based on Winner-Take-All Network and Radial Basis Probabilistic Neural Network}, booktitle = {Advances in Neural Networks - {ISNN} 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28 - June 1, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3972}, pages = {216--221}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11760023\_32}, doi = {10.1007/11760023\_32}, timestamp = {Mon, 19 Aug 2024 08:36:56 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ShangHDH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/EisleyPS06, author = {Noel Eisley and Li{-}Shiuan Peh and Li Shang}, title = {In-Network Cache Coherence}, booktitle = {39th Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-39} 2006), 9-13 December 2006, Orlando, Florida, {USA}}, pages = {321--332}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MICRO.2006.27}, doi = {10.1109/MICRO.2006.27}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/EisleyPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SunHZS05, author = {Zhan{-}Li Sun and De{-}Shuang Huang and Chun{-}Hou Zheng and Li Shang}, title = {Using batch algorithm for kernel blind source separation}, journal = {Neurocomputing}, volume = {69}, number = {1-3}, pages = {273--278}, year = {2005}, url = {https://doi.org/10.1016/j.neucom.2005.05.008}, doi = {10.1016/J.NEUCOM.2005.05.008}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/SunHZS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShangH05, author = {Li Shang and Deshuang Huang}, title = {Image Denoising Using Non-Negative Sparse Coding Shrinkage Algorithm}, booktitle = {2005 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2005), 20-26 June 2005, San Diego, CA, {USA}}, pages = {1017--1022}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CVPR.2005.183}, doi = {10.1109/CVPR.2005.183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ShangH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ZhengHSS05, author = {Chunhou Zheng and Deshuang Huang and Zhan{-}Li Sun and Li Shang}, editor = {Jun Wang and Xiaofeng Liao and Zhang Yi}, title = {Post-nonlinear Blind Source Separation Using Neural Networks with Sandwiched Structure}, booktitle = {Advances in Neural Networks - {ISNN} 2005, Second International Symposium on Neural Networks, Chongqing, China, May 30 - June 1, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3497}, pages = {478--483}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11427445\_78}, doi = {10.1007/11427445\_78}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ZhengHSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/LiHZS05, author = {Li Shang and Deshuang Huang and Chunhou Zheng and Zhan{-}Li Sun}, editor = {Jun Wang and Xiaofeng Liao and Zhang Yi}, title = {Image Feature Extraction Based on an Extended Non-negative Sparse Coding Neural Network Model}, booktitle = {Advances in Neural Networks - {ISNN} 2005, Second International Symposium on Neural Networks, Chongqing, China, May 30 - June 1, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3497}, pages = {807--812}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11427445\_130}, doi = {10.1007/11427445\_130}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isnn/LiHZS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ShangDJ04, author = {Li Shang and Robert P. Dick and Niraj K. Jha}, title = {{DESP:} {A} Distributed Economics-Based Subcontracting Protocol for Computation Distribution in Power-Aware Mobile Ad Hoc Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {3}, number = {1}, pages = {33--45}, year = {2004}, url = {https://doi.org/10.1109/TMC.2004.1261815}, doi = {10.1109/TMC.2004.1261815}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ShangDJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ShangPKJ04, author = {Li Shang and Li{-}Shiuan Peh and Amit Kumar and Niraj K. Jha}, title = {Thermal Modeling, Characterization and Management of On-Chip Networks}, booktitle = {37th Annual International Symposium on Microarchitecture {(MICRO-37} 2004), 4-8 December 2004, Portland, OR, {USA}}, pages = {67--78}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/MICRO.2004.35}, doi = {10.1109/MICRO.2004.35}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/ShangPKJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/WangTLFSVZRJ03, author = {Weidong Wang and Tat Kee Tan and Jiong Luo and Yunsi Fei and Li Shang and Keith S. Vallerio and Lin Zhong and Anand Raghunathan and Niraj K. Jha}, editor = {Mircea R. Stan and David Garrett and Kazuo Nakajima}, title = {A comprehensive high-level synthesis system for control-flow intensive behaviors}, booktitle = {Proceedings of the 13th {ACM} Great Lakes Symposium on {VLSI} 2003, Washington, DC, USA, April 28-29, 2003}, pages = {11--14}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/764808.764812}, doi = {10.1145/764808.764812}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/WangTLFSVZRJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ShangPJ03, author = {Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, title = {Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {91--102}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183527}, doi = {10.1109/HPCA.2003.1183527}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ShangPJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShangPJ03, author = {Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {98--108}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782830}, doi = {10.1145/782814.782830}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShangPJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ShangPJ02, author = {Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, title = {Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {1}, year = {2002}, url = {https://doi.org/10.1109/L-CA.2002.10}, doi = {10.1109/L-CA.2002.10}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/ShangPJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ShangKB02, author = {Li Shang and Alireza Kaviani and Kusuma Bathala}, editor = {Martine D. F. Schlag and Steve Trimberger}, title = {Dynamic power consumption in Virtex[tm]-II {FPGA} family}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2002, Monterey, CA, USA, February 24-26, 2002}, pages = {157--164}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/503048.503072}, doi = {10.1145/503048.503072}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/ShangKB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcs/ShangDJ02, author = {Li Shang and Robert P. Dick and Niraj K. Jha}, editor = {Selim G. Akl and Teofilo F. Gonzalez}, title = {An Economics-based Power-aware Protocol for Computation Distribution in Mobile Ad-Hoc Networks}, booktitle = {International Conference on Parallel and Distributed Computing Systems, {PDCS} 2002, November 4-6, 2002, Cambridge, {USA}}, pages = {339--344}, publisher = {{IASTED/ACTA} Press}, year = {2002}, timestamp = {Tue, 26 Sep 2006 13:37:10 +0200}, biburl = {https://dblp.org/rec/conf/pdcs/ShangDJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ShangJ02, author = {Li Shang and Niraj K. Jha}, title = {Hardware-Software Co-Synthesis of Low Power Real-Time Distributed Embedded Systems with Dynamically Reconfigurable FPGAs}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {345}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994946}, doi = {10.1109/ASPDAC.2002.994946}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ShangJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShangJ01, author = {Li Shang and Niraj K. Jha}, title = {High-Level Power Modeling of CPLDs and FPGAs}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {46--53}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCD.2001.955002}, doi = {10.1109/ICCD.2001.955002}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShangJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.