BibTeX records: Rishad A. Shafik

download as .bib file

@article{DBLP:journals/corr/abs-2403-10538,
  author       = {Tousif Rahman and
                  Gang Mao and
                  Sidharth Maheshwari and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {{MATADOR:} Automated System-on-Chip Tsetlin Machine Design Generation
                  for Edge Applications},
  journal      = {CoRR},
  volume       = {abs/2403.10538},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2403.10538},
  doi          = {10.48550/ARXIV.2403.10538},
  eprinttype    = {arXiv},
  eprint       = {2403.10538},
  timestamp    = {Mon, 08 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2403-10538.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/es/AbeyrathnaGSJWYLG23,
  author       = {Kuruge Darshana Abeyrathna and
                  Ole{-}Christoffer Granmo and
                  Rishad A. Shafik and
                  Lei Jiao and
                  Adrian Wheeldon and
                  Alex Yakovlev and
                  Jie Lei and
                  Morten Goodwin},
  title        = {A multi-step finite-state automaton for arbitrarily deterministic
                  Tsetlin Machine learning},
  journal      = {Expert Syst. J. Knowl. Eng.},
  volume       = {40},
  number       = {4},
  year         = {2023},
  url          = {https://doi.org/10.1111/exsy.12836},
  doi          = {10.1111/EXSY.12836},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/es/AbeyrathnaGSJWYLG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/YuXSBY23,
  author       = {Shengqi Yu and
                  Fei Xia and
                  Rishad A. Shafik and
                  Domenico Balsamo and
                  Alex Yakovlev},
  title        = {Approximate digital-in analog-out multiplier with asymmetric nonvolatility
                  and low energy consumption},
  journal      = {Integr.},
  volume       = {93},
  pages        = {102045},
  year         = {2023},
  url          = {https://doi.org/10.1016/j.vlsi.2023.05.009},
  doi          = {10.1016/J.VLSI.2023.05.009},
  timestamp    = {Wed, 01 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/YuXSBY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/Tunheim0SYG23,
  author       = {Svein Anders Tunheim and
                  Lei Jiao and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Ole{-}Christoffer Granmo},
  title        = {Convolutional Tsetlin Machine-based Training and Inference Accelerator
                  for 2-D Pattern Classification},
  journal      = {Microprocess. Microsystems},
  volume       = {103},
  pages        = {104949},
  year         = {2023},
  url          = {https://doi.org/10.1016/j.micpro.2023.104949},
  doi          = {10.1016/J.MICPRO.2023.104949},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/Tunheim0SYG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pami/MaheshwariRSYRJG23,
  author       = {Sidharth Maheshwari and
                  Tousif Rahman and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Ashur Rafiev and
                  Lei Jiao and
                  Ole{-}Christoffer Granmo},
  title        = {{REDRESS:} Generating Compressed Models for Edge Inference Using Tsetlin
                  Machines},
  journal      = {{IEEE} Trans. Pattern Anal. Mach. Intell.},
  volume       = {45},
  number       = {9},
  pages        = {11152--11168},
  year         = {2023},
  url          = {https://doi.org/10.1109/TPAMI.2023.3268415},
  doi          = {10.1109/TPAMI.2023.3268415},
  timestamp    = {Tue, 12 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pami/MaheshwariRSYRJG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsusc/MileikoCMSB23,
  author       = {Sergey Mileiko and
                  Oktay Cetinkaya and
                  Darren Mackie and
                  Rishad A. Shafik and
                  Domenico Balsamo},
  title        = {A TEG-Based Non-Intrusive Ultrasonic System for Autonomous Water Flow
                  Rate Measurement},
  journal      = {{IEEE} Trans. Sustain. Comput.},
  volume       = {8},
  number       = {3},
  pages        = {363--374},
  year         = {2023},
  url          = {https://doi.org/10.1109/TSUSC.2023.3236524},
  doi          = {10.1109/TSUSC.2023.3236524},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tsusc/MileikoCMSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MileikoCSB23,
  author       = {Sergey Mileiko and
                  Oktay Cetinkaya and
                  Rishad A. Shafik and
                  Domenico Balsamo},
  title        = {Stateful Energy Management for Multi-Source Energy Harvesting Transient
                  Computing Systems},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137108},
  doi          = {10.23919/DATE56975.2023.10137108},
  timestamp    = {Wed, 07 Jun 2023 22:08:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MileikoCSB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idaacs/TarasyukGRSY23,
  author       = {Olga Tarasyuk and
                  Anatoliy Gorbenko and
                  Tousif Rahman and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Logic-Based Machine Learning with Reproducible Decision Model Using
                  the Tsetlin Machine},
  booktitle    = {12th {IEEE} International Conference on Intelligent Data Acquisition
                  and Advanced Computing Systems: Technology and Applications, {IDAACS}
                  2023, Dortmund, Germany, September 7-9, 2023},
  pages        = {465--470},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/IDAACS58523.2023.10348711},
  doi          = {10.1109/IDAACS58523.2023.10348711},
  timestamp    = {Thu, 25 Jan 2024 09:46:03 +0100},
  biburl       = {https://dblp.org/rec/conf/idaacs/TarasyukGRSY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhazalMLOXYS23,
  author       = {Omar Ghazal and
                  Gang Mao and
                  Tian Lan and
                  Jesse Ojukwu and
                  Fei Xia and
                  Alex Yakovlev and
                  Rishad A. Shafik},
  title        = {Asynchronous Control for Tsetlin Machine with Binary Memristor-Transistor
                  Array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10181560},
  doi          = {10.1109/ISCAS46773.2023.10181560},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhazalMLOXYS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GhazalSRYZBPMXYS23,
  author       = {Omar Ghazal and
                  Simranjeet Singh and
                  Tousif Rahman and
                  Shengqi Yu and
                  Yujin Zheng and
                  Domenico Balsamo and
                  Sachin B. Patkar and
                  Farhad Merchant and
                  Fei Xia and
                  Alex Yakovlev and
                  Rishad A. Shafik},
  title        = {{IMBUE:} In-Memory Boolean-to-CUrrent Inference ArchitecturE for Tsetlin
                  Machines},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244315},
  doi          = {10.1109/ISLPED58423.2023.10244315},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GhazalSRYZBPMXYS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/KishoreSSA23,
  author       = {C. Kishore and
                  Santhosh Sivasubramani and
                  Rishad A. Shafik and
                  Amit Acharyya},
  title        = {Nano-Magnetic Logic based Architecture for Edge Inference using Tsetlin
                  Machine},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198204},
  doi          = {10.1109/NEWCAS57931.2023.10198204},
  timestamp    = {Tue, 15 Aug 2023 11:43:59 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/KishoreSSA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/KrishnamurthyGLXYS23,
  author       = {Komal Krishnamurthy and
                  Omar Ghazal and
                  Tian Lan and
                  Fei Xia and
                  Alex Yakovlev and
                  Rishad A. Shafik},
  title        = {Variable Duty Cycle Pulse Generation for Low Complexity Randomization
                  in Machine Learning},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198108},
  doi          = {10.1109/NEWCAS57931.2023.10198108},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/KrishnamurthyGLXYS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/SinghGJRDSYPM23,
  author       = {Simranjeet Singh and
                  Omar Ghazal and
                  Chandan Kumar Jha and
                  Vikas Rana and
                  Rolf Drechsler and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Sachin B. Patkar and
                  Farhad Merchant},
  title        = {Finite State Automata Design using 1T1R ReRAM Crossbar},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198206},
  doi          = {10.1109/NEWCAS57931.2023.10198206},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/newcas/SinghGJRDSYPM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2304-13552,
  author       = {Simranjeet Singh and
                  Omar Ghazal and
                  Chandan Kumar Jha and
                  Vikas Rana and
                  Rolf Drechsler and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Sachin B. Patkar and
                  Farhad Merchant},
  title        = {Finite State Automata Design using 1T1R ReRAM Crossbar},
  journal      = {CoRR},
  volume       = {abs/2304.13552},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2304.13552},
  doi          = {10.48550/ARXIV.2304.13552},
  eprinttype    = {arXiv},
  eprint       = {2304.13552},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2304-13552.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-11928,
  author       = {Rishad A. Shafik and
                  Tousif Rahman and
                  Adrian Wheeldon and
                  Ole{-}Christoffer Granmo and
                  Alex Yakovlev},
  title        = {Energy-frugal and Interpretable {AI} Hardware Design using Learning
                  Automata},
  journal      = {CoRR},
  volume       = {abs/2305.11928},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.11928},
  doi          = {10.48550/ARXIV.2305.11928},
  eprinttype    = {arXiv},
  eprint       = {2305.11928},
  timestamp    = {Thu, 25 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-11928.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-12914,
  author       = {Omar Ghazal and
                  Simranjeet Singh and
                  Tousif Rahman and
                  Shengqi Yu and
                  Yujin Zheng and
                  Domenico Balsamo and
                  Sachin B. Patkar and
                  Farhad Merchant and
                  Fei Xia and
                  Alex Yakovlev and
                  Rishad A. Shafik},
  title        = {{IMBUE:} In-Memory Boolean-to-CUrrent Inference ArchitecturE for Tsetlin
                  Machines},
  journal      = {CoRR},
  volume       = {abs/2305.12914},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.12914},
  doi          = {10.48550/ARXIV.2305.12914},
  eprinttype    = {arXiv},
  eprint       = {2305.12914},
  timestamp    = {Tue, 15 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-12914.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2306-01027,
  author       = {Samuel Prescott and
                  Adrian Wheeldon and
                  Rishad A. Shafik and
                  Tousif Rahman and
                  Alex Yakovlev and
                  Ole{-}Christoffer Granmo},
  title        = {An {FPGA} Architecture for Online Learning using the Tsetlin Machine},
  journal      = {CoRR},
  volume       = {abs/2306.01027},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2306.01027},
  doi          = {10.48550/ARXIV.2306.01027},
  eprinttype    = {arXiv},
  eprint       = {2306.01027},
  timestamp    = {Tue, 13 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2306-01027.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2310-11481,
  author       = {Bimal Bhattarai and
                  Ole{-}Christoffer Granmo and
                  Lei Jiao and
                  Per{-}Arne Andersen and
                  Svein Anders Tunheim and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Contracting Tsetlin Machine with Absorbing Automata},
  journal      = {CoRR},
  volume       = {abs/2310.11481},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2310.11481},
  doi          = {10.48550/ARXIV.2310.11481},
  eprinttype    = {arXiv},
  eprint       = {2310.11481},
  timestamp    = {Fri, 27 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-11481.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcbb/GudurMAS22,
  author       = {Venkateshwarlu Yellaswamy Gudur and
                  Sidharth Maheshwari and
                  Amit Acharyya and
                  Rishad A. Shafik},
  title        = {An {FPGA} Based Energy-Efficient Read Mapper With Parallel Filtering
                  and In-Situ Verification},
  journal      = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.},
  volume       = {19},
  number       = {5},
  pages        = {2697--2711},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCBB.2021.3106311},
  doi          = {10.1109/TCBB.2021.3106311},
  timestamp    = {Mon, 03 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcbb/GudurMAS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JenkusXSY22,
  author       = {Dainius Jenkus and
                  Fei Xia and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {Runtime Energy Minimization of Distributed Many-Core Systems using
                  Transfer Learning},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {1209--1214},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774650},
  doi          = {10.23919/DATE54114.2022.9774650},
  timestamp    = {Wed, 25 May 2022 22:56:19 +0200},
  biburl       = {https://dblp.org/rec/conf/date/JenkusXSY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/GudurMBAS22,
  author       = {Venkateshwarlu Yellaswamy Gudur and
                  Sidharth Maheshwari and
                  Swati Bhardwaj and
                  Amit Acharyya and
                  Rishad A. Shafik},
  title        = {Hardware-Algorithm Codesign for Fast and Energy Efficient Approximate
                  String Matching on {FPGA} for Computational Biology},
  booktitle    = {44th Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland,
                  United Kingdom, July 11-15, 2022},
  pages        = {87--90},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/EMBC48229.2022.9870924},
  doi          = {10.1109/EMBC48229.2022.9870924},
  timestamp    = {Thu, 22 Sep 2022 19:31:35 +0200},
  biburl       = {https://dblp.org/rec/conf/embc/GudurMBAS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurosp/GrayMS22,
  author       = {D{\'{e}}vant{\'{e}} Gray and
                  Maryam Mehrnezhad and
                  Rishad A. Shafik},
  title        = {SenSig: Practical IoT Sensor Fingerprinting Using Calibration Data},
  booktitle    = {{IEEE} European Symposium on Security and Privacy, EuroS{\&}P
                  2022 - Workshops, Genoa, Italy, June 6-10, 2022},
  pages        = {66--81},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/EuroSPW55150.2022.00014},
  doi          = {10.1109/EUROSPW55150.2022.00014},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/eurosp/GrayMS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MaoYXLYS22,
  author       = {Gang Mao and
                  Alex Yakovlev and
                  Fei Xia and
                  Tian Lan and
                  Shengqi Yu and
                  Rishad A. Shafik},
  title        = {Automated Synthesis of Asynchronous Tsetlin Machines on {FPGA}},
  booktitle    = {29th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICECS202256217.2022.9970999},
  doi          = {10.1109/ICECS202256217.2022.9970999},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MaoYXLYS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ieaaie/TunheimYJSG22,
  author       = {Svein Anders Tunheim and
                  Rohan Kumar Yadav and
                  Lei Jiao and
                  Rishad A. Shafik and
                  Ole{-}Christoffer Granmo},
  editor       = {Hamido Fujita and
                  Philippe Fournier{-}Viger and
                  Moonis Ali and
                  Yinglin Wang},
  title        = {Cyclostationary Random Number Sequences for the Tsetlin Machine},
  booktitle    = {Advances and Trends in Artificial Intelligence. Theory and Practices
                  in Artificial Intelligence - 35th International Conference on Industrial,
                  Engineering and Other Applications of Applied Intelligent Systems,
                  {IEA/AIE} 2022, Kitakyushu, Japan, July 19-22, 2022, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {13343},
  pages        = {844--856},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-08530-7\_71},
  doi          = {10.1007/978-3-031-08530-7\_71},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ieaaie/TunheimYJSG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LanXMYSY22,
  author       = {Tian Lan and
                  Fei Xia and
                  Gang Mao and
                  Shengqi Yu and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Editable asynchronous control logic for {SAR} ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {2645--2649},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9938017},
  doi          = {10.1109/ISCAS48785.2022.9938017},
  timestamp    = {Thu, 17 Nov 2022 15:59:17 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LanXMYSY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/MaoYXYS22,
  author       = {Gang Mao and
                  Alex Yakovlev and
                  Fei Xia and
                  Shengqi Yu and
                  Rishad A. Shafik},
  title        = {Automated Mapping of Asynchronous Circuits on {FPGA} under Timing
                  Constraints},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2022, Nicosia,
                  Cyprus, July 4-6, 2022},
  pages        = {104--109},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISVLSI54635.2022.00031},
  doi          = {10.1109/ISVLSI54635.2022.00031},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/MaoYXYS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sensys/BakarRSKM22,
  author       = {Abu Bakar and
                  Tousif Rahman and
                  Rishad A. Shafik and
                  Fahim Kawsar and
                  Alessandro Montanari},
  editor       = {Jeremy Gummeson and
                  Sunghoon Ivan Lee and
                  Jie Gao and
                  Guoliang Xing},
  title        = {Adaptive Intelligence for Batteryless Sensors Using Software-Accelerated
                  Tsetlin Machines},
  booktitle    = {Proceedings of the 20th {ACM} Conference on Embedded Networked Sensor
                  Systems, SenSys 2022, Boston, Massachusetts, November 6-9, 2022},
  pages        = {236--249},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3560905.3568512},
  doi          = {10.1145/3560905.3568512},
  timestamp    = {Thu, 09 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sensys/BakarRSKM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wmcsa/BakarRMLSK22,
  author       = {Abu Bakar and
                  Tousif Rahman and
                  Alessandro Montanari and
                  Jie Lei and
                  Rishad A. Shafik and
                  Fahim Kawsar},
  editor       = {Robert LiKamWa and
                  Urs Hengartner},
  title        = {Logic-based intelligence for batteryless sensors},
  booktitle    = {HotMobile '22: The 23rd International Workshop on Mobile Computing
                  Systems and Applications, Tempe, Arizona, USA, March 9 - 10, 2022},
  pages        = {22--28},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508396.3512870},
  doi          = {10.1145/3508396.3512870},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/wmcsa/BakarRMLSK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcbb/MaheshwariGSWYA21,
  author       = {Sidharth Maheshwari and
                  Venkateshwarlu Y. Gudur and
                  Rishad A. Shafik and
                  Ian Wilson and
                  Alex Yakovlev and
                  Amit Acharyya},
  title        = {{CORAL:} Verification-Aware OpenCL Based Read Mapper for Heterogeneous
                  Systems},
  journal      = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.},
  volume       = {18},
  number       = {4},
  pages        = {1426--1438},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCBB.2019.2943856},
  doi          = {10.1109/TCBB.2019.2943856},
  timestamp    = {Mon, 03 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcbb/MaheshwariGSWYA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/async/WheeldonYS21,
  author       = {Adrian Wheeldon and
                  Alex Yakovlev and
                  Rishad A. Shafik},
  title        = {Self-timed Reinforcement Learning using Tsetlin Machine},
  booktitle    = {27th {IEEE} International Symposium on Asynchronous Circuits and Systems,
                  {ASYNC} 2021, Beijing, China, September 7-10, 2021},
  pages        = {40--47},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ASYNC48570.2021.00014},
  doi          = {10.1109/ASYNC48570.2021.00014},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/async/WheeldonYS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WheeldonYSM21,
  author       = {Adrian Wheeldon and
                  Alex Yakovlev and
                  Rishad A. Shafik and
                  Jordan Morris},
  title        = {Low-Latency Asynchronous Logic Design for Inference at the Edge},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {370--373},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474126},
  doi          = {10.23919/DATE51398.2021.9474126},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WheeldonYSM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YuSBCY21,
  author       = {Shengqi Yu and
                  Rishad A. Shafik and
                  Thanasin Bunnam and
                  Kaiyun Chen and
                  Alex Yakovlev},
  title        = {Optimized Multi-Memristor Model based Low Energy and Resilient Current-Mode
                  Multiplier Design},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1230--1233},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473926},
  doi          = {10.23919/DATE51398.2021.9473926},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/YuSBCY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MaheshwariSWYGA21,
  author       = {Sidharth Maheshwari and
                  Rishad A. Shafik and
                  Ian Wilson and
                  Alex Yakovlev and
                  Venkateshwarlu Y. Gudur and
                  Amit Acharyya},
  title        = {{PLEDGER:} Embedded Whole Genome Read Mapping using Algorithm-HW Co-design
                  and Memory-aware Implementation},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1855--1858},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473909},
  doi          = {10.23919/DATE51398.2021.9473909},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MaheshwariSWYGA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HaddadiQSXAY21,
  author       = {Ibrahim Haddadi and
                  Issa Qiqieh and
                  Rishad A. Shafik and
                  Fei Xia and
                  Mohammed A. Noaman Al{-}Hayanni and
                  Alex Yakovlev},
  title        = {Run-time Configurable Approximate Multiplier using Significance-Driven
                  Logic Compression},
  booktitle    = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021,
                  Storrs, CT, USA, October 24-27, 2021},
  pages        = {117--124},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCD53106.2021.00029},
  doi          = {10.1109/ICCD53106.2021.00029},
  timestamp    = {Tue, 28 Dec 2021 14:09:48 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HaddadiQSXAY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2101-11336,
  author       = {Jie Lei and
                  Tousif Rahman and
                  Rishad A. Shafik and
                  Adrian Wheeldon and
                  Alex Yakovlev and
                  Ole{-}Christoffer Granmo and
                  Fahim Kawsar and
                  Akhil Mathur},
  title        = {Low-Power Audio Keyword Spotting using Tsetlin Machines},
  journal      = {CoRR},
  volume       = {abs/2101.11336},
  year         = {2021},
  url          = {https://arxiv.org/abs/2101.11336},
  eprinttype    = {arXiv},
  eprint       = {2101.11336},
  timestamp    = {Sun, 31 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2101-11336.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2102-01348,
  author       = {Dainius Jenkus and
                  Fei Xia and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {QoS-Aware Power Minimization of Distributed Many-Core Servers using
                  Transfer Q-Learning},
  journal      = {CoRR},
  volume       = {abs/2102.01348},
  year         = {2021},
  url          = {https://arxiv.org/abs/2102.01348},
  eprinttype    = {arXiv},
  eprint       = {2102.01348},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2102-01348.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2109-00846,
  author       = {Adrian Wheeldon and
                  Alex Yakovlev and
                  Rishad A. Shafik},
  title        = {Self-timed Reinforcement Learning using Tsetlin Machine},
  journal      = {CoRR},
  volume       = {abs/2109.00846},
  year         = {2021},
  url          = {https://arxiv.org/abs/2109.00846},
  eprinttype    = {arXiv},
  eprint       = {2109.00846},
  timestamp    = {Wed, 22 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2109-00846.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Al-HayanniXRRSY20,
  author       = {Mohammed A. Noaman Al{-}Hayanni and
                  Fei Xia and
                  Ashur Rafiev and
                  Alexander B. Romanovsky and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Amdahl's law in the context of heterogeneous many-core systems - a
                  survey},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {14},
  number       = {4},
  pages        = {133--148},
  year         = {2020},
  url          = {https://doi.org/10.1049/iet-cdt.2018.5220},
  doi          = {10.1049/IET-CDT.2018.5220},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Al-HayanniXRRSY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/Al-HayanniRXSRY20,
  author       = {Mohammed A. Noaman Al{-}Hayanni and
                  Ashur Rafiev and
                  Fei Xia and
                  Rishad A. Shafik and
                  Alexander B. Romanovsky and
                  Alex Yakovlev},
  title        = {{PARMA:} Parallelization-Aware Run-Time Management for Energy-Efficient
                  Many-Core Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {69},
  number       = {10},
  pages        = {1507--1518},
  year         = {2020},
  url          = {https://doi.org/10.1109/TC.2020.2975787},
  doi          = {10.1109/TC.2020.2975787},
  timestamp    = {Tue, 20 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/Al-HayanniRXSRY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MaheshwariSWYA20,
  author       = {Sidharth Maheshwari and
                  Rishad A. Shafik and
                  Ian Wilson and
                  Alex Yakovlev and
                  Amit Acharyya},
  title        = {{REPUTE:} An OpenCL based Read Mapping Tool for Embedded Genomics},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {121--126},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116238},
  doi          = {10.23919/DATE48585.2020.9116238},
  timestamp    = {Thu, 25 Jun 2020 12:55:44 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MaheshwariSWYA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YuSSBXBY20,
  author       = {Shengqi Yu and
                  Ahmed Soltan and
                  Rishad A. Shafik and
                  Thanasin Bunnam and
                  Fei Xia and
                  Domenico Balsamo and
                  Alex Yakovlev},
  title        = {Current-Mode Carry-Free Multiplier Design using a Memristor-Transistor
                  Crossbar Architecture},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {638--641},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116417},
  doi          = {10.23919/DATE48585.2020.9116417},
  timestamp    = {Thu, 25 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/YuSSBXBY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/LeiWSYG20,
  author       = {Jie Lei and
                  Adrian Wheeldon and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Ole{-}Christoffer Granmo},
  title        = {From Arithmetic to Logic based {AI:} {A} Comparative Analysis of Neural
                  Networks and Tsetlin Machine},
  booktitle    = {27th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICECS49266.2020.9294877},
  doi          = {10.1109/ICECS49266.2020.9294877},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/LeiWSYG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/ShmarovDBS20,
  author       = {Ivan Shmarov and
                  Pablo Docampo and
                  Thomas Billam and
                  Rishad A. Shafik},
  title        = {{SPICE} Modeling and Characterization of Filament Formation Perovskite
                  Memristors},
  booktitle    = {27th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICECS49266.2020.9294929},
  doi          = {10.1109/ICECS49266.2020.9294929},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/ShmarovDBS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/YuSBCY20,
  author       = {Shengqi Yu and
                  Rishad A. Shafik and
                  Thanasin Bunnam and
                  Kaiyun Chen and
                  Alex Yakovlev},
  title        = {Self-Amplifying Current-Mode Multiplier Design using a Multi-Memristor
                  Crossbar Cell Structure},
  booktitle    = {27th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICECS49266.2020.9294797},
  doi          = {10.1109/ICECS49266.2020.9294797},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/YuSBCY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/ShafikWY20,
  author       = {Rishad A. Shafik and
                  Adrian Wheeldon and
                  Alex Yakovlev},
  title        = {Explainability and Dependability Analysis of Learning Automata based
                  {AI} Hardware},
  booktitle    = {26th {IEEE} International Symposium on On-Line Testing and Robust
                  System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/IOLTS50870.2020.9159725},
  doi          = {10.1109/IOLTS50870.2020.9159725},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/ShafikWY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GudurMSA20,
  author       = {Venkateshwarlu Y. Gudur and
                  Sidharth Maheshwari and
                  Rishad A. Shafik and
                  Amit Acharyya},
  title        = {Accelerated Filtering and in situ Verification for Energy-Optimized
                  Genome Read Mapping},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180620},
  doi          = {10.1109/ISCAS45731.2020.9180620},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GudurMSA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MileikoBXSY20,
  author       = {Sergey Mileiko and
                  Thanasin Bunnam and
                  Fei Xia and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Dynamics of Time-Domain Power-Elastic Circuits for Pervasive Machine
                  Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180608},
  doi          = {10.1109/ISCAS45731.2020.9180608},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MileikoBXSY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sgai/AbeyrathnaGSYWL20,
  author       = {Kuruge Darshana Abeyrathna and
                  Ole{-}Christoffer Granmo and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Adrian Wheeldon and
                  Jie Lei and
                  Morten Goodwin},
  editor       = {Max Bramer and
                  Richard Ellis},
  title        = {A Novel Multi-step Finite-State Automaton for Arbitrarily Deterministic
                  Tsetlin Machine Learning},
  booktitle    = {Artificial Intelligence {XXXVII} - 40th {SGAI} International Conference
                  on Artificial Intelligence, {AI} 2020, Cambridge, UK, December 15-17,
                  2020, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {12498},
  pages        = {108--122},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-63799-6\_8},
  doi          = {10.1007/978-3-030-63799-6\_8},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sgai/AbeyrathnaGSYWL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2007-02114,
  author       = {Kuruge Darshana Abeyrathna and
                  Ole{-}Christoffer Granmo and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Adrian Wheeldon and
                  Jie Lei and
                  Morten Goodwin},
  title        = {A Novel Multi-Step Finite-State Automaton for Arbitrarily Deterministic
                  Tsetlin Machine Learning},
  journal      = {CoRR},
  volume       = {abs/2007.02114},
  year         = {2020},
  url          = {https://arxiv.org/abs/2007.02114},
  eprinttype    = {arXiv},
  eprint       = {2007.02114},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2007-02114.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-03402,
  author       = {Adrian Wheeldon and
                  Alex Yakovlev and
                  Rishad A. Shafik and
                  Jordan Morris},
  title        = {Low-Latency Asynchronous Logic Design for Inference at the Edge},
  journal      = {CoRR},
  volume       = {abs/2012.03402},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.03402},
  eprinttype    = {arXiv},
  eprint       = {2012.03402},
  timestamp    = {Wed, 09 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-03402.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MileikoSYE19,
  author       = {Sergey Mileiko and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Jonathan Edwards},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {A Pulse Width Modulation based Power-elastic and Robust Mixed-signal
                  Perceptron Design},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {1603--1606},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714806},
  doi          = {10.23919/DATE.2019.8714806},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MileikoSYE19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1910-07492,
  author       = {Sergey Mileiko and
                  Thanasin Bunnam and
                  Fei Xia and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Shidhartha Das},
  title        = {Neural Network Design for Energy-Autonomous {AI} Applications using
                  Temporal Encoding},
  journal      = {CoRR},
  volume       = {abs/1910.07492},
  year         = {2019},
  url          = {http://arxiv.org/abs/1910.07492},
  eprinttype    = {arXiv},
  eprint       = {1910.07492},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1910-07492.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1910-08426,
  author       = {Sergey Mileiko and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Jonathan Edwards},
  title        = {A Pulse Width Modulation based Power-elastic and Robust Mixed-signal
                  Perceptron Design},
  journal      = {CoRR},
  volume       = {abs/1910.08426},
  year         = {2019},
  url          = {http://arxiv.org/abs/1910.08426},
  eprinttype    = {arXiv},
  eprint       = {1910.08426},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1910-08426.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/QiqiehSTSDY18,
  author       = {Issa Qiqieh and
                  Rishad A. Shafik and
                  Ghaith Tarawneh and
                  Danil Sokolov and
                  Shidhartha Das and
                  Alexandre Yakovlev},
  title        = {Significance-Driven Logic Compression for Energy-Efficient Multiplier
                  Design},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {8},
  number       = {3},
  pages        = {417--430},
  year         = {2018},
  url          = {https://doi.org/10.1109/JETCAS.2018.2846410},
  doi          = {10.1109/JETCAS.2018.2846410},
  timestamp    = {Wed, 03 Oct 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/QiqiehSTSDY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ShafikYD18,
  author       = {Rishad A. Shafik and
                  Alex Yakovlev and
                  Shidhartha Das},
  title        = {Real-Power Computing},
  journal      = {{IEEE} Trans. Computers},
  volume       = {67},
  number       = {10},
  pages        = {1445--1461},
  year         = {2018},
  url          = {https://doi.org/10.1109/TC.2018.2822697},
  doi          = {10.1109/TC.2018.2822697},
  timestamp    = {Fri, 14 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/ShafikYD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/RafievAXSRY18,
  author       = {Ashur Rafiev and
                  Mohammed A. Noaman Al{-}Hayanni and
                  Fei Xia and
                  Rishad A. Shafik and
                  Alexander B. Romanovsky and
                  Alex Yakovlev},
  title        = {Speedup and Power Scaling Models for Heterogeneous Many-Core Systems},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {4},
  number       = {3},
  pages        = {436--449},
  year         = {2018},
  url          = {https://doi.org/10.1109/TMSCS.2018.2791531},
  doi          = {10.1109/TMSCS.2018.2791531},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tmscs/RafievAXSRY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/PanwarAS18,
  author       = {Madhuri Panwar and
                  Amit Acharyya and
                  Rishad A. Shafik},
  editor       = {Bijoy Antony Jose and
                  Jimson Mathew},
  title        = {Non-invasive Blood Glucose Estimation Methodology Using Predictive
                  Glucose Homeostasis Models},
  booktitle    = {8th International Symposium on Embedded Computing and System Design,
                  {ISED} 2018, Cochin, India, December 13-15, 2018},
  pages        = {167--172},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISED.2018.8703991},
  doi          = {10.1109/ISED.2018.8703991},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/ised/PanwarAS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/AalsaudASXY18,
  author       = {Ali Aalsaud and
                  Haider Alrudainy and
                  Rishad A. Shafik and
                  Fei Xia and
                  Alex Yakovlev},
  title        = {MEMS-Based Runtime Idle Energy Minimization for Bursty Workloads in
                  Heterogeneous Many-Core Systems},
  booktitle    = {28th International Symposium on Power and Timing Modeling, Optimization
                  and Simulation, {PATMOS} 2018, Platja d'Aro, Spain, July 2-4, 2018},
  pages        = {198--205},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/PATMOS.2018.8464152},
  doi          = {10.1109/PATMOS.2018.8464152},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/AalsaudASXY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/AalsaudRXSY18,
  author       = {Ali Aalsaud and
                  Ashur Rafiev and
                  Fei Xia and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Model-Free Runtime Management of Concurrent Workloads for Energy-Efficient
                  Many-Core Heterogeneous Systems},
  booktitle    = {28th International Symposium on Power and Timing Modeling, Optimization
                  and Simulation, {PATMOS} 2018, Platja d'Aro, Spain, July 2-4, 2018},
  pages        = {206--213},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/PATMOS.2018.8464142},
  doi          = {10.1109/PATMOS.2018.8464142},
  timestamp    = {Tue, 18 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/AalsaudRXSY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/XiaRAADLMRSYY17,
  author       = {Fei Xia and
                  Ashur Rafiev and
                  Ali Aalsaud and
                  Mohammed A. Noaman Al{-}Hayanni and
                  James J. Davis and
                  Joshua M. Levine and
                  Andrey Mokhov and
                  Alexander B. Romanovsky and
                  Rishad A. Shafik and
                  Alex Yakovlev and
                  Sheng Yang},
  title        = {Voltage, Throughput, Power, Reliability, and Multicore Scaling},
  journal      = {Computer},
  volume       = {50},
  number       = {8},
  pages        = {34--45},
  year         = {2017},
  url          = {https://doi.org/10.1109/MC.2017.3001246},
  doi          = {10.1109/MC.2017.3001246},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/computer/XiaRAADLMRSYY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BurkeJQSDY17,
  author       = {Dave Burke and
                  Dainius Jenkus and
                  Issa Qiqieh and
                  Rishad A. Shafik and
                  Shidhartha Das and
                  Alex Yakovlev},
  title        = {Significance-driven adaptive approximate computing for energy-efficient
                  image processing applications: special session paper},
  booktitle    = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS}
                  2017, Seoul, Republic of Korea, October 15-20, 2017},
  pages        = {28:1--28:2},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3125502.3125554},
  doi          = {10.1145/3125502.3125554},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/BurkeJQSDY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/QiqiehSTSY17,
  author       = {Issa Qiqieh and
                  Rishad A. Shafik and
                  Ghaith Tarawneh and
                  Danil Sokolov and
                  Alex Yakovlev},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Energy-efficient approximate multiplier design using bit significance-driven
                  logic compression},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {7--12},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7926950},
  doi          = {10.23919/DATE.2017.7926950},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/QiqiehSTSY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BiswasBSAM17,
  author       = {Dwaipayan Biswas and
                  Vibishna Balagopal and
                  Rishad A. Shafik and
                  Bashir M. Al{-}Hashimi and
                  Geoff V. Merrett},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Machine learning for run-time energy optimisation in many-core systems},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {1588--1592},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927243},
  doi          = {10.23919/DATE.2017.7927243},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BiswasBSAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/AlrudainySMY17,
  author       = {Haider Alrudainy and
                  Rishad A. Shafik and
                  Andrey Mokhov and
                  Alex Yakovlev},
  title        = {Lifetime reliability characterization of {N/MEMS} used in power gating
                  of digital integrated circuits},
  booktitle    = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI}
                  and Nanotechnology Systems, {DFT} 2017, Cambridge, United Kingdom,
                  October 23-25, 2017},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/DFT.2017.8244452},
  doi          = {10.1109/DFT.2017.8244452},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/AlrudainySMY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ShafikYKM17,
  author       = {Rishad A. Shafik and
                  Qiaoyan Yu and
                  S. Saqib Khursheed and
                  Antonio Miele},
  title        = {Welcome Message},
  booktitle    = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI}
                  and Nanotechnology Systems, {DFT} 2017, Cambridge, United Kingdom,
                  October 23-25, 2017},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/DFT.2017.8244425},
  doi          = {10.1109/DFT.2017.8244425},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dft/ShafikYKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ieeehpcs/Al-HayanniSRXY17,
  author       = {Mohammed A. Noaman Al{-}Hayanni and
                  Rishad A. Shafik and
                  Ashur Rafiev and
                  Fei Xia and
                  Alex Yakovlev},
  title        = {Speedup and Parallelization Models for Energy-Efficient Many-Core
                  Systems Using Performance Counters},
  booktitle    = {2017 International Conference on High Performance Computing {\&}
                  Simulation, {HPCS} 2017, Genoa, Italy, July 17-21, 2017},
  pages        = {410--417},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/HPCS.2017.68},
  doi          = {10.1109/HPCS.2017.68},
  timestamp    = {Mon, 13 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ieeehpcs/Al-HayanniSRXY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/QiqiehSTSDY17,
  author       = {Issa Qiqieh and
                  Rishad A. Shafik and
                  Ghaith Tarawneh and
                  Danil Sokolov and
                  Shidhartha Das and
                  Alex Yakovlev},
  title        = {Energy-efficient approximate wallace-tree multiplier using significance-driven
                  logic compression},
  booktitle    = {2017 {IEEE} International Workshop on Signal Processing Systems, SiPS
                  2017, Lorient, France, October 3-5, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SiPS.2017.8109990},
  doi          = {10.1109/SIPS.2017.8109990},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/QiqiehSTSDY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShafikY0MMA16,
  author       = {Rishad A. Shafik and
                  Sheng Yang and
                  Anup Das and
                  Luis Alfonso Maeda{-}Nunez and
                  Geoff V. Merrett and
                  Bashir M. Al{-}Hashimi},
  title        = {Learning Transfer-Based Adaptive Energy Minimization in Embedded Systems},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {6},
  pages        = {877--890},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2015.2481867},
  doi          = {10.1109/TCAD.2015.2481867},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ShafikY0MMA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acsd/Al-HayanniRSX16,
  author       = {Mohammed A. Noaman Al{-}Hayanni and
                  Ashur Rafiev and
                  Rishad A. Shafik and
                  Fei Xia},
  editor       = {J{\"{o}}rg Desel and
                  Alex Yakovlev},
  title        = {Power and Energy Normalized Speedup Models for Heterogeneous Many
                  Core Computing},
  booktitle    = {16th International Conference on Application of Concurrency to System
                  Design, {ACSD} 2016, Torun, Poland, June 19-24, 2016},
  pages        = {84--93},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ACSD.2016.16},
  doi          = {10.1109/ACSD.2016.16},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/acsd/Al-HayanniRSX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acsd/TraversSX16,
  author       = {Matthew Travers and
                  Rishad A. Shafik and
                  Fei Xia},
  editor       = {J{\"{o}}rg Desel and
                  Alex Yakovlev},
  title        = {Power-Normalized Performance Optimization of Concurrent Many-Core
                  Applications},
  booktitle    = {16th International Conference on Application of Concurrency to System
                  Design, {ACSD} 2016, Torun, Poland, June 19-24, 2016},
  pages        = {94--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ACSD.2016.14},
  doi          = {10.1109/ACSD.2016.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/acsd/TraversSX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ewme/BurkeSY16,
  author       = {Dave Burke and
                  Rishad A. Shafik and
                  Alex Yakovlev},
  title        = {Challenges and opportunities in research and education of heterogeneous
                  many-core applications},
  booktitle    = {11th European Workshop on Microelectronics Education, {EWME} 2016,
                  Southampton, UK, May 11-13, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/EWME.2016.7496480},
  doi          = {10.1109/EWME.2016.7496480},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/ewme/BurkeSY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ewme/MartinezSAM16,
  author       = {Daniel V. Martinez and
                  Rishad A. Shafik and
                  Amit Acharyya and
                  Geoff V. Merrett},
  title        = {Design and implementation of an adaptive learning system: An MSc project
                  experience},
  booktitle    = {11th European Workshop on Microelectronics Education, {EWME} 2016,
                  Southampton, UK, May 11-13, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/EWME.2016.7496481},
  doi          = {10.1109/EWME.2016.7496481},
  timestamp    = {Wed, 29 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ewme/MartinezSAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/PanwarASB16,
  author       = {Madhuri Panwar and
                  Amit Acharyya and
                  Rishad A. Shafik and
                  Dwaipayan Biswas},
  title        = {K-nearest neighbor based methodology for accurate diagnosis of diabetes
                  mellitus},
  booktitle    = {Sixth International Symposium on Embedded Computing and System Design,
                  {ISED} 2016, Patna, India, December 15-17, 2016},
  pages        = {132--136},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISED.2016.7977069},
  doi          = {10.1109/ISED.2016.7977069},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/ised/PanwarASB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AalsaudSRXYY16,
  author       = {Ali Aalsaud and
                  Rishad A. Shafik and
                  Ashur Rafiev and
                  Fei Xia and
                  Sheng Yang and
                  Alex Yakovlev},
  title        = {Power-Aware Performance Adaptation of Concurrent Applications in Heterogeneous
                  Many-Core Systems},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {368--373},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934612},
  doi          = {10.1145/2934583.2934612},
  timestamp    = {Tue, 06 Nov 2018 16:59:21 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AalsaudSRXYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tr/ShafikMP15,
  author       = {Rishad A. Shafik and
                  Jimson Mathew and
                  Dhiraj K. Pradhan},
  title        = {A Low-Cost Unified Design Methodology for Secure Test and Intellectual
                  Property Core Protection},
  journal      = {{IEEE} Trans. Reliab.},
  volume       = {64},
  number       = {4},
  pages        = {1243--1253},
  year         = {2015},
  url          = {https://doi.org/10.1109/TR.2015.2464011},
  doi          = {10.1109/TR.2015.2464011},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tr/ShafikMP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/0001KVSMA15,
  author       = {Anup Das and
                  Akash Kumar and
                  Bharadwaj Veeravalli and
                  Rishad Ahmed Shafik and
                  Geoff V. Merrett and
                  Bashir M. Al{-}Hashimi},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Workload uncertainty characterization and adaptive frequency scaling
                  for energy minimization of embedded systems},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755764},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/0001KVSMA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hipeac/ShafikDYMA15,
  author       = {Rishad A. Shafik and
                  Anup Das and
                  Sheng Yang and
                  Geoff V. Merrett and
                  Bashir M. Al{-}Hashimi},
  editor       = {Giovanni Agosta and
                  Cristina Silvano and
                  Jo{\~{a}}o M. P. Cardoso and
                  Michael H{\"{u}}bner},
  title        = {Adaptive Energy Minimization of OpenMP Parallel Applications on Many-Core
                  Systems},
  booktitle    = {Proceedings of the 6th Workshop on Parallel Programming and Run-Time
                  Management Techniques for Many-core Architectures and the 4th Workshop
                  on Design Tools and Architectures for Multicore Embedded Computing
                  Platforms, {PARMA-DITAM} 2015, Amsterdam, Netherlands, January 21,
                  2015},
  pages        = {19--24},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2701310.2701311},
  doi          = {10.1145/2701310.2701311},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hipeac/ShafikDYMA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/YangSMSLDA15,
  author       = {Sheng Yang and
                  Rishad A. Shafik and
                  Geoff V. Merrett and
                  Edward A. Stott and
                  Joshua M. Levine and
                  James J. Davis and
                  Bashir M. Al{-}Hashimi},
  title        = {Adaptive energy minimization of embedded heterogeneous systems using
                  regression-based learning},
  booktitle    = {25th International Workshop on Power and Timing Modeling, Optimization
                  and Simulation, {PATMOS} 2015, Salvador, Brazil, September 1-4, 2015},
  pages        = {103--110},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/PATMOS.2015.7347594},
  doi          = {10.1109/PATMOS.2015.7347594},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/YangSMSLDA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/YangSKFMA15,
  author       = {Sheng Yang and
                  Rishad A. Shafik and
                  S. Saqib Khursheed and
                  David Flynn and
                  Geoff V. Merrett and
                  Bashir M. Al{-}Hashimi},
  title        = {Application-specific memory protection policies for energy-efficient
                  reliable design},
  booktitle    = {2015 International Symposium on Rapid System Prototyping, {RSP} 2015,
                  Amsterdam, The Netherlands, October 8-9, 2015},
  pages        = {18--24},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/RSP.2015.7416541},
  doi          = {10.1109/RSP.2015.7416541},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/YangSKFMA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/YangMSP14,
  author       = {Yuanfan Yang and
                  Jimson Mathew and
                  Rishad A. Shafik and
                  Dhiraj K. Pradhan},
  title        = {Verilog-A Based Effective Complementary Resistive Switch Model for
                  Simulations and Analysis},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {6},
  number       = {1},
  pages        = {12--15},
  year         = {2014},
  url          = {https://doi.org/10.1109/LES.2013.2278740},
  doi          = {10.1109/LES.2013.2278740},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/YangMSP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/AcharyyaASSA14,
  author       = {Amit Acharyya and
                  Abhinav Agarwal and
                  Abhijeet Singh and
                  Rishad A. Shafik and
                  Shaik Rafi Ahamed},
  title        = {Energy-Efficient and High-Speed Robust System Design for Remote Cardiac
                  Health Monitoring},
  journal      = {J. Low Power Electron.},
  volume       = {10},
  number       = {3},
  pages        = {519--530},
  year         = {2014},
  url          = {https://doi.org/10.1166/jolpe.2014.1333},
  doi          = {10.1166/JOLPE.2014.1333},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/AcharyyaASSA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SourdisSABFGIMMPPPRSSSTTV14,
  author       = {Ioannis Sourdis and
                  Christos Strydis and
                  Antonino Armato and
                  Christos{-}Savvas Bouganis and
                  Babak Falsafi and
                  Georgi Nedeltchev Gaydadjiev and
                  Sebasti{\'{a}}n Isaza and
                  Alirad Malek and
                  R. Mariani and
                  Samuel N. Pagliarini and
                  Dionisios N. Pnevmatikatos and
                  Dhiraj K. Pradhan and
                  Gerard K. Rauwerda and
                  Robert M. Seepers and
                  Rishad Ahmed Shafik and
                  Georgios Smaragdos and
                  Dimitris Theodoropoulos and
                  Stavros Tzilis and
                  Michalis Vavouras},
  editor       = {Diana Goehringer and
                  Marco Domenico Santambrogio and
                  Jo{\~{a}}o M. P. Cardoso and
                  Koen Bertels},
  title        = {DeSyRe: On-Demand Adaptive and Reconfigurable Fault-Tolerant SoCs},
  booktitle    = {Reconfigurable Computing: Architectures, Tools, and Applications -
                  10th International Symposium, {ARC} 2014, Vilamoura, Portugal, April
                  14-16, 2014. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8405},
  pages        = {312--317},
  publisher    = {Springer},
  year         = {2014},
  url          = {https://doi.org/10.1007/978-3-319-05960-0\_34},
  doi          = {10.1007/978-3-319-05960-0\_34},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SourdisSABFGIMMPPPRSSSTTV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/0001SMAKV14,
  author       = {Anup Das and
                  Rishad A. Shafik and
                  Geoff V. Merrett and
                  Bashir M. Al{-}Hashimi and
                  Akash Kumar and
                  Bharadwaj Veeravalli},
  title        = {Reinforcement Learning-Based Inter- and Intra-Application Thermal
                  Optimization for Lifetime Improvement of Multicore Systems},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {170:1--170:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593199},
  doi          = {10.1145/2593069.2593199},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/0001SMAKV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SunMSPL14,
  author       = {Luo Sun and
                  Jimson Mathew and
                  Rishad A. Shafik and
                  Dhiraj K. Pradhan and
                  Zhen Li},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {A low power and robust carbon nanotube 6T {SRAM} design with metallic
                  tolerance},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--4},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.125},
  doi          = {10.7873/DATE.2014.125},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/SunMSPL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/SourdisSABFGIMMPPRSSSTTV13,
  author       = {Ioannis Sourdis and
                  Christos Strydis and
                  Antonino Armato and
                  Christos{-}Savvas Bouganis and
                  Babak Falsafi and
                  Georgi Nedeltchev Gaydadjiev and
                  Sebasti{\'{a}}n Isaza and
                  Alirad Malek and
                  R. Mariani and
                  Dionisios N. Pnevmatikatos and
                  Dhiraj K. Pradhan and
                  Gerard K. Rauwerda and
                  Robert M. Seepers and
                  Rishad A. Shafik and
                  Kim Sunesen and
                  Dimitris Theodoropoulos and
                  Stavros Tzilis and
                  Michalis Vavouras},
  title        = {DeSyRe: On-demand system reliability},
  journal      = {Microprocess. Microsystems},
  volume       = {37},
  number       = {8-C},
  pages        = {981--1001},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.micpro.2013.08.008},
  doi          = {10.1016/J.MICPRO.2013.08.008},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/SourdisSABFGIMMPPRSSSTTV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HuangMSBP13,
  author       = {Xiaoyu Huang and
                  Jimson Mathew and
                  Rishad A. Shafik and
                  Subhasis Bhattacharjee and
                  Dhiraj K. Pradhan},
  editor       = {Enrico Macii},
  title        = {A fast and Effective {DFT} for test and diagnosis of power switches
                  in SoCs},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1089--1092},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.229},
  doi          = {10.7873/DATE.2013.229},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/HuangMSBP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/ShafikRPSPMS13,
  author       = {Rishad A. Shafik and
                  Gerard K. Rauwerda and
                  Jordy Potman and
                  Kim Sunesen and
                  Dhiraj K. Pradhan and
                  Jimson Mathew and
                  Ioannis Sourdis},
  title        = {Software Modification Aided Transient Error Tolerance for Embedded
                  Systems},
  booktitle    = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los
                  Alamitos, CA, USA, September 4-6, 2013},
  pages        = {219--226},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/DSD.2013.32},
  doi          = {10.1109/DSD.2013.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/ShafikRPSPMS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/ReddySGPASM13,
  author       = {Basireddy Karunakar Reddy and
                  Srinivas Sabbavarapu and
                  Kshitiz Gupta and
                  Rayapati Prabhat and
                  Amit Acharyya and
                  Rishad A. Shafik and
                  Jimson Mathew},
  title        = {A Novel and Unified Digital {IC} Design and Automation Methodology
                  with Reduced {NRE} Cost and Time-to-Market},
  booktitle    = {2013 International Symposium on Electronic System Design, Singapore,
                  December 10-12, 2013},
  pages        = {36--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISED.2013.14},
  doi          = {10.1109/ISED.2013.14},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ised/ReddySGPASM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/AgarwalSASA13,
  author       = {Abhinav Agarwal and
                  Abhijeet Singh and
                  Amit Acharyya and
                  Rishad A. Shafik and
                  Shaik Rafi Ahamed},
  title        = {Energy-Efficient and High-Speed Robust Channel Identification Methodology
                  to Solve Permutation Indeterminacy in {ICA} for Artifacts Removal
                  from {ECG} in Remote Healthcare},
  booktitle    = {2013 International Symposium on Electronic System Design, Singapore,
                  December 10-12, 2013},
  pages        = {52--56},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISED.2013.17},
  doi          = {10.1109/ISED.2013.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ised/AgarwalSASA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/SunMSP13,
  author       = {Luo Sun and
                  Jimson Mathew and
                  Rishad A. Shafik and
                  Dhiraj K. Pradhan},
  title        = {Low Power and Robust Binary Tree {SRAM} Design for Embedded Systems},
  booktitle    = {2013 International Symposium on Electronic System Design, Singapore,
                  December 10-12, 2013},
  pages        = {87--92},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISED.2013.24},
  doi          = {10.1109/ISED.2013.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ised/SunMSP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/SabbavarapuRPGA13,
  author       = {Srinivas Sabbavarapu and
                  Basireddy Karunakar Reddy and
                  Rayapati Prabhat and
                  Kshitiz Gupta and
                  Amit Acharyya and
                  Rishad Ahmed Shafik and
                  Jimson Mathew},
  title        = {A Novel Physical Synthesis Methodology in the {VLSI} Design Automation
                  by Introducing Dynamic Library Concept},
  booktitle    = {2013 International Symposium on Electronic System Design, Singapore,
                  December 10-12, 2013},
  pages        = {103--107},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISED.2013.27},
  doi          = {10.1109/ISED.2013.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ised/SabbavarapuRPGA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/BandanBSPM13,
  author       = {Mohamad Imran Bin Bandan and
                  Subhasis Bhattacharjee and
                  Rishad A. Shafik and
                  Dhiraj K. Pradhan and
                  Jimson Mathew},
  title        = {Lifetime Reliability-Aware Checkpointing Mechanism: Modelling and
                  Analysis},
  booktitle    = {2013 International Symposium on Electronic System Design, Singapore,
                  December 10-12, 2013},
  pages        = {128--132},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISED.2013.32},
  doi          = {10.1109/ISED.2013.32},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ised/BandanBSPM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/ShafikAR12,
  author       = {Rishad A. Shafik and
                  Bashir M. Al{-}Hashimi and
                  Jeffrey S. Reeve},
  title        = {System-level design optimization of reliable and low power multiprocessor
                  system-on-chip},
  journal      = {Microelectron. Reliab.},
  volume       = {52},
  number       = {8},
  pages        = {1735--1748},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.microrel.2012.03.002},
  doi          = {10.1016/J.MICROREL.2012.03.002},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/ShafikAR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YeolekarSMPM12,
  author       = {Pranav Yeolekar and
                  Rishad A. Shafik and
                  Jimson Mathew and
                  Dhiraj K. Pradhan and
                  Saraju P. Mohanty},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {{STEP:} a unified design methodology for secure test and {IP} core
                  protection},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {333--338},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206862},
  doi          = {10.1145/2206781.2206862},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YeolekarSMPM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ised/GaladanciSMAP12,
  author       = {Jamil Galadanci and
                  Rishad A. Shafik and
                  Jimson Mathew and
                  Amit Acharyya and
                  Dhiraj K. Pradhan},
  title        = {A Closed-Loop Control Strategy for Glucose Control in Artificial Pancreas
                  Systems},
  booktitle    = {International Symposium on Electronic System Design, ISEDs 2012, Kolkata,
                  India, December 19-22, 2012},
  pages        = {295--299},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISED.2012.76},
  doi          = {10.1109/ISED.2012.76},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ised/GaladanciSMAP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ShafikAMPM12,
  author       = {Rishad A. Shafik and
                  Bashir M. Al{-}Hashimi and
                  Jimson Mathew and
                  Dhiraj K. Pradhan and
                  Saraju P. Mohanty},
  title        = {{RAEF:} {A} Power Normalized System-Level Reliability Analysis and
                  Estimation Framework},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2012, Amherst,
                  MA, USA, August 19-21, 2012},
  pages        = {189--194},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISVLSI.2012.42},
  doi          = {10.1109/ISVLSI.2012.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ShafikAMPM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/ShafikA11,
  author       = {Rishad A. Shafik and
                  Bashir M. Al{-}Hashimi},
  title        = {Reliability analysis of on-chip communication architectures: An {MPEG-2}
                  video decoder case study},
  journal      = {Microprocess. Microsystems},
  volume       = {35},
  number       = {2},
  pages        = {285--296},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.micpro.2010.07.004},
  doi          = {10.1016/J.MICPRO.2010.07.004},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/ShafikA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/ethos/Shafik10,
  author       = {Rishad Ahmed Shafik},
  title        = {Investigation into low power and reliable system-on-chip design},
  school       = {University of Southampton, {UK}},
  year         = {2010},
  url          = {http://eprints.soton.ac.uk/157719/},
  timestamp    = {Tue, 05 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/ethos/Shafik10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ShafikAC10,
  author       = {Rishad A. Shafik and
                  Bashir M. Al{-}Hashimi and
                  Krishnendu Chakrabarty},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {Soft error-aware design optimization of low power and time-constrained
                  embedded systems},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {1462--1467},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5457042},
  doi          = {10.1109/DATE.2010.5457042},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ShafikAC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/ShafikAKE09,
  author       = {Rishad Ahmed Shafik and
                  Bashir M. Al{-}Hashimi and
                  Sandip Kundu and
                  Alireza Ejlali},
  title        = {Soft Error-Aware Voltage Scaling Technique for Power Minimization
                  in Application-Specific Multiprocessor System-on-Chip},
  journal      = {J. Low Power Electron.},
  volume       = {5},
  number       = {2},
  pages        = {145--156},
  year         = {2009},
  url          = {https://doi.org/10.1166/jolpe.2009.1016},
  doi          = {10.1166/JOLPE.2009.1016},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/ShafikAKE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ShafikRA08,
  author       = {Rishad A. Shafik and
                  Paul M. Rosinger and
                  Bashir M. Al{-}Hashimi},
  editor       = {Bernd Straube and
                  Milos Drutarovsk{\'{y}} and
                  Michel Renovell and
                  Peter Gramata and
                  M{\'{a}}ria Fischerov{\'{a}}},
  title        = {MPEG-based Performance Comparison between Network-on-Chip and {AMBA}
                  MPSoC},
  booktitle    = {Proceedings of the 11th {IEEE} Workshop on Design {\&} Diagnostics
                  of Electronic Circuits {\&} Systems {(DDECS} 2008), Bratislava,
                  Slovakia, April 16-18, 2008},
  pages        = {98--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DDECS.2008.4538764},
  doi          = {10.1109/DDECS.2008.4538764},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ShafikRA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/ShafikRA08,
  author       = {Rishad A. Shafik and
                  Paul M. Rosinger and
                  Bashir M. Al{-}Hashimi},
  title        = {SystemC-Based Minimum Intrusive Fault Injection Technique with Improved
                  Fault Representation},
  booktitle    = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008),
                  7-9 July 2008, Rhodes, Greece},
  pages        = {99--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/IOLTS.2008.25},
  doi          = {10.1109/IOLTS.2008.25},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iolts/ShafikRA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics