Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Majid Sarrafzadeh
@inproceedings{DBLP:conf/icdh/FazeliLBMZPS23, author = {Shayan Fazeli and Lionel M. Levine and Mehrab Beikzadeh and Baharan Mirzasoleiman and Bita Zadeh and Tara Peris and Majid Sarrafzadeh}, title = {A Self-supervised Framework for Improved Data-Driven Monitoring of Stress via Multi-Modal Passive Sensing}, booktitle = {{IEEE} International Conference on Digital Health, {ICDH} 2023, Chicago, IL, USA, July 2-8, 2023}, pages = {177--183}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDH60066.2023.00033}, doi = {10.1109/ICDH60066.2023.00033}, timestamp = {Wed, 06 Sep 2023 16:07:26 +0200}, biburl = {https://dblp.org/rec/conf/icdh/FazeliLBMZPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/FazeliSLS23, author = {Shayan Fazeli and Alireza Samiei and Thomas D. Lee and Majid Sarrafzadeh}, title = {Beyond Labels: Visual Representations for Bone Marrow Cell Morphology Recognition}, booktitle = {11th {IEEE} International Conference on Healthcare Informatics, {ICHI} 2023, Houston, TX, USA, June 26-29, 2023}, pages = {111--117}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICHI57859.2023.00025}, doi = {10.1109/ICHI57859.2023.00025}, timestamp = {Wed, 17 Jan 2024 17:11:28 +0100}, biburl = {https://dblp.org/rec/conf/ichi/FazeliSLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14267, author = {Shayan Fazeli and Lionel M. Levine and Mehrab Beikzadeh and Baharan Mirzasoleiman and Bita Zadeh and Tara Peris and Majid Sarrafzadeh}, title = {A Self-supervised Framework for Improved Data-Driven Monitoring of Stress via Multi-modal Passive Sensing}, journal = {CoRR}, volume = {abs/2303.14267}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14267}, doi = {10.48550/ARXIV.2303.14267}, eprinttype = {arXiv}, eprint = {2303.14267}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14267.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-05552, author = {Anaelia Ovalle and Mehrab Beikzadeh and Parshan Teimouri and Kai{-}Wei Chang and Majid Sarrafzadeh}, title = {ChatGPT for Us: Preserving Data Privacy in ChatGPT via Dialogue Text Ambiguation to Expand Mental Health Care Delivery}, journal = {CoRR}, volume = {abs/2306.05552}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.05552}, doi = {10.48550/ARXIV.2306.05552}, eprinttype = {arXiv}, eprint = {2306.05552}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-05552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/KachueeKGDS22, author = {Mohammad Kachuee and Kimmo K{\"{a}}rkk{\"{a}}inen and Orpaz Goldstein and Sajad Darabi and Majid Sarrafzadeh}, title = {Generative Imputation and Stochastic Prediction}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {44}, number = {3}, pages = {1278--1288}, year = {2022}, url = {https://doi.org/10.1109/TPAMI.2020.3022383}, doi = {10.1109/TPAMI.2020.3022383}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/KachueeKGDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/FazeliLBMZPS22, author = {Shayan Fazeli and Lionel M. Levine and Mehrab Beikzadeh and Baharan Mirzasoleiman and Bita Zadeh and Tara Peris and Majid Sarrafzadeh}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {Passive Monitoring of Physiological Precursors of Stress Leveraging Smartwatch Data}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {2893--2899}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995354}, doi = {10.1109/BIBM55620.2022.9995354}, timestamp = {Thu, 12 Jan 2023 09:26:28 +0100}, biburl = {https://dblp.org/rec/conf/bibm/FazeliLBMZPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hucapp/ErshadiHSS22, author = {Ghazal Ershadi and Serena Hughes and Raja Sundaram and Majid Sarrafzadeh}, editor = {Alexis Paljic and Mounia Ziat and Kadi Bouatouch}, title = {Comprehensive Musculoskeletal Care Platform Enabling At-home Patient Care}, booktitle = {Proceedings of the 17th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, {VISIGRAPP} 2022, Volume 2: HUCAPP, Online Streaming, February 6-8, 2022}, pages = {190--196}, publisher = {{SCITEPRESS}}, year = {2022}, url = {https://doi.org/10.5220/0010868300003124}, doi = {10.5220/0010868300003124}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/hucapp/ErshadiHSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09880, author = {Shayan Fazeli and Alireza Samiei and Thomas D. Lee and Majid Sarrafzadeh}, title = {Beyond Labels: Visual Representations for Bone Marrow Cell Morphology Recognition}, journal = {CoRR}, volume = {abs/2205.09880}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09880}, doi = {10.48550/ARXIV.2205.09880}, eprinttype = {arXiv}, eprint = {2205.09880}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09880.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-08742, author = {Anaelia Ovalle and Sunipa Dev and Jieyu Zhao and Majid Sarrafzadeh and Kai{-}Wei Chang}, title = {Auditing Algorithmic Fairness in Machine Learning for Health with Severity-Based {LOGAN}}, journal = {CoRR}, volume = {abs/2211.08742}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.08742}, doi = {10.48550/ARXIV.2211.08742}, eprinttype = {arXiv}, eprint = {2211.08742}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-08742.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/FirouziFDGSSWLA21, author = {Farshad Firouzi and Bahareh J. Farahani and Mahmoud Daneshmand and Kathy Grise and Jaeseung Song and Roberto Saracco and Lucy Lu Wang and Kyle Lo and Plamen P. Angelov and Eduardo A. Soares and Po{-}Shen Loh and Zeynab Talebpour and Reza Moradi and Mohsen Goodarzi and Haleh Ashraf and Mohammad Talebpour and Alireza Talebpour and Luca Romeo and Rupam Das and Hadi Heidari and Dana K. Pasquale and James Moody and Chris Woods and Erich S. Huang and Payam M. Barnaghi and Majid Sarrafzadeh and Ron C. Li and Kristen L. Beck and Olexandr Isayev and NakMyoung Sung and Alan Luo}, title = {Harnessing the Power of Smart and Connected Health to Tackle {COVID-19:} IoT, AI, Robotics, and Blockchain for a Better World}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {16}, pages = {12826--12846}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3073904}, doi = {10.1109/JIOT.2021.3073904}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/FirouziFDGSSWLA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/GoldsteinKS21, author = {Orpaz Goldstein and Mohammad Kachuee and Majid Sarrafzadeh}, title = {Decentralized Knowledge Transfer on Edge Networks for Detecting Cancer in Images}, booktitle = {{IEEE} {EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2021, Athens, Greece, July 27-30, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BHI50953.2021.9508564}, doi = {10.1109/BHI50953.2021.9508564}, timestamp = {Tue, 17 Aug 2021 21:08:34 +0200}, biburl = {https://dblp.org/rec/conf/bhi/GoldsteinKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZamanzadehPDNNT21, author = {Davina Zamanzadeh and Panayiotis Petousis and Tyler A. Davis and Susanne B. Nicholas and Keith C. Norris and Katherine R. Tuttle and Alex A. T. Bui and Majid Sarrafzadeh}, title = {Autopopulus: {A} Novel Framework for Autoencoder Imputation on Large Clinical Datasets}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {2303--2309}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630135}, doi = {10.1109/EMBC46164.2021.9630135}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/ZamanzadehPDNNT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthyIoT/ErshadiGLLAS21, author = {Ghazal Ershadi and Migyeong Gwak and Jane Liu and Gichan Lee and Afshin Aminian and Majid Sarrafzadeh}, editor = {Susanna Spinsante and Bruno Silva and Rossitza Goleva}, title = {GAIToe: Gait Analysis Utilizing an {IMU} for Toe Walking Detection and Intervention}, booktitle = {IoT Technologies for Health Care - 8th {EAI} International Conference, HealthyIoT 2021, Virtual Event, November 24-26, 2021, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {432}, pages = {180--195}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-99197-5\_15}, doi = {10.1007/978-3-030-99197-5\_15}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/healthyIoT/ErshadiGLLAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/KarkkainenFS21, author = {Kimmo K{\"{a}}rkk{\"{a}}inen and Shayan Fazeli and Majid Sarrafzadeh}, title = {Unsupervised Acute Intracranial Hemorrhage Segmentation With Mixture Models}, booktitle = {9th {IEEE} International Conference on Healthcare Informatics, {ICHI} 2021, Victoria, BC, Canada, August 9-12, 2021}, pages = {120--129}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICHI52183.2021.00029}, doi = {10.1109/ICHI52183.2021.00029}, timestamp = {Wed, 20 Oct 2021 16:44:24 +0200}, biburl = {https://dblp.org/rec/conf/ichi/KarkkainenFS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/GwakDSW21, author = {Migyeong Gwak and Tyler Davis and Majid Sarrafzadeh and Ellen Woo}, title = {Psychological Stress Detection in Older Adults with Cognitive Impairment Using Photoplethysmography}, booktitle = {9th {IEEE} International Conference on Healthcare Informatics, {ICHI} 2021, Victoria, BC, Canada, August 9-12, 2021}, pages = {209--213}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICHI52183.2021.00040}, doi = {10.1109/ICHI52183.2021.00040}, timestamp = {Wed, 20 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichi/GwakDSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/FazeliMS21, author = {Shayan Fazeli and Babak Moatamed and Majid Sarrafzadeh}, title = {Statistical Analytics and Regional Representation Learning for {COVID-19} Pandemic Understanding}, booktitle = {9th {IEEE} International Conference on Healthcare Informatics, {ICHI} 2021, Victoria, BC, Canada, August 9-12, 2021}, pages = {248--257}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICHI52183.2021.00047}, doi = {10.1109/ICHI52183.2021.00047}, timestamp = {Wed, 20 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichi/FazeliMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/ErshadiGASGS21, author = {Ghazal Ershadi and Migyeong Gwak and Afshin Aminian and Rahul Soangra and Marybeth Grant{-}Beuttler and Majid Sarrafzadeh}, title = {Smart Insole: Remote Gait Detection Algorithm Using Pressure Sensors For Toe Walking Rehabilitation}, booktitle = {7th {IEEE} World Forum on Internet of Things, WF-IoT 2021, New Orleans, LA, USA, June 14 - July 31, 2021}, pages = {332--337}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WF-IoT51360.2021.9595676}, doi = {10.1109/WF-IOT51360.2021.9595676}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wf-iot/ErshadiGASGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-10807, author = {Shayan Fazeli and Davina Zamanzadeh and Anaelia Ovalle and Thu Nguyen and Gilbert Gee and Majid Sarrafzadeh}, title = {{COVID-19} and Big Data: Multi-faceted Analysis for Spatio-temporal Understanding of the Pandemic with Social Media Conversations}, journal = {CoRR}, volume = {abs/2104.10807}, year = {2021}, url = {https://arxiv.org/abs/2104.10807}, eprinttype = {arXiv}, eprint = {2104.10807}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-10807.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-05891, author = {Kimmo K{\"{a}}rkk{\"{a}}inen and Shayan Fazeli and Majid Sarrafzadeh}, title = {Unsupervised Acute Intracranial Hemorrhage Segmentation with Mixture Models}, journal = {CoRR}, volume = {abs/2105.05891}, year = {2021}, url = {https://arxiv.org/abs/2105.05891}, eprinttype = {arXiv}, eprint = {2105.05891}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-05891.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-08946, author = {Shayan Fazeli and Majid Sarrafzadeh}, title = {A Framework for Neural Topic Modeling of Text Corpora}, journal = {CoRR}, volume = {abs/2108.08946}, year = {2021}, url = {https://arxiv.org/abs/2108.08946}, eprinttype = {arXiv}, eprint = {2108.08946}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-08946.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-12296, author = {Sajad Darabi and Shayan Fazeli and Ali Pazoki and Sriram Sankararaman and Majid Sarrafzadeh}, title = {Contrastive Mixup: Self- and Semi-Supervised learning for Tabular Domain}, journal = {CoRR}, volume = {abs/2108.12296}, year = {2021}, url = {https://arxiv.org/abs/2108.12296}, eprinttype = {arXiv}, eprint = {2108.12296}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-12296.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/health/GoldsteinKKS20, author = {Orpaz Goldstein and Mohammad Kachuee and Kimmo K{\"{a}}rkk{\"{a}}inen and Majid Sarrafzadeh}, title = {Target-Focused Feature Selection Using Uncertainty Measurements in Healthcare Data}, journal = {{ACM} Trans. Comput. Heal.}, volume = {1}, number = {3}, pages = {15:1--15:17}, year = {2020}, url = {https://doi.org/10.1145/3383685}, doi = {10.1145/3383685}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/health/GoldsteinKKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/DarabiKFS20, author = {Sajad Darabi and Mohammad Kachuee and Shayan Fazeli and Majid Sarrafzadeh}, title = {{TAPER:} Time-Aware Patient {EHR} Representation}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {24}, number = {11}, pages = {3268--3275}, year = {2020}, url = {https://doi.org/10.1109/JBHI.2020.2984931}, doi = {10.1109/JBHI.2020.2984931}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/DarabiKFS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/LevineGKFZPYS20, author = {Lionel M. Levine and Migyeong Gwak and Kimmo K{\"{a}}rkk{\"{a}}inen and Shayan Fazeli and Bita Zadeh and Tara Peris and Alexander S. Young and Majid Sarrafzadeh}, editor = {Muhammad Mahtab Alam and Matti H{\"{a}}m{\"{a}}l{\"{a}}inen and Lorenzo Mucchi and Imran Khan Niazi and Yannick Le Moullec}, title = {Anxiety Detection Leveraging Mobile Passive Sensing}, booktitle = {Body Area Networks. Smart IoT and Big Data for Intelligent Health - 15th {EAI} International Conference, {BODYNETS} 2020, Tallinn, Estonia, October 21, 2020, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {330}, pages = {212--225}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-64991-3\_15}, doi = {10.1007/978-3-030-64991-3\_15}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/LevineGKFZPYS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edge2/GoldsteinSSRPS20, author = {Orpaz Goldstein and Anant Shah and Derek J. Shiell and Mehrdad Arshad Rad and William Pressly and Majid Sarrafzadeh}, editor = {Ajay Katangur and Shih{-}Chun Lin and Jinpeng Wei and Shuhui Yang and Liang{-}Jie Zhang}, title = {Edge Architecture for Dynamic Data Stream Analysis and Manipulation}, booktitle = {Edge Computing - {EDGE} 2020 - 4th International Conference, Held as Part of the Services Conference Federation, {SCF} 2020, Honolulu, HI, USA, September 18-20, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12407}, pages = {33--49}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59824-2\_3}, doi = {10.1007/978-3-030-59824-2\_3}, timestamp = {Tue, 22 Sep 2020 17:23:46 +0200}, biburl = {https://dblp.org/rec/conf/edge2/GoldsteinSSRPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/Sarrafzadeh20, author = {Majid Sarrafzadeh}, title = {Olivia Health Analytic Platform}, booktitle = {HealthDL@MobiSys 2020, Proceedings of the 2020 Deep Learning for Wellbeing Applications Leveraging Mobile Devices and Edge Computing, June 19, 2020, Toronto, ON, Canada}, pages = {9}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3396868.3402497}, doi = {10.1145/3396868.3402497}, timestamp = {Tue, 09 Jun 2020 19:12:06 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/Sarrafzadeh20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05276, author = {Shayan Fazeli and Majid Sarrafzadeh}, title = {A Flexible and Intelligent Framework for Remote Health Monitoring Dashboards}, journal = {CoRR}, volume = {abs/2006.05276}, year = {2020}, url = {https://arxiv.org/abs/2006.05276}, eprinttype = {arXiv}, eprint = {2006.05276}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05276.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-06062, author = {Yuchao Ma and Andrew T. Campbell and Diane J. Cook and John C. Lach and Shwetak N. Patel and Thomas Ploetz and Majid Sarrafzadeh and Donna Spruijt{-}Metz and Hassan Ghasemzadeh}, title = {Transfer Learning for Activity Recognition in Mobile Health}, journal = {CoRR}, volume = {abs/2007.06062}, year = {2020}, url = {https://arxiv.org/abs/2007.06062}, eprinttype = {arXiv}, eprint = {2007.06062}, timestamp = {Fri, 20 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-06062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-03810, author = {Lionel M. Levine and Migyeong Gwak and Kimmo K{\"{a}}rkk{\"{a}}inen and Shayan Fazeli and Bita Zadeh and Tara Peris and Alexander S. Young and Majid Sarrafzadeh}, title = {Anxiety Detection Leveraging Mobile Passive Sensing}, journal = {CoRR}, volume = {abs/2008.03810}, year = {2020}, url = {https://arxiv.org/abs/2008.03810}, eprinttype = {arXiv}, eprint = {2008.03810}, timestamp = {Wed, 16 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-03810.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-07342, author = {Shayan Fazeli and Babak Moatamed and Majid Sarrafzadeh}, title = {Statistical Analytics and Regional Representation Learning for {COVID-19} Pandemic Understanding}, journal = {CoRR}, volume = {abs/2008.07342}, year = {2020}, url = {https://arxiv.org/abs/2008.07342}, eprinttype = {arXiv}, eprint = {2008.07342}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-07342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-05961, author = {Orpaz Goldstein and Mohammad Kachuee and Dereck J. Shiell and Majid Sarrafzadeh}, title = {Real-Time Decentralized knowledge Transfer at the Edge}, journal = {CoRR}, volume = {abs/2011.05961}, year = {2020}, url = {https://arxiv.org/abs/2011.05961}, eprinttype = {arXiv}, eprint = {2011.05961}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-05961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/DayPKLSBP19, author = {Frank C. Day and Mohammad Pourhomayoun and Deidre Keeves and Andrew F. Lees and Majid Sarrafzadeh and Douglas S. Bell and Michael A. Pfeffer}, title = {Feasibility study of an EHR-integrated mobile shared decision making application}, journal = {Int. J. Medical Informatics}, volume = {124}, pages = {24--30}, year = {2019}, url = {https://doi.org/10.1016/j.ijmedinf.2019.01.008}, doi = {10.1016/J.IJMEDINF.2019.01.008}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/DayPKLSBP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/KachueeDMS19, author = {Mohammad Kachuee and Sajad Darabi and Babak Moatamed and Majid Sarrafzadeh}, title = {Dynamic Feature Acquisition Using Denoising Autoencoders}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {30}, number = {8}, pages = {2252--2262}, year = {2019}, url = {https://doi.org/10.1109/TNNLS.2018.2880403}, doi = {10.1109/TNNLS.2018.2880403}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/KachueeDMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/HosseiniS19, author = {Anahita Hosseini and Majid Sarrafzadeh}, title = {Unsupervised Prediction of Negative Health Events Ahead of Time}, booktitle = {2019 {IEEE} {EMBS} International Conference on Biomedical {\&} Health Informatics, {BHI} 2019, Chicago, IL, USA, May 19-22, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BHI.2019.8834550}, doi = {10.1109/BHI.2019.8834550}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/bhi/HosseiniS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/GwakFESGAS19, author = {Migyeong Gwak and Shayan Fazeli and Ghazaal Ershadi and Majid Sarrafzadeh and Melina Ghodsi and Afshin Aminian and John A. Schlechter}, title = {{EXTRA:} Exercise Tracking and Analysis Platform for Remote-monitoring of Knee Rehabilitation}, booktitle = {16th {IEEE} International Conference on Wearable and Implantable Body Sensor Networks, {BSN} 2019, Chicago, IL, USA, May 19-22, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BSN.2019.8771084}, doi = {10.1109/BSN.2019.8771084}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/bsn/GwakFESGAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HosseiniZVHBS19, author = {Anahita Hosseini and Davina Zamanzadeh and Lisa Valencia and Rima Habre and Alex A. T. Bui and Majid Sarrafzadeh}, title = {Domain Adaptation in Children Activity Recognition}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {1725--1728}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857135}, doi = {10.1109/EMBC.2019.8857135}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/HosseiniZVHBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HosseiniDS19, author = {Anahita Hosseini and Tyler Davis and Majid Sarrafzadeh}, editor = {Panagiotis Papapetrou and Xueqi Cheng and Qing He}, title = {Hierarchical Target-Attentive Diagnosis Prediction in Heterogeneous Information Networks}, booktitle = {2019 International Conference on Data Mining Workshops, {ICDM} Workshops 2019, Beijing, China, November 8-11, 2019}, pages = {949--957}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDMW.2019.00138}, doi = {10.1109/ICDMW.2019.00138}, timestamp = {Mon, 20 Jan 2020 18:49:02 +0100}, biburl = {https://dblp.org/rec/conf/icdm/HosseiniDS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KachueeGKDS19, author = {Mohammad Kachuee and Orpaz Goldstein and Kimmo K{\"{a}}rkk{\"{a}}inen and Sajad Darabi and Majid Sarrafzadeh}, title = {Opportunistic Learning: Budgeted Cost-Sensitive Learning from Data Streams}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=S1eOHo09KX}, timestamp = {Thu, 25 Jul 2019 13:03:15 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KachueeGKDS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/GwakWS19, author = {Migyeong Gwak and Ellen Woo and Majid Sarrafzadeh}, editor = {Fillia Makedon}, title = {The role of {PPG} in identification of mild cognitive impairment}, booktitle = {Proceedings of the 12th {ACM} International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} 2019, Island of Rhodes, Greece, June 5-7, 2019}, pages = {32--35}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316782.3316798}, doi = {10.1145/3316782.3316798}, timestamp = {Sun, 26 May 2019 19:05:38 +0200}, biburl = {https://dblp.org/rec/conf/petra/GwakWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-00243, author = {Mohammad Kachuee and Orpaz Goldstein and Kimmo K{\"{a}}rkk{\"{a}}inen and Sajad Darabi and Majid Sarrafzadeh}, title = {Opportunistic Learning: Budgeted Cost-Sensitive Learning from Data Streams}, journal = {CoRR}, volume = {abs/1901.00243}, year = {2019}, url = {http://arxiv.org/abs/1901.00243}, eprinttype = {arXiv}, eprint = {1901.00243}, timestamp = {Wed, 24 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-00243.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-11168, author = {Anahita Hosseini and Majid Sarrafzadeh}, title = {Unsupervised Prediction of Negative Health Events Ahead of Time}, journal = {CoRR}, volume = {abs/1901.11168}, year = {2019}, url = {http://arxiv.org/abs/1901.11168}, eprinttype = {arXiv}, eprint = {1901.11168}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-11168.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-07102, author = {Mohammad Kachuee and Kimmo K{\"{a}}rkk{\"{a}}inen and Orpaz Goldstein and Davina Zamanzadeh and Majid Sarrafzadeh}, title = {Nutrition and Health Data for Cost-Sensitive Learning}, journal = {CoRR}, volume = {abs/1902.07102}, year = {2019}, url = {http://arxiv.org/abs/1902.07102}, eprinttype = {arXiv}, eprint = {1902.07102}, timestamp = {Wed, 24 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-07102.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-09340, author = {Mohammad Kachuee and Kimmo K{\"{a}}rkk{\"{a}}inen and Orpaz Goldstein and Sajad Darabi and Majid Sarrafzadeh}, title = {Generative Imputation and Stochastic Prediction}, journal = {CoRR}, volume = {abs/1905.09340}, year = {2019}, url = {http://arxiv.org/abs/1905.09340}, eprinttype = {arXiv}, eprint = {1905.09340}, timestamp = {Wed, 24 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-09340.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-03971, author = {Sajad Darabi and Mohammad Kachuee and Shayan Fazeli and Majid Sarrafzadeh}, title = {{TAPER:} Time-Aware Patient {EHR} Representation}, journal = {CoRR}, volume = {abs/1908.03971}, year = {2019}, url = {http://arxiv.org/abs/1908.03971}, eprinttype = {arXiv}, eprint = {1908.03971}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-03971.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-06772, author = {Orpaz Goldstein and Mohammad Kachuee and Kimmo K{\"{a}}rkk{\"{a}}inen and Majid Sarrafzadeh}, title = {Target-Focused Feature Selection Using a Bayesian Approach}, journal = {CoRR}, volume = {abs/1909.06772}, year = {2019}, url = {http://arxiv.org/abs/1909.06772}, eprinttype = {arXiv}, eprint = {1909.06772}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-06772.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-01803, author = {Sajad Darabi and Mohammad Kachuee and Majid Sarrafzadeh}, title = {Unsupervised Representation for {EHR} Signals and Codes as Patient Status Vector}, journal = {CoRR}, volume = {abs/1910.01803}, year = {2019}, url = {http://arxiv.org/abs/1910.01803}, eprinttype = {arXiv}, eprint = {1910.01803}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-01803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-08281, author = {Kimmo K{\"{a}}rkk{\"{a}}inen and Mohammad Kachuee and Orpaz Goldstein and Majid Sarrafzadeh}, title = {Cost-Sensitive Feature-Value Acquisition Using Feature Relevance}, journal = {CoRR}, volume = {abs/1912.08281}, year = {2019}, url = {http://arxiv.org/abs/1912.08281}, eprinttype = {arXiv}, eprint = {1912.08281}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-08281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-09600, author = {Mohammad Kachuee and Sajad Darabi and Shayan Fazeli and Majid Sarrafzadeh}, title = {Group-Connected Multilayer Perceptron Networks}, journal = {CoRR}, volume = {abs/1912.09600}, year = {2019}, url = {http://arxiv.org/abs/1912.09600}, eprinttype = {arXiv}, eprint = {1912.09600}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-09600.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-10552, author = {Anahita Hosseini and Tyler Davis and Majid Sarrafzadeh}, title = {Hierarchical Target-Attentive Diagnosis Prediction in Heterogeneous Information Networks}, journal = {CoRR}, volume = {abs/1912.10552}, year = {2019}, url = {http://arxiv.org/abs/1912.10552}, eprinttype = {arXiv}, eprint = {1912.10552}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-10552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhir/KingS18, author = {Christine E. King and Majid Sarrafzadeh}, title = {A Survey of Smartwatches in Remote Health Monitoring}, journal = {J. Heal. Informatics Res.}, volume = {2}, number = {1-2}, pages = {1--24}, year = {2018}, url = {https://doi.org/10.1007/s41666-017-0012-7}, doi = {10.1007/S41666-017-0012-7}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhir/KingS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HosseiniCWSS18, author = {Anahita Hosseini and Ting Chen and Wenjun Wu and Yizhou Sun and Majid Sarrafzadeh}, editor = {Alfredo Cuzzocrea and James Allan and Norman W. Paton and Divesh Srivastava and Rakesh Agrawal and Andrei Z. Broder and Mohammed J. Zaki and K. Sel{\c{c}}uk Candan and Alexandros Labrinidis and Assaf Schuster and Haixun Wang}, title = {HeteroMed: Heterogeneous Information Network for Medical Diagnosis}, booktitle = {Proceedings of the 27th {ACM} International Conference on Information and Knowledge Management, {CIKM} 2018, Torino, Italy, October 22-26, 2018}, pages = {763--772}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3269206.3271805}, doi = {10.1145/3269206.3271805}, timestamp = {Wed, 17 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/HosseiniCWSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HosseiniFVVHSB18, author = {Anahita Hosseini and Shayan Fazeli and Eleanne van Vliet and Lisa Valencia and Rima Habre and Majid Sarrafzadeh and Alex A. T. Bui}, title = {Children Activity Recognition: Challenges and Strategies}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {4331--4334}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513320}, doi = {10.1109/EMBC.2018.8513320}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/HosseiniFVVHSB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/GwakWS18, author = {Migyeong Gwak and Ellen Woo and Majid Sarrafzadeh}, title = {The Role of Accelerometer and Gyroscope Sensors in Identification of Mild Cognitive Impairment}, booktitle = {2018 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2018, Anaheim, CA, USA, November 26-29, 2018}, pages = {434--438}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GlobalSIP.2018.8646622}, doi = {10.1109/GLOBALSIP.2018.8646622}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/GwakWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/KachueeFS18, author = {Mohammad Kachuee and Shayan Fazeli and Majid Sarrafzadeh}, title = {{ECG} Heartbeat Classification: {A} Deep Transferable Representation}, booktitle = {{IEEE} International Conference on Healthcare Informatics, {ICHI} 2018, New York City, NY, USA, June 4-7, 2018}, pages = {443--444}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICHI.2018.00092}, doi = {10.1109/ICHI.2018.00092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichi/KachueeFS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-08052, author = {Anahita Hosseini and Ting Chen and Wenjun Wu and Yizhou Sun and Majid Sarrafzadeh}, title = {HeteroMed: Heterogeneous Information Network for Medical Diagnosis}, journal = {CoRR}, volume = {abs/1804.08052}, year = {2018}, url = {http://arxiv.org/abs/1804.08052}, eprinttype = {arXiv}, eprint = {1804.08052}, timestamp = {Wed, 17 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-08052.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-00794, author = {Mohammad Kachuee and Shayan Fazeli and Majid Sarrafzadeh}, title = {{ECG} Heartbeat Classification: {A} Deep Transferable Representation}, journal = {CoRR}, volume = {abs/1805.00794}, year = {2018}, url = {http://arxiv.org/abs/1805.00794}, eprinttype = {arXiv}, eprint = {1805.00794}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-00794.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-01249, author = {Mohammad Kachuee and Sajad Darabi and Babak Moatamed and Majid Sarrafzadeh}, title = {Dynamic Feature Acquisition Using Denoising Autoencoders}, journal = {CoRR}, volume = {abs/1811.01249}, year = {2018}, url = {http://arxiv.org/abs/1811.01249}, eprinttype = {arXiv}, eprint = {1811.01249}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-01249.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/KalantarianS17, author = {Haik Kalantarian and Majid Sarrafzadeh}, title = {Probabilistic time-series segmentation}, journal = {Pervasive Mob. Comput.}, volume = {41}, pages = {397--412}, year = {2017}, url = {https://doi.org/10.1016/j.pmcj.2017.03.005}, doi = {10.1016/J.PMCJ.2017.03.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/KalantarianS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pervasive/KalantarianAS17, author = {Haik Kalantarian and Nabil Alshurafa and Majid Sarrafzadeh}, title = {A Survey of Diet Monitoring Technology}, journal = {{IEEE} Pervasive Comput.}, volume = {16}, number = {1}, pages = {57--65}, year = {2017}, url = {https://doi.org/10.1109/MPRV.2017.1}, doi = {10.1109/MPRV.2017.1}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pervasive/KalantarianAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HosseiniBHHKSBK17, author = {Anahita Hosseini and Chris M. Buonocore and Sepideh Hashemzadeh and Hannaneh Hojaiji and Haik Kalantarian and Costas Sideris and Alex A. T. Bui and Christine E. King and Majid Sarrafzadeh}, title = {Feasibility of a Secure Wireless Sensing Smartwatch Application for the Self-Management of Pediatric Asthma}, journal = {Sensors}, volume = {17}, number = {8}, pages = {1780}, year = {2017}, url = {https://doi.org/10.3390/s17081780}, doi = {10.3390/S17081780}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HosseiniBHHKSBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KalantarianSMAS17, author = {Haik Kalantarian and Costas Sideris and Bobak Mortazavi and Nabil Alshurafa and Majid Sarrafzadeh}, title = {Dynamic Computation Offloading for Low-Power Wearable Health Monitoring Systems}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {64}, number = {3}, pages = {621--628}, year = {2017}, url = {https://doi.org/10.1109/TBME.2016.2570210}, doi = {10.1109/TBME.2016.2570210}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/KalantarianSMAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/AlshurafaSPKSE17, author = {Nabil Alshurafa and Costas Sideris and Mohammad Pourhomayoun and Haik Kalantarian and Majid Sarrafzadeh and Jo{-}Ann Eastwood}, title = {Remote Health Monitoring Outcome Success Prediction Using Baseline and First Month Intervention Data}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {21}, number = {2}, pages = {507--514}, year = {2017}, url = {https://doi.org/10.1109/JBHI.2016.2518673}, doi = {10.1109/JBHI.2016.2518673}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/AlshurafaSPKSE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/KalantarianSS17, author = {Haik Kalantarian and Costas Sideris and Majid Sarrafzadeh}, title = {A Hierarchical Classification and Segmentation Scheme for Processing Sensor Data}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {21}, number = {3}, pages = {672--681}, year = {2017}, url = {https://doi.org/10.1109/JBHI.2016.2526679}, doi = {10.1109/JBHI.2016.2526679}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/KalantarianSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/BuonocoreRRKS17, author = {Chris M. Buonocore and Rosemary A. Rocchio and Alfonso Roman and Christine E. King and Majid Sarrafzadeh}, editor = {Paolo Bonato and Honggang Wang}, title = {Wireless Sensor-Dependent Ecological Momentary Assessment for Pediatric Asthma mHealth Applications}, booktitle = {Proceedings of the Second {IEEE/ACM} International Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2017, Philadelphia, PA, USA, July 17-19, 2017}, pages = {137--146}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2017}, url = {https://doi.org/10.1109/CHASE.2017.72}, doi = {10.1109/CHASE.2017.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chase/BuonocoreRRKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/ShahmohammadiHK17, author = {Farhad Shahmohammadi and Anahita Hosseini and Christine E. King and Majid Sarrafzadeh}, editor = {Paolo Bonato and Honggang Wang}, title = {Smartwatch Based Activity Recognition Using Active Learning}, booktitle = {Proceedings of the Second {IEEE/ACM} International Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2017, Philadelphia, PA, USA, July 17-19, 2017}, pages = {321--329}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2017}, url = {https://doi.org/10.1109/CHASE.2017.115}, doi = {10.1109/CHASE.2017.115}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chase/ShahmohammadiHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ghtc/HojaijiGKSJ17, author = {Hannaneh Hojaiji and Orpaz Goldstein and Christine E. King and Majid Sarrafzadeh and Michael Jerrett}, title = {Design and calibration of a wearable and wireless research grade air quality monitoring system for real-time data collection}, booktitle = {{IEEE} Global Humanitarian Technology Conference, {GHTC} 2017, San Jose, CA, USA, October 19-22, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GHTC.2017.8239308}, doi = {10.1109/GHTC.2017.8239308}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ghtc/HojaijiGKSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/KachueeHMDS17, author = {Mohammad Kachuee and Anahita Hosseini and Babak Moatamed and Sajad Darabi and Majid Sarrafzadeh}, title = {Context-aware feature query to improve the prediction performance}, booktitle = {2017 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2017, Montreal, QC, Canada, November 14-16, 2017}, pages = {838--842}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GlobalSIP.2017.8309078}, doi = {10.1109/GLOBALSIP.2017.8309078}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/KachueeHMDS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/KachueeMHDMHHLL17, author = {Mohammad Kachuee and Lisa D. Moore and Tali Homsey and Hamidreza Ghasemi Damavandi and Babak Moatamed and Anahita Hosseini and Ruyi Huang and James Leiter and Daniel C. Lu and Majid Sarrafzadeh}, title = {An Active Learning Based Prediction of Epidural Stimulation Outcome in Spinal Cord Injury Patients Using Dynamic Sample Weighting}, booktitle = {2017 {IEEE} International Conference on Healthcare Informatics, {ICHI} 2017, Park City, UT, USA, August 23-26, 2017}, pages = {478--483}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICHI.2017.38}, doi = {10.1109/ICHI.2017.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichi/KachueeMHDMHHLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/KalantarianMAS16, author = {Haik Kalantarian and Babak Moatamed and Nabil Alshurafa and Majid Sarrafzadeh}, title = {A wearable sensor system for medication adherence prediction}, journal = {Artif. Intell. Medicine}, volume = {69}, pages = {43--52}, year = {2016}, url = {https://doi.org/10.1016/j.artmed.2016.03.004}, doi = {10.1016/J.ARTMED.2016.03.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/KalantarianMAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/SiderisPKS16, author = {Costas Sideris and Mohammad Pourhomayoun and Haik Kalantarian and Majid Sarrafzadeh}, title = {A flexible data-driven comorbidity feature extraction framework}, journal = {Comput. Biol. Medicine}, volume = {73}, pages = {165--172}, year = {2016}, url = {https://doi.org/10.1016/j.compbiomed.2016.04.014}, doi = {10.1016/J.COMPBIOMED.2016.04.014}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/SiderisPKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/KalantarianMPAS16, author = {Haik Kalantarian and Bobak Mortazavi and Mohammad Pourhomayoun and Nabil Alshurafa and Majid Sarrafzadeh}, title = {Probabilistic segmentation of time-series audio signals using Support Vector Machines}, journal = {Microprocess. Microsystems}, volume = {46}, pages = {96--104}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.04.011}, doi = {10.1016/J.MICPRO.2016.04.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/KalantarianMPAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/MortazaviPLNWS16, author = {Bobak Jack Mortazavi and Mohammad Pourhomayoun and Sunghoon Ivan Lee and Suneil Nyamathi and Brandon Wu and Majid Sarrafzadeh}, title = {User-optimized activity recognition for exergaming}, journal = {Pervasive Mob. Comput.}, volume = {26}, pages = {3--16}, year = {2016}, url = {https://doi.org/10.1016/j.pmcj.2015.11.001}, doi = {10.1016/J.PMCJ.2015.11.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/MortazaviPLNWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/WoodbridgeMBS16, author = {Jonathan Woodbridge and Bobak Mortazavi and Alex A. T. Bui and Majid Sarrafzadeh}, title = {Improving biomedical signal search results in big data case-based reasoning environments}, journal = {Pervasive Mob. Comput.}, volume = {28}, pages = {69--80}, year = {2016}, url = {https://doi.org/10.1016/j.pmcj.2015.09.006}, doi = {10.1016/J.PMCJ.2015.09.006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/WoodbridgeMBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HuangLXGLS16, author = {Ming{-}Chun Huang and Jason J. Liu and Wenyao Xu and Changzhan Gu and Changzhi Li and Majid Sarrafzadeh}, title = {A Self-Calibrating Radar Sensor System for Measuring Vital Signs}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {10}, number = {2}, pages = {352--363}, year = {2016}, url = {https://doi.org/10.1109/TBCAS.2015.2411732}, doi = {10.1109/TBCAS.2015.2411732}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/HuangLXGLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeMHLLPGREPLS16, author = {Sunghoon Ivan Lee and Bobak Mortazavi and Haydn A. Hoffman and Derek S. Lu and Charles Li and Brian H. Paak and Jordan H. Garst and Mehrdad Razaghy and Marie Espinal and Eunjeong Park and Daniel C. Lu and Majid Sarrafzadeh}, title = {A Prediction Model for Functional Outcomes in Spinal Cord Disorder Patients Using Gaussian Process Regression}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {20}, number = {1}, pages = {91--99}, year = {2016}, url = {https://doi.org/10.1109/JBHI.2014.2372777}, doi = {10.1109/JBHI.2014.2372777}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeeMHLLPGREPLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/KalantarianSLKS16, author = {Haik Kalantarian and Costas Sideris and Tuan Le and Christine E. King and Majid Sarrafzadeh}, title = {A framework for probabilistic segmentation of continuous sensor signals}, booktitle = {13th {IEEE} International Conference on Wearable and Implantable Body Sensor Networks, {BSN} 2016, San Francisco, CA, USA, June 14-17, 2016}, pages = {19--24}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BSN.2016.7516226}, doi = {10.1109/BSN.2016.7516226}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/bsn/KalantarianSLKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/HosseiniBHHKSBK16, author = {Anahita Hosseini and Chris M. Buonocore and Sepideh Hashemzadeh and Hannaneh Hojaiji and Haik Kalantarian and Costas Sideris and Alex A. T. Bui and Christine E. King and Majid Sarrafzadeh}, title = {{HIPAA} compliant wireless sensing smartwatch application for the self-management of pediatric asthma}, booktitle = {13th {IEEE} International Conference on Wearable and Implantable Body Sensor Networks, {BSN} 2016, San Francisco, CA, USA, June 14-17, 2016}, pages = {49--54}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BSN.2016.7516231}, doi = {10.1109/BSN.2016.7516231}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/HosseiniBHHKSBK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/MoatamedASRNS16, author = {Babak Moatamed and Arjun and Farhad Shahmohammadi and Ramin Ramezani and Arash Naeim and Majid Sarrafzadeh}, title = {Low-cost indoor health monitoring system}, booktitle = {13th {IEEE} International Conference on Wearable and Implantable Body Sensor Networks, {BSN} 2016, San Francisco, CA, USA, June 14-17, 2016}, pages = {159--164}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BSN.2016.7516252}, doi = {10.1109/BSN.2016.7516252}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/MoatamedASRNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/NematiSMS16, author = {Ebrahim Nemati and Young Soo Suh and Babak Moatamed and Majid Sarrafzadeh}, title = {Gait velocity estimation for a smartwatch platform using Kalman filter peak recovery}, booktitle = {13th {IEEE} International Conference on Wearable and Implantable Body Sensor Networks, {BSN} 2016, San Francisco, CA, USA, June 14-17, 2016}, pages = {230--235}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BSN.2016.7516265}, doi = {10.1109/BSN.2016.7516265}, timestamp = {Thu, 28 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/NematiSMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/SuhNS16, author = {Young Soo Suh and Ebrahim Nemati and Majid Sarrafzadeh}, title = {Kalman-Filter-Based Walking Distance Estimation for a Smart-Watch}, booktitle = {Proceedings of the First {IEEE} International Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2016, Washington, DC, USA, June 27-29, 2016}, pages = {150--156}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CHASE.2016.21}, doi = {10.1109/CHASE.2016.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chase/SuhNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HosseiniKS16, author = {Anahita Hosseini and Haik Kalantarian and Majid Sarrafzadeh}, title = {Adaptive data processing for real-time nutrition monitoring}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {1882--1885}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591088}, doi = {10.1109/EMBC.2016.7591088}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/HosseiniKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SamyMS16, author = {Lauren Samy and Paul M. Macey and Majid Sarrafzadeh}, title = {A daytime obstructive sleep apnea severity assessment framework}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {2365--2369}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591205}, doi = {10.1109/EMBC.2016.7591205}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/SamyMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KalantarianSLHS16, author = {Haik Kalantarian and Costas Sideris and Tuan Le and Anahita Hosseini and Majid Sarrafzadeh}, title = {Computation offloading for real-time health-monitoring devices}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {4971--4974}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591843}, doi = {10.1109/EMBC.2016.7591843}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/KalantarianSLHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/NematiSKS16, author = {Ebrahim Nemati and Konstantinos Sideris and Haik Kalantarian and Majid Sarrafzadeh}, title = {A dynamic data source selection system for smartwatch platform}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {5993--5996}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7592094}, doi = {10.1109/EMBC.2016.7592094}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/NematiSKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/KalantarianSZA16, author = {Haik Kalantarian and Majid Sarrafzadeh and Shibo Zhang and Nabil Alshurafa}, title = {An Iterative Dimensionality-Scaling System for Real-Time Health Monitoring Applications}, booktitle = {2016 {IEEE} International Conference on Healthcare Informatics, {ICHI} 2016, Chicago, IL, USA, October 4-7, 2016}, pages = {488--494}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICHI.2016.89}, doi = {10.1109/ICHI.2016.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichi/KalantarianSZA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/SiderisSKS16, author = {Costas Sideris and Sakib Shaikh and Haik Kalantarian and Majid Sarrafzadeh}, title = {A Big-Data platform for Medical Knowledge Extraction from Electronic Health Records: Automatic Assignment of {ICD-9} Codes}, booktitle = {Proceedings of the 9th {ACM} International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} 2016, Corfu Island, Greece, June 29 - July 1, 2016}, pages = {77}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2910674.2910685}, doi = {10.1145/2910674.2910685}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/petra/SiderisSKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcomp/SiderisKNS16, author = {Costas Sideris and Haik Kalantarian and Ebrahim Nemati and Majid Sarrafzadeh}, title = {Building Continuous Arterial Blood Pressure Prediction Models Using Recurrent Networks}, booktitle = {2016 {IEEE} International Conference on Smart Computing, {SMARTCOMP} 2016, St Louis, MO, USA, May 18-20, 2016}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/SMARTCOMP.2016.7501681}, doi = {10.1109/SMARTCOMP.2016.7501681}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smartcomp/SiderisKNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KalantarianALS15, author = {Haik Kalantarian and Nabil Alshurafa and Tuan Le and Majid Sarrafzadeh}, title = {Monitoring eating habits using a piezoelectric sensor-based necklace}, journal = {Comput. Biol. Medicine}, volume = {58}, pages = {46--55}, year = {2015}, url = {https://doi.org/10.1016/j.compbiomed.2015.01.005}, doi = {10.1016/J.COMPBIOMED.2015.01.005}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KalantarianALS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KalantarianS15, author = {Haik Kalantarian and Majid Sarrafzadeh}, title = {Audio-based detection and evaluation of eating behavior using the smartwatch platform}, journal = {Comput. Biol. Medicine}, volume = {65}, pages = {1--9}, year = {2015}, url = {https://doi.org/10.1016/j.compbiomed.2015.07.013}, doi = {10.1016/J.COMPBIOMED.2015.07.013}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KalantarianS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MortazaviPGJRS15, author = {Bobak Mortazavi and Mohammad Pourhomayoun and Hassan Ghasemzadeh and Roozbeh Jafari and Christian K. Roberts and Majid Sarrafzadeh}, title = {Context-Aware Data Processing to Enhance Quality of Measurements in Wireless Health Systems: An Application to {MET} Calculation of Exergaming Actions}, journal = {{IEEE} Internet Things J.}, volume = {2}, number = {1}, pages = {84--93}, year = {2015}, url = {https://doi.org/10.1109/JIOT.2014.2364407}, doi = {10.1109/JIOT.2014.2364407}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/MortazaviPGJRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MortazaviNVFCLN15, author = {Bobak Mortazavi and Ebrahim Nemati and Kristina VanderWall and Hector G. Flores{-}Rodriguez and Jun Yu Jacinta Cai and Jessica Lucier and Arash Naeim and Majid Sarrafzadeh}, title = {Can Smartwatches Replace Smartphones for Posture Tracking?}, journal = {Sensors}, volume = {15}, number = {10}, pages = {26783--26800}, year = {2015}, url = {https://doi.org/10.3390/s151026783}, doi = {10.3390/S151026783}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MortazaviNVFCLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/AlshurafaENLXGPS15, author = {Nabil Alshurafa and Jo{-}Ann Eastwood and Suneil Nyamathi and Jason J. Liu and Wenyao Xu and Hassan Ghasemzadeh and Mohammad Pourhomayoun and Majid Sarrafzadeh}, title = {Improving Compliance in Remote Healthcare Systems Through Smartphone Battery Optimization}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {19}, number = {1}, pages = {57--63}, year = {2015}, url = {https://doi.org/10.1109/JBHI.2014.2329712}, doi = {10.1109/JBHI.2014.2329712}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/AlshurafaENLXGPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LiuHXZSAS15, author = {Jason J. Liu and Ming{-}Chun Huang and Wenyao Xu and Xiaoyi Zhang and Luke Stevens and Nabil Alshurafa and Majid Sarrafzadeh}, title = {BreathSens: {A} Continuous On-Bed Respiratory Monitoring System With Torso Localization Using an Unobtrusive Pressure Sensing Array}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {19}, number = {5}, pages = {1682--1688}, year = {2015}, url = {https://doi.org/10.1109/JBHI.2014.2344679}, doi = {10.1109/JBHI.2014.2344679}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LiuHXZSAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/GhasemzadehASS15, author = {Hassan Ghasemzadeh and Navid Amini and Ramyar Saeedi and Majid Sarrafzadeh}, title = {Power-Aware Computing in Wearable Sensor Networks: An Optimal Feature Selection}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {14}, number = {4}, pages = {800--812}, year = {2015}, url = {https://doi.org/10.1109/TMC.2014.2331969}, doi = {10.1109/TMC.2014.2331969}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/GhasemzadehASS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/DaySSPSPBKPB15, author = {Frank C. Day and Majid Sarrafzadeh and Stephanie Smith and Mohammad Pourhomayoun and Konstantinos Sideris and Amogh Param and Jonathan Ben{-}Hamou and Deidre Keeves and Michael A. Pfeffer and Douglas S. Bell}, title = {An EHR-Integrated Shared Decision Making Mobile App for Prostate Cancer Screening}, booktitle = {{AMIA} 2015, American Medical Informatics Association Annual Symposium, San Francisco, CA, USA, November 14-18, 2015}, publisher = {{AMIA}}, year = {2015}, url = {https://knowledge.amia.org/59310-amia-1.2741865/t001-1.2745946/f001-1.2745947/2249103-1.2746185/2247587-1.2746182}, timestamp = {Wed, 17 Apr 2024 11:47:40 +0200}, biburl = {https://dblp.org/rec/conf/amia/DaySSPSPBKPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/KalantarianANLS15, author = {Haik Kalantarian and Nabil Alshurafa and Ebrahim Nemati and Tuan Le and Majid Sarrafzadeh}, title = {A smartwatch-based medication adherence system}, booktitle = {12th {IEEE} International Conference on Wearable and Implantable Body Sensor Networks, {BSN} 2015, Cambridge, MA, USA, June 9-12, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BSN.2015.7299348}, doi = {10.1109/BSN.2015.7299348}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/KalantarianANLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SiderisAPSSS15, author = {Costas Sideris and Nabil Alshurafa and Mohammad Pourhomayoun and Farhad Shahmohammadi and Lauren Samy and Majid Sarrafzadeh}, title = {A data-driven feature extraction framework for predicting the severity of condition of congestive heart failure patients}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {2534--2537}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318908}, doi = {10.1109/EMBC.2015.7318908}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SiderisAPSSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SamyMS15, author = {Lauren Samy and Paul M. Macey and Majid Sarrafzadeh}, title = {A gender-aware framework for the daytime detection of obstructive sleep apnea}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {7683--7687}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7320172}, doi = {10.1109/EMBC.2015.7320172}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/SamyMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/MortazaviPNWLS15, author = {Bobak Mortazavi and Mohammad Pourhomayoun and Suneil Nyamathi and Brandon Wu and Sunghoon Ivan Lee and Majid Sarrafzadeh}, title = {Multiple model recognition for near-realistic exergaming}, booktitle = {2015 {IEEE} International Conference on Pervasive Computing and Communications, PerCom 2015, St. Louis, MO, USA, 23-27 March, 2015}, pages = {140--148}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PERCOM.2015.7146520}, doi = {10.1109/PERCOM.2015.7146520}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/MortazaviPNWLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/KalantarianALS15, author = {Haik Kalantarian and Nabil Alshurafa and Tuan Le and Majid Sarrafzadeh}, title = {Non-invasive detection of medication adherence using a digital smart necklace}, booktitle = {2015 {IEEE} International Conference on Pervasive Computing and Communication Workshops, PerCom Workshops 2015, St. Louis, MO, USA, March 23-27, 2015}, pages = {348--353}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PERCOMW.2015.7134061}, doi = {10.1109/PERCOMW.2015.7134061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/KalantarianALS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/MaHKSCNGA15, author = {Yuchao Ma and Sharon Henry and Alex Kierlanczyk and Majid Sarrafzadeh and Joseph Caprioli and Kouros Nouri{-}Mahdavi and Hassan Ghasemzadeh and Navid Amini}, title = {Investigation of gait characteristics in glaucoma patients with a shoe-integrated sensing system}, booktitle = {2015 {IEEE} International Conference on Pervasive Computing and Communication Workshops, PerCom Workshops 2015, St. Louis, MO, USA, March 23-27, 2015}, pages = {433--438}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PERCOMW.2015.7134077}, doi = {10.1109/PERCOMW.2015.7134077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/MaHKSCNGA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/KalantarianAPS15, author = {Haik Kalantarian and Nabil Alshurafa and Mohammad Pourhomayoun and Majid Sarrafzadeh}, title = {Power optimization for wearable devices}, booktitle = {2015 {IEEE} International Conference on Pervasive Computing and Communication Workshops, PerCom Workshops 2015, St. Louis, MO, USA, March 23-27, 2015}, pages = {568--573}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PERCOMW.2015.7134100}, doi = {10.1109/PERCOMW.2015.7134100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/KalantarianAPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/SamyMAS15, author = {Lauren Samy and Paul M. Macey and Nabil Alshurafa and Majid Sarrafzadeh}, editor = {Fillia Makedon}, title = {An automated framework for predicting obstructive sleep apnea using a brief, daytime, non-intrusive test procedure}, booktitle = {Proceedings of the 8th {ACM} International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} 2015, Corfu, Greece, July 1-3, 2015}, pages = {70:1--70:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2769493.2769541}, doi = {10.1145/2769493.2769541}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/petra/SamyMAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/SiderisAKSE15, author = {Costas Sideris and Nabil Alshurafa and Haik Kalantarian and Majid Sarrafzadeh and Jo{-}Ann Eastwood}, editor = {Wendy Nilsen and Jack A. Stankovic}, title = {Effects of coaching on adherence in remote health monitoring systems: analysis and prediction of participant adherence}, booktitle = {Proceedings of the conference on Wireless Health, {WH} 2015, Bethesda, Maryland, USA, October 14-16, 2015}, pages = {10:1--10:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811780.2811949}, doi = {10.1145/2811780.2811949}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/SiderisAKSE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/LiuXHASRY14, author = {Jason J. Liu and Wenyao Xu and Ming{-}Chun Huang and Nabil Alshurafa and Majid Sarrafzadeh and Nitin Raut and Behrooz Yadegar}, title = {Sleep posture analysis using a dense pressure sensitive bedsheet}, journal = {Pervasive Mob. Comput.}, volume = {10}, pages = {34--50}, year = {2014}, url = {https://doi.org/10.1016/j.pmcj.2013.10.008}, doi = {10.1016/J.PMCJ.2013.10.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/LiuXHASRY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thms/RofoueiPS14, author = {Mahsan Rofouei and Miodrag Potkonjak and Majid Sarrafzadeh}, title = {Energy Efficient Collaborative Sensing-Based Design: Soft Keyboard Case Study}, journal = {{IEEE} Trans. Hum. Mach. Syst.}, volume = {44}, number = {1}, pages = {115--124}, year = {2014}, url = {https://doi.org/10.1109/TSMC.2013.2290503}, doi = {10.1109/TSMC.2013.2290503}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thms/RofoueiPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/BravoCCPRS14, author = {Jos{\'{e}} Bravo and Antonio Coronato and Kevin Curran and Giuseppe De Pietro and Qiushi Ren and Majid Sarrafzadeh}, title = {Editorial to the Special Section on Ambient Intelligence and Assistive Technologies for Cognitive Impaired People}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {1}, pages = {352}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2013.2292811}, doi = {10.1109/JBHI.2013.2292811}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/BravoCCPRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/WangVSL14, author = {Honggang Wang and Athanasios V. Vasilakos and Majid Sarrafzadeh and Chenyang Lu}, title = {Guest Editorial: Emerging Wireless Body Area Networks (WBANs) for Ubiquitous Healthcare}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {2}, pages = {403}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2014.2298353}, doi = {10.1109/JBHI.2014.2298353}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/WangVSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HuangLXAZS14, author = {Ming{-}Chun Huang and Jason J. Liu and Wenyao Xu and Nabil Alshurafa and Xiaoyi Zhang and Majid Sarrafzadeh}, title = {Using Pressure Map Sequences for Recognition of On Bed Rehabilitation Exercises}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {2}, pages = {411--418}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2013.2296891}, doi = {10.1109/JBHI.2013.2296891}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/HuangLXAZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MortazaviNLWGS14, author = {Bobak Mortazavi and Suneil Nyamathi and Sunghoon Ivan Lee and Thomas Wilkerson and Hassan Ghasemzadeh and Majid Sarrafzadeh}, title = {Near-Realistic Mobile Exergames With Wireless Wearable Sensors}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {2}, pages = {449--456}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2013.2293674}, doi = {10.1109/JBHI.2013.2293674}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/MortazaviNLWGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HuangXLXSLC14, author = {Anpeng Huang and Wenyao Xu and Zhinan Li and Linzhen Xie and Majid Sarrafzadeh and Xiaoming Li and Jason Cong}, title = {System Light-Loading Technology for mHealth: Manifold-Learning-Based Medical Data Cleansing and Clinical Trials in {WE-CARE} Project}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {5}, pages = {1581--1589}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2013.2292576}, doi = {10.1109/JBHI.2013.2292576}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HuangXLXSLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/AlshurafaXLHMRS14, author = {Nabil Alshurafa and Wenyao Xu and Jason J. Liu and Ming{-}Chun Huang and Bobak Mortazavi and Christian K. Roberts and Majid Sarrafzadeh}, title = {Designing a Robust Activity Recognition Framework for Health and Exergaming Using Wearable Sensors}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {5}, pages = {1636--1646}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2013.2287504}, doi = {10.1109/JBHI.2013.2287504}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/AlshurafaXLHMRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/MortazaviPAALS14, author = {Bobak Jack Mortazavi and Mohammad Pourhomayoun and Gabriel Alsheikh and Nabil Alshurafa and Sunghoon Ivan Lee and Majid Sarrafzadeh}, title = {Determining the Single Best Axis for Exercise Repetition Recognition and Counting on SmartWatches}, booktitle = {2014 11th International Conference on Wearable and Implantable Body Sensor Networks, Zuirch, Switzerland, June 16-19, 2014}, pages = {33--38}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BSN.2014.21}, doi = {10.1109/BSN.2014.21}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/MortazaviPAALS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/KalantarianAS14, author = {Haik Kalantarian and Nabil Alshurafa and Majid Sarrafzadeh}, title = {A Wearable Nutrition Monitoring System}, booktitle = {2014 11th International Conference on Wearable and Implantable Body Sensor Networks, Zuirch, Switzerland, June 16-19, 2014}, pages = {75--80}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BSN.2014.26}, doi = {10.1109/BSN.2014.26}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/KalantarianAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/AlshurafaEPNBMS14, author = {Nabil Alshurafa and Jo{-}Ann Eastwood and Mohammad Pourhomayoun and Suneil Nyamathi and Lily Bao and Bobak Mortazavi and Majid Sarrafzadeh}, title = {Anti-Cheating: Detecting Self-Inflicted and Impersonator Cheaters for Remote Health Monitoring Systems with Wearable Sensors}, booktitle = {2014 11th International Conference on Wearable and Implantable Body Sensor Networks, Zuirch, Switzerland, June 16-19, 2014}, pages = {92--97}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BSN.2014.38}, doi = {10.1109/BSN.2014.38}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/AlshurafaEPNBMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HuangZXLS14, author = {Ming{-}Chun Huang and Xiaoyi Zhang and Wenyao Xu and Jason J. Liu and Majid Sarrafzadeh}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {EZwakeup: a sleep environment design for sleep quality improvement}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014, Extended Abstracts}, pages = {2389--2394}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559206.2581250}, doi = {10.1145/2559206.2581250}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HuangZXLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/PournaghshbandM14, author = {Vahab Pournaghshband and David Meyer and Michael Holyland and Majid Sarrafzadeh and Peter L. Reiher}, editor = {Xingang Liu and Didier El Baz and Ching{-}Hsien Hsu and Kai Kang and Weifeng Chen}, title = {Adrasteia: {A} Smartphone App for Securing Legacy Mobile Medical Devices}, booktitle = {17th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2014, Chengdu, China, December 19-21, 2014}, pages = {758--763}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CSE.2014.156}, doi = {10.1109/CSE.2014.156}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/PournaghshbandM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LiuHXS14, author = {Jason J. Liu and Ming{-}Chun Huang and Wenyao Xu and Majid Sarrafzadeh}, title = {Bodypart localization for pressure ulcer prevention}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {766--769}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943703}, doi = {10.1109/EMBC.2014.6943703}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LiuHXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/AlshurafaEPLS14, author = {Nabil Alshurafa and Jo{-}Ann Eastwood and Mohammad Pourhomayoun and Jason J. Liu and Majid Sarrafzadeh}, title = {Remote health monitoring: Predicting outcome success based on contextual features for cardiovascular disease}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {1777--1781}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943953}, doi = {10.1109/EMBC.2014.6943953}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/AlshurafaEPLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/SiderisASSP14, author = {Costas Sideris and Nabil Alshurafa and Behnam Shahbazi and Majid Sarrafzadeh and Mohammad Pourhomayoun}, editor = {A. J. Brush and Adrian Friday and Julie A. Kientz and James Scott and Junehwa Song}, title = {Using electronic health records to predict severity of condition for congestive heart failure patients}, booktitle = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14 Adjunct Publication, Seattle, WA, {USA} - September 13 - 17, 2014}, pages = {1187--1192}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2638728.2638815}, doi = {10.1145/2638728.2638815}, timestamp = {Tue, 26 Mar 2024 11:01:21 +0100}, biburl = {https://dblp.org/rec/conf/huc/SiderisASSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/MortazaviLS14, author = {Bobak Mortazavi and Sunghoon Ivan Lee and Majid Sarrafzadeh}, editor = {A. J. Brush and Adrian Friday and Julie A. Kientz and James Scott and Junehwa Song}, title = {User-centric exergaming with fine-grain activity recognition: a dynamic optimization approach}, booktitle = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14 Adjunct Publication, Seattle, WA, {USA} - September 13 - 17, 2014}, pages = {1233--1240}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2638728.2638806}, doi = {10.1145/2638728.2638806}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/MortazaviLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/Sarrafzadeh14, author = {Majid Sarrafzadeh}, title = {Life Saving Embedded Systems}, booktitle = {3rd Mediterranean Conference on Embedded Computing, {MECO} 2014, Budva, Montenegro, June 15-19, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MECO.2014.6862731}, doi = {10.1109/MECO.2014.6862731}, timestamp = {Mon, 08 Feb 2021 13:26:44 +0100}, biburl = {https://dblp.org/rec/conf/meco/Sarrafzadeh14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/AlshurafaENXLS14, author = {Nabil Alshurafa and Jo{-}Ann Eastwood and Suneil Nyamathi and Wenyao Xu and Jason J. Liu and Majid Sarrafzadeh}, editor = {Fillia Makedon and Mark Clements and Catherine Pelachaud and Vana Kalogeraki and Ilias Maglogiannis}, title = {Battery optimization in smartphones for remote health monitoring systems to enhance user adherence}, booktitle = {Proceedings of the 7th International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} 2014, Island of Rhodes, Greece, May 27 - 30, 2014}, pages = {8:1--8:4}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2674396.2674407}, doi = {10.1145/2674396.2674407}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/petra/AlshurafaENXLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/AlshurafaEPLNS14, author = {Nabil Alshurafa and Jo{-}Ann Eastwood and Mohammad Pourhomayoun and Jason J. Liu and Suneil Nyamathi and Majid Sarrafzadeh}, editor = {Wendy Nilsen and Julien Penders and Misha Pavel and Andrew Raij}, title = {A Framework for Predicting Adherence in Remote Health Monitoring Systems}, booktitle = {Proceedings of the Wireless Health 2014, National Institutes of Health, Bethesda, MD, USA, October 29-31, 2014}, pages = {8:1--8:8}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2668883.2669586}, doi = {10.1145/2668883.2669586}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/AlshurafaEPLNS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaacs/DabiriNS13, author = {Foad Dabiri and Hyduke Noshadi and Majid Sarrafzadeh}, title = {Behavioural reconfigurable and adaptive data reduction in body sensor networks}, journal = {Int. J. Auton. Adapt. Commun. Syst.}, volume = {6}, number = {3}, pages = {207--224}, year = {2013}, url = {https://doi.org/10.1504/IJAACS.2013.054825}, doi = {10.1504/IJAACS.2013.054825}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaacs/DabiriNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/NoshadiDAAS13, author = {Hyduke Noshadi and Foad Dabiri and Shaun Ahmadian and Navid Amini and Majid Sarrafzadeh}, title = {{HERMES:} Mobile system for instability analysis and balance assessment}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {12}, number = {1s}, pages = {57:1--57:24}, year = {2013}, url = {https://doi.org/10.1145/2435227.2435253}, doi = {10.1145/2435227.2435253}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/NoshadiDAAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/JafariLSK13, author = {Roozbeh Jafari and John C. Lach and Majid Sarrafzadeh and William J. Kaiser}, title = {Introduction to the special section on wireless health systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {12}, number = {4}, pages = {98:1--98:2}, year = {2013}, url = {https://doi.org/10.1145/2485984.2485986}, doi = {10.1145/2485984.2485986}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/JafariLSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeGMS13, author = {Sunghoon Ivan Lee and Hassan Ghasemzadeh and Bobak Jack Mortazavi and Majid Sarrafzadeh}, title = {A Pervasive Assessment of Motor Function: {A} Lightweight Grip Strength Tracking System}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {17}, number = {6}, pages = {1023--1030}, year = {2013}, url = {https://doi.org/10.1109/JBHI.2013.2262833}, doi = {10.1109/JBHI.2013.2262833}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/LeeGMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/NoshadiDMPS13, author = {Hyduke Noshadi and Foad Dabiri and Saro Meguerdichian and Miodrag Potkonjak and Majid Sarrafzadeh}, title = {Behavior-oriented data resource management in medical sensing systems}, journal = {{ACM} Trans. Sens. Networks}, volume = {9}, number = {2}, pages = {12:1--12:26}, year = {2013}, url = {https://doi.org/10.1145/2422966.2422969}, doi = {10.1145/2422966.2422969}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosn/NoshadiDMPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/AlshurafaXLHMSR13, author = {Nabil Alshurafa and Wenyao Xu and Jason J. Liu and Ming{-}Chun Huang and Bobak Mortazavi and Majid Sarrafzadeh and Christian K. Roberts}, title = {Robust human intensity-varying activity recognition using Stochastic Approximation in wearable sensors}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575515}, doi = {10.1109/BSN.2013.6575515}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/AlshurafaXLHMSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/LeeGMYGRGPGEKLS13, author = {Sunghoon Ivan Lee and Hassan Ghasemzadeh and Bobak Jack Mortazavi and Andrew Yew and Ruth Getachew and Mehrdad Razaghy and Nima Ghalehsari and Brian H. Paak and Jordan H. Garst and Marie Espinal and Jon Kimball and Daniel C. Lu and Majid Sarrafzadeh}, title = {Objective assessment of overexcited hand movements using a lightweight sensory device}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575498}, doi = {10.1109/BSN.2013.6575498}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/LeeGMYGRGPGEKLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/LiuHXAS13, author = {Jason J. Liu and Ming{-}Chun Huang and Wenyao Xu and Nabil Alshurafa and Majid Sarrafzadeh}, title = {On-bed monitoring for range of motion exercises with a pressure sensitive bedsheet}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575474}, doi = {10.1109/BSN.2013.6575474}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/LiuHXAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/LiuHXAS13a, author = {Jason J. Liu and Ming{-}Chun Huang and Wenyao Xu and Nabil Alshurafa and Majid Sarrafzadeh}, title = {On-bed monitoring for range of motion exercises with a pressure sensitive bedsheet}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575475}, doi = {10.1109/BSN.2013.6575475}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/LiuHXAS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/MoazeniMS13, author = {Maryam Moazeni and Bobak Mortazavi and Majid Sarrafzadeh}, title = {Multi-dimensional signal search with applications in remote medical monitoring}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575495}, doi = {10.1109/BSN.2013.6575495}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/MoazeniMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/MoazeniMS13a, author = {Maryam Moazeni and Bobak Mortazavi and Majid Sarrafzadeh}, title = {Multi-dimensional signal search with applications in remote medical monitoring}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575494}, doi = {10.1109/BSN.2013.6575494}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/MoazeniMS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/MortazaviALLSCR13, author = {Bobak Mortazavi and Nabil Alsharufa and Sunghoon Ivan Lee and Mars Lan and Majid Sarrafzadeh and Michael Chronley and Christian K. Roberts}, title = {{MET} calculations from on-body accelerometers for exergaming movements}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575520}, doi = {10.1109/BSN.2013.6575520}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/MortazaviALLSCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/RofoueiGHAS13, author = {Mahsan Rofouei and Mohammad Ali Ghodrat and Yiran Huang and Nabil Alshurafa and Majid Sarrafzadeh}, title = {Improving accuracy in E-Textiles as a platform for pervasive sensing}, booktitle = {2013 {IEEE} International Conference on Body Sensor Networks, Cambridge, MA, USA, May 6-9, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BSN.2013.6575514}, doi = {10.1109/BSN.2013.6575514}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/RofoueiGHAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HuangXLSHS13, author = {Ming{-}Chun Huang and Wenyao Xu and Jason J. Liu and Yi Su and Lei He and Majid Sarrafzadeh}, editor = {Louis Marinos and Ioannis G. Askoxylakis}, title = {Inconspicuous Personal Computer Protection with Touch-Mouse}, booktitle = {Human Aspects of Information Security, Privacy, and Trust - First International Conference, {HAS} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8030}, pages = {29--38}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39345-7\_4}, doi = {10.1007/978-3-642-39345-7\_4}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/HuangXLSHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/LiuXHASRY13, author = {Jason J. Liu and Wenyao Xu and Ming{-}Chun Huang and Nabil Alshurafa and Majid Sarrafzadeh and Nitin Raut and Behrooz Yadegar}, title = {A dense pressure sensitive bedsheet design for unobtrusive sleep posture monitoring}, booktitle = {2013 {IEEE} International Conference on Pervasive Computing and Communications, PerCom 2013, San Diego, CA, USA, March 18-22, 2013}, pages = {207--215}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PerCom.2013.6526734}, doi = {10.1109/PERCOM.2013.6526734}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/LiuXHASRY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/KalantarianLMGLS13, author = {Haik Kalantarian and Sunghoon Ivan Lee and Anurag Mishra and Hassan Ghasemzadeh and Jason J. Liu and Majid Sarrafzadeh}, title = {Multimodal energy expenditure calculation for pervasive health: {A} data fusion model using wearable sensors}, booktitle = {2013 {IEEE} International Conference on Pervasive Computing and Communications Workshops, {PERCOM} 2013 Workshops, San Diego, CA, USA, March 18-22, 2013}, pages = {676--681}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PerComW.2013.6529578}, doi = {10.1109/PERCOMW.2013.6529578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/KalantarianLMGLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/HuangXLSVAS13, author = {Ming{-}Chun Huang and Wenyao Xu and Jason J. Liu and Lauren Samy and Amir Vajid and Nabil Alshurafa and Majid Sarrafzadeh}, editor = {Fillia Makedon and Margrit Betke and Magy Seif El{-}Nasr and Ilias Maglogiannis}, title = {Inconspicuous on-bed respiratory rate monitoring}, booktitle = {The 6th International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} '13, Island of Rhodes, Greece, May 29-31, 2013}, pages = {18:1--18:8}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2504335.2504353}, doi = {10.1145/2504335.2504353}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/petra/HuangXLSVAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/XuHLRSLS13, author = {Wenyao Xu and Ming{-}Chun Huang and Jason J. Liu and Fengbo Ren and Xinchen Shen and Xiao Liu and Majid Sarrafzadeh}, editor = {Fillia Makedon and Margrit Betke and Magy Seif El{-}Nasr and Ilias Maglogiannis}, title = {mCOPD: mobile phone based lung function diagnosis and exercise system for {COPD}}, booktitle = {The 6th International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} '13, Island of Rhodes, Greece, May 29-31, 2013}, pages = {45:1--45:8}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2504335.2504383}, doi = {10.1145/2504335.2504383}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/petra/XuHLRSLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/LeeGMLAOS13, author = {Sunghoon Ivan Lee and Hassan Ghasemzadeh and Bobak Mortazavi and Mars Lan and Nabil Alshurafa and Michael K. Ong and Majid Sarrafzadeh}, editor = {Roozbeh Jafari and Wendy Nilsen}, title = {Remote patient monitoring: what impact can data analytics have on cost?}, booktitle = {Wireless Health 2013, {WH} '13, Baltimore, MD, {USA} - November 01 - 03, 2013}, pages = {4:1--4:8}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2534088.2534108}, doi = {10.1145/2534088.2534108}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/LeeGMLAOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/AminiVXGS12, author = {Navid Amini and Alireza Vahdatpour and Wenyao Xu and Mario Gerla and Majid Sarrafzadeh}, title = {Cluster size optimization in sensor networks with decentralized cluster-based protocols}, journal = {Comput. Commun.}, volume = {35}, number = {2}, pages = {207--220}, year = {2012}, url = {https://doi.org/10.1016/j.comcom.2011.09.009}, doi = {10.1016/J.COMCOM.2011.09.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/AminiVXGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/SuhNWRS12, author = {Myung{-}kyung Suh and Ani Nahapetian and Jonathan Woodbridge and Mahsan Rofouei and Majid Sarrafzadeh}, title = {Machine Learning-Based Adaptive Wireless Interval Training Guidance System}, journal = {Mob. Networks Appl.}, volume = {17}, number = {2}, pages = {163--177}, year = {2012}, url = {https://doi.org/10.1007/s11036-011-0331-5}, doi = {10.1007/S11036-011-0331-5}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/SuhNWRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/XuZSS12, author = {Wenyao Xu and Mi Zhang and Alexander A. Sawchuk and Majid Sarrafzadeh}, title = {Robust Human Activity and Sensor Location Corecognition via Sparse Signal Representation}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {11-2}, pages = {3169--3176}, year = {2012}, url = {https://doi.org/10.1109/TBME.2012.2211355}, doi = {10.1109/TBME.2012.2211355}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/XuZSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/NahapetianKS12, author = {Ani Nahapetian and William J. Kaiser and Majid Sarrafzadeh}, title = {Editorial: Special Section on WHS'09}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {11}, number = {{S2}}, pages = {45:1--45:2}, year = {2012}, url = {https://doi.org/10.1145/2331147.2331155}, doi = {10.1145/2331147.2331155}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/NahapetianKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/GongXLHS12, author = {Fang Gong and Wenyao Xu and Jueh{-}Yu Lee and Lei He and Majid Sarrafzadeh}, title = {NeuroGlasses: {A} Neural Sensing Healthcare System for 3-D Vision Technology}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {16}, number = {2}, pages = {198--204}, year = {2012}, url = {https://doi.org/10.1109/TITB.2011.2176539}, doi = {10.1109/TITB.2011.2176539}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/GongXLHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChengGXXHS12, author = {Lerong Cheng and Fang Gong and Wenyao Xu and Jinjun Xiong and Lei He and Majid Sarrafzadeh}, title = {Fourier Series Approximation for Max Operation in Non-Gaussian and Quadratic Statistical Static Timing Analysis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {8}, pages = {1383--1391}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2157843}, doi = {10.1109/TVLSI.2011.2157843}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChengGXXHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/RofoueiMSS12, author = {Mahsan Rofouei and Pooya Monajemi and Mike Sinclair and Majid Sarrafzadeh}, title = {Arterial pressure pulse palpation with the Haptic Lens}, booktitle = {Proceedings of 2012 {IEEE-EMBS} International Conference on Biomedical and Health Informatics, Hong Kong, China, January 5-7, 2012}, pages = {640--643}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BHI.2012.6211664}, doi = {10.1109/BHI.2012.6211664}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/bhi/RofoueiMSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/WoodbridgeMSB12, author = {Jonathan Woodbridge and Bobak Mortazavi and Majid Sarrafzadeh and Alex A. T. Bui}, title = {A Monte Carlo approach to biomedicai time series search}, booktitle = {2012 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2012, Philadelphia, PA, USA, October 4-7, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBM.2012.6392646}, doi = {10.1109/BIBM.2012.6392646}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/WoodbridgeMSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/MortazaviCLTKS12, author = {Bobak Mortazavi and Kin Chung Chu and Xialong Li and Jessica Tai and Shwetha Kotekar and Majid Sarrafzadeh}, editor = {Guang{-}Zhong Yang and Eric M. Yeatman and Chris McLeod}, title = {Near-Realistic Motion Video Games with Enforced Activity}, booktitle = {2012 Ninth International Conference on Wearable and Implantable Body Sensor Networks, London, United Kingdom, May 9-12, 2012}, pages = {28--33}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BSN.2012.18}, doi = {10.1109/BSN.2012.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/MortazaviCLTKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/HuangRS12, author = {Yiran Huang and Mahsan Rofouei and Majid Sarrafzadeh}, editor = {Guang{-}Zhong Yang and Eric M. Yeatman and Chris McLeod}, title = {Automated Wolf Motor Function Test {(WMFT)} for Upper Extremities Rehabilitation}, booktitle = {2012 Ninth International Conference on Wearable and Implantable Body Sensor Networks, London, United Kingdom, May 9-12, 2012}, pages = {91--96}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BSN.2012.27}, doi = {10.1109/BSN.2012.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/HuangRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/XuZSS12, author = {Wenyao Xu and Mi Zhang and Alexander A. Sawchuk and Majid Sarrafzadeh}, editor = {Guang{-}Zhong Yang and Eric M. Yeatman and Chris McLeod}, title = {Co-recognition of Human Activity and Sensor Location via Compressed Sensing in Wearable Body Sensor Networks}, booktitle = {2012 Ninth International Conference on Wearable and Implantable Body Sensor Networks, London, United Kingdom, May 9-12, 2012}, pages = {124--129}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BSN.2012.14}, doi = {10.1109/BSN.2012.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/XuZSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/LiXHS12, author = {Zhinan Li and Wenyao Xu and Anpeng Huang and Majid Sarrafzadeh}, editor = {Guang{-}Zhong Yang and Eric M. Yeatman and Chris McLeod}, title = {Dimensionality Reduction for Anomaly Detection in Electrocardiography: {A} Manifold Approach}, booktitle = {2012 Ninth International Conference on Wearable and Implantable Body Sensor Networks, London, United Kingdom, May 9-12, 2012}, pages = {161--165}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BSN.2012.12}, doi = {10.1109/BSN.2012.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/LiXHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeeLNS12, author = {Sunghoon Ivan Lee and Charles Ling and Ani Nahapetian and Majid Sarrafzadeh}, title = {A mechanism for data quality estimation of on-body cardiac sensor networks}, booktitle = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, pages = {194--198}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCNC.2012.6181085}, doi = {10.1109/CCNC.2012.6181085}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LeeLNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LanGS12, author = {Mars Lan and Hassan Ghasemzadeh and Majid Sarrafzadeh}, title = {Generalized precursor pattern discovery for biomedical signals}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {2198--2201}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346398}, doi = {10.1109/EMBC.2012.6346398}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LanGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SuhMWLGBAS12, author = {Myung{-}kyung Suh and Tannaz Moin and Jonathan Woodbridge and Mars Lan and Hassan Ghasemzadeh and Alex A. T. Bui and Sheila Ahmadi and Majid Sarrafzadeh}, title = {Dynamic self-adaptive remote health monitoring system for diabetics}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {2223--2226}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346404}, doi = {10.1109/EMBC.2012.6346404}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/SuhMWLGBAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WoodbridgeMBS12, author = {Jonathan Woodbridge and Bobak Mortazavi and Alex A. T. Bui and Majid Sarrafzadeh}, title = {High performance biomedical time series indexes using salient segmentation}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {5086--5089}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347137}, doi = {10.1109/EMBC.2012.6347137}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/WoodbridgeMBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hisb/SuhWMLASMGBAS12, author = {Myung{-}kyung Suh and Jonathan Woodbridge and Tannaz Moin and Mars Lan and Nabil Alshurafa and Lauren Samy and Bobak Mortazavi and Hassan Ghasemzadeh and Alex A. T. Bui and Sheila Ahmadi and Majid Sarrafzadeh}, title = {Dynamic Task Optimization in Remote Diabetes Monitoring Systems}, booktitle = {2012 {IEEE} Second International Conference on Healthcare Informatics, Imaging and Systems Biology, {HISB} 2012, La Jolla, CA, USA, September 27-28, 2012}, pages = {3--11}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HISB.2012.10}, doi = {10.1109/HISB.2012.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hisb/SuhWMLASMGBAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hisb/WoodbridgeMSB12, author = {Jonathan Woodbridge and Bobak Mortazavi and Majid Sarrafzadeh and Alex A. T. Bui}, title = {Aggregated Indexing of Biomedical Time Series Data}, booktitle = {2012 {IEEE} Second International Conference on Healthcare Informatics, Imaging and Systems Biology, {HISB} 2012, La Jolla, CA, USA, September 27-28, 2012}, pages = {23--30}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HISB.2012.13}, doi = {10.1109/HISB.2012.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hisb/WoodbridgeMSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ZhangXSS12, author = {Mi Zhang and Wenyao Xu and Alexander A. Sawchuk and Majid Sarrafzadeh}, title = {Sparse representation for motion primitive-based human activity modeling and recognition using wearable sensors}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {1807--1810}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460503/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/ZhangXSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihi/LeeWNS12, author = {Sunghoon Ivan Lee and Jonathan Woodbridge and Ani Nahapetian and Majid Sarrafzadeh}, editor = {Gang Luo and Jiming Liu and Christopher C. Yang}, title = {{MARHS:} mobility assessment system with remote healthcare functionality for movement disorders}, booktitle = {{ACM} International Health Informatics Symposium, {IHI} '12, Miami, FL, USA, January 28-30, 2012}, pages = {333--342}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2110363.2110402}, doi = {10.1145/2110363.2110402}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ihi/LeeWNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GhasemzadehAS12, author = {Hassan Ghasemzadeh and Navid Amini and Majid Sarrafzadeh}, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {Energy-efficient signal processing in wearable embedded systems: an optimal feature selection approach}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, pages = {357--362}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2333660.2333739}, doi = {10.1145/2333660.2333739}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/GhasemzadehAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihealth/PournaghshbandSR12, author = {Vahab Pournaghshband and Majid Sarrafzadeh and Peter L. Reiher}, editor = {Balwant Godara and Konstantina S. Nikita}, title = {Securing Legacy Mobile Medical Devices}, booktitle = {Wireless Mobile Communication and Healthcare - Third International Conference, MobiHealth 2012, Paris, France, November 21-23, 2012, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {61}, pages = {163--172}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37893-5\_19}, doi = {10.1007/978-3-642-37893-5\_19}, timestamp = {Fri, 09 Apr 2021 18:53:59 +0200}, biburl = {https://dblp.org/rec/conf/mobihealth/PournaghshbandSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/XuHALHS12, author = {Wenyao Xu and Ming{-}Chun Huang and Navid Amini and Jason J. Liu and Lei He and Majid Sarrafzadeh}, editor = {Fillia Makedon}, title = {Smart insole: a wearable system for gait analysis}, booktitle = {The 5th International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} 2012, Heraklion, Crete, Greece, June 6-9, 2012}, pages = {18}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2413097.2413120}, doi = {10.1145/2413097.2413120}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/petra/XuHALHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/HuangXSLCS12, author = {Ming{-}Chun Huang and Wenyao Xu and Yi Su and Belinda Lange and Chien{-}Yen Chang and Majid Sarrafzadeh}, editor = {Fillia Makedon}, title = {SmartGlove for upper extremities rehabilitative gaming assessment}, booktitle = {The 5th International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} 2012, Heraklion, Crete, Greece, June 6-9, 2012}, pages = {20}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2413097.2413122}, doi = {10.1145/2413097.2413122}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/petra/HuangXSLCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/FraternaliRAGBS12, author = {Francesco Fraternali and Mahsan Rofouei and Nabil Alshurafa and Hassan Ghasemzadeh and Luca Benini and Majid Sarrafzadeh}, title = {Opportunistic hierarchical classification for power optimization in wearable movement monitoring systems}, booktitle = {7th {IEEE} International Symposium on Industrial Embedded Systems, {SIES} 2012, Karlsruhe, Germany, June 20-22, 2012}, pages = {102--111}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SIES.2012.6356575}, doi = {10.1109/SIES.2012.6356575}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sies/FraternaliRAGBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/LanSASGMS12, author = {Mars Lan and Lauren Samy and Nabil Alshurafa and Myung{-}kyung Suh and Hassan Ghasemzadeh and Aurelia Macabasco{-}O'Connell and Majid Sarrafzadeh}, editor = {William J. Kaiser and Robert McCray}, title = {{WANDA:} an end-to-end remote health monitoring and analytics system for heart failure patients}, booktitle = {Wireless Health 2012, {WH} '12, La Jolla, CA, USA, October 22 - 25, 2012}, pages = {9:1--9:8}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2448096.2448105}, doi = {10.1145/2448096.2448105}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wh/LanSASGMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/MaparLMBSK12, author = {Bijan Mapar and Yeung Lam and Alireza Mehrnia and Barbara Bates{-}Jensen and Majid Sarrafzadeh and William J. Kaiser}, editor = {William J. Kaiser and Robert McCray}, title = {Wearable sensor for continuously vigilant spatial and depth-resolved perfusion imaging}, booktitle = {Wireless Health 2012, {WH} '12, La Jolla, CA, USA, October 22 - 25, 2012}, pages = {15:1--15:2}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2448096.2448111}, doi = {10.1145/2448096.2448111}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/MaparLMBSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/SuhCWTKNES11, author = {Myung{-}kyung Suh and Chien{-}An Chen and Jonathan Woodbridge and Michael Kai Tu and Jung{-}In Kim and Ani Nahapetian and Lorraine S. Evangelista and Majid Sarrafzadeh}, title = {A Remote Patient Monitoring System for Congestive Heart Failure}, journal = {J. Medical Syst.}, volume = {35}, number = {5}, pages = {1165--1179}, year = {2011}, url = {https://doi.org/10.1007/s10916-011-9733-y}, doi = {10.1007/S10916-011-9733-Y}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/SuhCWTKNES11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/AminiSVX11, author = {Navid Amini and Majid Sarrafzadeh and Alireza Vahdatpour and Wenyao Xu}, title = {Accelerometer-based on-body sensor localization for health and medical monitoring applications}, journal = {Pervasive Mob. Comput.}, volume = {7}, number = {6}, pages = {746--760}, year = {2011}, url = {https://doi.org/10.1016/j.pmcj.2011.09.002}, doi = {10.1016/J.PMCJ.2011.09.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/AminiSVX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/XuWHLGHS11, author = {Wenyao Xu and Jia Wang and Yu Hu and Ju{-}Yueh Lee and Fang Gong and Lei He and Majid Sarrafzadeh}, title = {In-Place {FPGA} Retiming for Mitigation of Variational Single-Event Transient Faults}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {6}, pages = {1372--1381}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2094370}, doi = {10.1109/TCSI.2010.2094370}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/XuWHLGHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MasseyMSTSSP11, author = {Tammara Massey and Gustavo Marfia and Adam Stoelting and Riccardo Tomasi and Maurizio A. Spirito and Majid Sarrafzadeh and Giovanni Pau}, title = {Leveraging Social System Networks in Ubiquitous High-Data-Rate Health Systems}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {15}, number = {3}, pages = {491--498}, year = {2011}, url = {https://doi.org/10.1109/TITB.2010.2087414}, doi = {10.1109/TITB.2010.2087414}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/MasseyMSTSSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/AminiVDNS11, author = {Navid Amini and Alireza Vahdatpour and Foad Dabiri and Hyduke Noshadi and Majid Sarrafzadeh}, title = {Joint consideration of energy-efficiency and coverage-preservation in microsensor networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {11}, number = {6}, pages = {707--722}, year = {2011}, url = {https://doi.org/10.1002/wcm.852}, doi = {10.1002/WCM.852}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/AminiVDNS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/MortazaviHWYS11, author = {Bobak Mortazavi and Hagop Hagopian and Jonathan Woodbridge and Behrooz Yadegar and Majid Sarrafzadeh}, editor = {Pedro Vieira and Ana L. N. Fred and Joaquim Filipe and Hugo Gamboa}, title = {A Wireless Body-wearable Sensor System for Designing Physically Interactive Video Games}, booktitle = {{BIODEVICES} 2011 - Proceedings of the International Conference on Biomedical Electronics and Devices, Rome, Italy, 26-29 January, 2011}, pages = {62--69}, publisher = {SciTePress}, year = {2011}, timestamp = {Tue, 20 Jun 2017 17:23:29 +0200}, biburl = {https://dblp.org/rec/conf/biostec/MortazaviHWYS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/XuLHAS11, author = {Wenyao Xu and Zhinan Li and Ming{-}Chun Huang and Navid Amini and Majid Sarrafzadeh}, title = {eCushion: An eTextile Device for Sitting Posture Monitoring}, booktitle = {International Conference on Body Sensor Networks, {BSN} 2011, Dallas, Texas, USA, 23-25 May, 2011}, pages = {194--199}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/BSN.2011.24}, doi = {10.1109/BSN.2011.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/XuLHAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SuhWLBES11, author = {Myung{-}kyung Suh and Jonathan Woodbridge and Mars Lan and Alex A. T. Bui and Lorraine S. Evangelista and Majid Sarrafzadeh}, title = {Missing data imputation for remote {CHF} patient monitoring systems}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {3184--3187}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6090867}, doi = {10.1109/IEMBS.2011.6090867}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SuhWLBES11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hisb/WoodbridgeLSB11, author = {Jonathan Woodbridge and Mars Lan and Majid Sarrafzadeh and Alex A. T. Bui}, title = {Salient Segmentation of Medical Time Series Signals}, booktitle = {2011 {IEEE} International Conference on Healthcare Informatics, Imaging and Systems Biology, {HISB} 2011, San Jose, CA, USA, July 26-29, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HISB.2011.41}, doi = {10.1109/HISB.2011.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hisb/WoodbridgeLSB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RofoueiPS11, author = {Mahsan Rofouei and Miodrag Potkonjak and Majid Sarrafzadeh}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {Energy efficient E-textile based portable keyboard}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {339--344}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016878\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/RofoueiPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/VahdatpourAS11, author = {Alireza Vahdatpour and Navid Amini and Majid Sarrafzadeh}, title = {On-body device localization for health and medical monitoring applications}, booktitle = {Ninth Annual {IEEE} International Conference on Pervasive Computing and Communications, PerCom 2011, 21-25 March 2011, Seattle, WA, USA, Proceedings}, pages = {37--44}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/PERCOM.2011.5767593}, doi = {10.1109/PERCOM.2011.5767593}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/VahdatpourAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/AminiXLHS11, author = {Navid Amini and Wenyao Xu and Zhinan Li and Ming{-}Chun Huang and Majid Sarrafzadeh}, editor = {Kaveh Pahlavan and Shahrokh Valaee and Elvino Silveira Sousa}, title = {Experimental analysis of {IEEE} 802.15.4 for on/off body communications}, booktitle = {{IEEE} 22nd International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2011, Toronto, ON, Canada, September 11-14, 2011}, pages = {2138--2142}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/PIMRC.2011.6139893}, doi = {10.1109/PIMRC.2011.6139893}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/AminiXLHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/HuangCXS11, author = {Ming{-}Chun Huang and Ethan Chen and Wenyao Xu and Majid Sarrafzadeh}, editor = {Irwin Mark Jacobs and Patrick Soon{-}Shiong and Eric J. Topol and Christofer Toumazou}, title = {Gaming for upper extremities rehabilitation}, booktitle = {Proceedings of Wireless Health 2011, {WH} 2011, San Diego/La Jolla, CA, USA, October 10-13, 2011}, pages = {27}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2077546.2077576}, doi = {10.1145/2077546.2077576}, timestamp = {Fri, 14 Apr 2023 16:05:37 +0200}, biburl = {https://dblp.org/rec/conf/wh/HuangCXS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/WangLMBSK11, author = {Frank Wang and Yeung Lam and Alireza Mehrnia and Barbara Bates{-}Jensen and Majid Sarrafzadeh and William J. Kaiser}, editor = {Irwin Mark Jacobs and Patrick Soon{-}Shiong and Eric J. Topol and Christofer Toumazou}, title = {A wireless biomedical handheld instrument for evidence-based detection of pressure ulcers}, booktitle = {Proceedings of Wireless Health 2011, {WH} 2011, San Diego/La Jolla, CA, USA, October 10-13, 2011}, pages = {33}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2077546.2077582}, doi = {10.1145/2077546.2077582}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/WangLMBSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/SchaferS10, author = {Benjamin Carri{\'{o}}n Sch{\"{a}}fer and Majid Sarrafzadeh}, title = {Semi-Automatic Control Unit Generation for Complex {VLSI} Designs}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {3}, pages = {234--243}, year = {2010}, url = {https://doi.org/10.2197/ipsjtsldm.3.234}, doi = {10.2197/IPSJTSLDM.3.234}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipsj/SchaferS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KaiserS10, author = {William J. Kaiser and Majid Sarrafzadeh}, title = {Introduction to special issue on wireless health}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {10}, number = {1}, pages = {10:1}, year = {2010}, url = {https://doi.org/10.1145/1814539.1814549}, doi = {10.1145/1814539.1814549}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/KaiserS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MasseyMPS10, author = {Tammara Massey and Gustavo Marfia and Miodrag Potkonjak and Majid Sarrafzadeh}, title = {Experimental analysis of a mobile health system for mood disorders}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {14}, number = {2}, pages = {241--247}, year = {2010}, url = {https://doi.org/10.1109/TITB.2009.2034738}, doi = {10.1109/TITB.2009.2034738}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/MasseyMPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/HsiaoAS10, author = {Min{-}Chieh Hsiao and Navid Amini and Majid Sarrafzadeh}, editor = {Ana L. N. Fred and Joaquim Filipe and Hugo Gamboa}, title = {A Wireless Home Automation System for Childhood Obesity Prevention}, booktitle = {{BIODEVICES} 2010 - Proceedings of the Third International Conference on Biomedical Electronics and Devices, Valencia, Spain, January 20-23, 2010}, pages = {75--81}, publisher = {{INSTICC} Press}, year = {2010}, timestamp = {Thu, 28 Apr 2011 15:31:14 +0200}, biburl = {https://dblp.org/rec/conf/biostec/HsiaoAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/NoshadiAHWDAST10, author = {Hyduke Noshadi and Shaun Ahmadian and Hagop Hagopian and Jonathan Woodbridge and Foad Dabiri and Navid Amini and Majid Sarrafzadeh and Nick Terrafranca}, editor = {Ana L. N. Fred and Joaquim Filipe and Hugo Gamboa}, title = {Hermes - Mobile Balance and Instability Assessment System}, booktitle = {{BIOSIGNALS} 2010 - Proceedings of the Third International Conference on Bio-inspired Systems and Signal Processing, Valencia, Spain, January 20-23, 2010}, pages = {264--270}, publisher = {{INSTICC} Press}, year = {2010}, timestamp = {Fri, 29 Apr 2011 08:18:44 +0200}, biburl = {https://dblp.org/rec/conf/biostec/NoshadiAHWDAST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/DabiriNS10, author = {Foad Dabiri and Hyduke Noshadi and Majid Sarrafzadeh}, editor = {Victor C. M. Leung and Athanasios V. Vasilakos and Thomas Falck and Karim Qayumi and Xinbing Wang}, title = {Behavioral reconfigurable compression in body sensor networks}, booktitle = {5th International {ICST} Conference on Body Area Networks, {BODYNETS} 2010, Corfu Island, Greece, September 10-12, 2010}, pages = {150--156}, publisher = {{ACM} / {ICST}}, year = {2010}, url = {https://doi.org/10.1145/2221924.2221953}, doi = {10.1145/2221924.2221953}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/DabiriNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/MacbethS10, author = {Jamie Macbeth and Majid Sarrafzadeh}, editor = {Victor C. M. Leung and Athanasios V. Vasilakos and Thomas Falck and Karim Qayumi and Xinbing Wang}, title = {Grouped variable model selection for heterogeneous medical signals}, booktitle = {5th International {ICST} Conference on Body Area Networks, {BODYNETS} 2010, Corfu Island, Greece, September 10-12, 2010}, pages = {201--207}, publisher = {{ACM} / {ICST}}, year = {2010}, url = {https://doi.org/10.1145/2221924.2221962}, doi = {10.1145/2221924.2221962}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/MacbethS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/RofoueiFBSB10, author = {Mahsan Rofouei and Elisabetta Farella and Davide Brunelli and Majid Sarrafzadeh and Luca Benini}, editor = {Victor C. M. Leung and Athanasios V. Vasilakos and Thomas Falck and Karim Qayumi and Xinbing Wang}, title = {Battery-aware power management techniques for wearable haptic nodes}, booktitle = {5th International {ICST} Conference on Body Area Networks, {BODYNETS} 2010, Corfu Island, Greece, September 10-12, 2010}, pages = {227--232}, publisher = {{ACM} / {ICST}}, year = {2010}, url = {https://doi.org/10.1145/2221924.2221967}, doi = {10.1145/2221924.2221967}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/RofoueiFBSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihi/SuhECHKTCNS10, author = {Myung{-}kyung Suh and Lorraine S. Evangelista and Chien{-}An Chen and Kyungsik Han and Jinha Kang and Michael Kai Tu and Victor Chen and Ani Nahapetian and Majid Sarrafzadeh}, editor = {Tiffany C. Veinot and {\"{U}}mit V. {\c{C}}ataly{\"{u}}rek and Gang Luo and Henrique Andrade and Neil R. Smalheiser}, title = {An automated vital sign monitoring system for congestive heart failure patients}, booktitle = {{ACM} International Health Informatics Symposium, {IHI} 2010, Arlington, VA, USA, November 11 - 12, 2010, Proceedings}, pages = {108--117}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1882992.1883010}, doi = {10.1145/1882992.1883010}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ihi/SuhECHKTCNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/RofoueiXS10, author = {Mahsan Rofouei and Wenyao Xu and Majid Sarrafzadeh}, title = {Computing with uncertainty in a smart textile surface for object recognition}, booktitle = {2010 {IEEE} Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2010, Salt Lake City, UT, USA, September 5-7, 2010}, pages = {174--179}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/MFI.2010.5604473}, doi = {10.1109/MFI.2010.5604473}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/RofoueiXS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/WoodbridgeNNS10, author = {Jonathan Woodbridge and Hyduke Noshadi and Ani Nahapetian and Majid Sarrafzadeh}, title = {{HIP:} Health integration platform}, booktitle = {Eigth Annual {IEEE} International Conference on Pervasive Computing and Communications, PerCom 2010, March 29 - April 2, 2010, Mannheim, Germany, Workshop Proceedings}, pages = {340--345}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PERCOMW.2010.5470644}, doi = {10.1109/PERCOMW.2010.5470644}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/WoodbridgeNNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/WoodbridgeLPGS10, author = {Jonathan Woodbridge and Mars Lan and Giovanni Pau and Mario Gerla and Majid Sarrafzadeh}, title = {{HERO:} Hybrid Emergency Route-Opening Protocol}, booktitle = {Eigth Annual {IEEE} International Conference on Pervasive Computing and Communications, PerCom 2010, March 29 - April 2, 2010, Mannheim, Germany, Workshop Proceedings}, pages = {364--369}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PERCOMW.2010.5470640}, doi = {10.1109/PERCOMW.2010.5470640}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/WoodbridgeLPGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/WoodbridgeBS10, author = {Jonathan Woodbridge and Alex A. T. Bui and Majid Sarrafzadeh}, editor = {Fillia Makedon}, title = {Linear frequency estimation technique for reducing frequency based signals}, booktitle = {Proceedings of the 3rd International Conference on Pervasive Technologies Related to Assistive Environments, {PETRA} 2010, Samos, Greece, June 23-25, 2010}, series = {{ACM} International Conference Proceeding Series}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1839294.1839359}, doi = {10.1145/1839294.1839359}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/petra/WoodbridgeBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/VahdatpourS10, author = {Alireza Vahdatpour and Majid Sarrafzadeh}, title = {Unsupervised Discovery of Abnormal Activity Occurrences in Multi-dimensional Time Series, with Applications in Wearable Systems}, booktitle = {Proceedings of the {SIAM} International Conference on Data Mining, {SDM} 2010, April 29 - May 1, 2010, Columbus, Ohio, {USA}}, pages = {641--652}, publisher = {{SIAM}}, year = {2010}, url = {https://doi.org/10.1137/1.9781611972801.56}, doi = {10.1137/1.9781611972801.56}, timestamp = {Wed, 17 May 2017 14:24:53 +0200}, biburl = {https://dblp.org/rec/conf/sdm/VahdatpourS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/KarimiS10, author = {Zohreh Karimi and Majid Sarrafzadeh}, title = {Fine-grained post placement voltage assignment considering level shifter overhead}, booktitle = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Madrid, Spain, 27-29 September 2010}, pages = {73--78}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VLSISOC.2010.5642618}, doi = {10.1109/VLSISOC.2010.5642618}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/KarimiS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/NoshadiDMPS10, author = {Hyduke Noshadi and Foad Dabiri and Saro Meguerdichian and Miodrag Potkonjak and Majid Sarrafzadeh}, editor = {Irwin Mark Jacobs and Patrick Soon{-}Shiong and Eric J. Topol and Christofer Toumazou}, title = {Energy optimization in wireless medical systems using physiological behavior}, booktitle = {Proceedings of Wireless Health 2010, {WH} 2010, San Diego, CA, USA, October 5-7, 2010}, pages = {128--136}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1921081.1921097}, doi = {10.1145/1921081.1921097}, timestamp = {Fri, 14 Apr 2023 16:05:37 +0200}, biburl = {https://dblp.org/rec/conf/wh/NoshadiDMPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/WangLMBSK10, author = {Frank Wang and Yeung Lam and Alireza Mehrnia and Barbara Bates{-}Jensen and Majid Sarrafzadeh and William J. Kaiser}, editor = {Irwin Mark Jacobs and Patrick Soon{-}Shiong and Eric J. Topol and Christofer Toumazou}, title = {A wireless biomedical instrument for evidence-based tissue wound characterization}, booktitle = {Proceedings of Wireless Health 2010, {WH} 2010, San Diego, CA, USA, October 5-7, 2010}, pages = {222--223}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1921081.1921122}, doi = {10.1145/1921081.1921122}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/WangLMBSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/SuhECHMNFS10, author = {Myung{-}kyung Suh and Lorraine S. Evangelista and Victor Chen and Wen{-}Sao Hong and Jamie Macbeth and Ani Nahapetian and Florence{-}Joy Figueras and Majid Sarrafzadeh}, title = {{WANDA} {B.:} Weight and activity with blood pressure monitoring system for heart failure patients}, booktitle = {11th {IEEE} International Symposium on a World of Wireless, Mobile and Multimedia Networks, {WOWMOM} 2010, Montreal, QC, Canada, 14-17 June, 2010}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/WOWMOM.2010.5534983}, doi = {10.1109/WOWMOM.2010.5534983}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wowmom/SuhECHMNFS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigbed/WoodbridgeNNSK09, author = {Jonathan Woodbridge and Ani Nahapetian and Hyduke Noshadi and Majid Sarrafzadeh and William J. Kaiser}, title = {Wireless health and the smart phone conundrum}, journal = {{SIGBED} Rev.}, volume = {6}, number = {2}, pages = {11}, year = {2009}, url = {https://doi.org/10.1145/1859823.1859834}, doi = {10.1145/1859823.1859834}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigbed/WoodbridgeNNSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/JafariGDNS09, author = {Roozbeh Jafari and Hassan Ghasemzadeh and Foad Dabiri and Ani Nahapetian and Majid Sarrafzadeh}, title = {An efficient placement and routing technique for fault-tolerant distributed embedded computing}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {8}, number = {4}, pages = {28:1--28:26}, year = {2009}, url = {https://doi.org/10.1145/1550987.1550991}, doi = {10.1145/1550987.1550991}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/JafariGDNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/NahapetianBGS09, author = {Ani Nahapetian and Philip Brisk and Soheil Ghiasi and Majid Sarrafzadeh}, title = {An approximation algorithm for scheduling on heterogeneous reconfigurable resources}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {9}, number = {1}, pages = {5:1--5:20}, year = {2009}, url = {https://doi.org/10.1145/1596532.1596537}, doi = {10.1145/1596532.1596537}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/NahapetianBGS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/DabiriMNHLTSS09, author = {Foad Dabiri and Tammara Massey and Hyduke Noshadi and Hagop Hagopian and C. K. Lin and Robert Tan and Jacob Schmidt and Majid Sarrafzadeh}, title = {A Telehealth Architecture for Networked Embedded Systems: {A} Case Study in In Vivo Health Monitoring}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {13}, number = {3}, pages = {351--359}, year = {2009}, url = {https://doi.org/10.1109/TITB.2009.2013248}, doi = {10.1109/TITB.2009.2013248}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/DabiriMNHLTSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/AminiMDVNS09, author = {Navid Amini and Jerrid E. Matthews and Foad Dabiri and Alireza Vahdatpour and Hyduke Noshadi and Majid Sarrafzadeh}, editor = {Teodiano Freire Bastos Filho and Hugo Gamboa}, title = {A Wireless Embedded Device for Personalized Ultraviolet Monitoring}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {220--225}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Thu, 21 May 2009 18:31:39 +0200}, biburl = {https://dblp.org/rec/conf/biostec/AminiMDVNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/LanNVAKS09, author = {Mars Lan and Ani Nahapetian and Alireza Vahdatpour and Lawrence K. Au and William J. Kaiser and Majid Sarrafzadeh}, editor = {William J. Kaiser and Chenyang Lu}, title = {SmartFall: an automatic fall detection system based on subsequence matching for the SmartCane}, booktitle = {4th International {ICST} Conference on Body Area Networks, {BODYNETS} 2009, Los Angeles, CA, USA, April 1-3, 2009}, pages = {8}, publisher = {{ICST}}, year = {2009}, url = {https://doi.org/10.4108/ICST.BODYNETS2009.5873}, doi = {10.4108/ICST.BODYNETS2009.5873}, timestamp = {Tue, 05 Nov 2019 12:22:57 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/LanNVAKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/SuhRNKS09, author = {Myung{-}kyung Suh and Mahsan Rofouei and Ani Nahapetian and William J. Kaiser and Majid Sarrafzadeh}, editor = {Benny P. L. Lo and Paul D. Mitcheson}, title = {Optimizing Interval Training Protocols Using Data Mining Decision Trees}, booktitle = {Sixth International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2009, Berkeley, CA, USA, 3-5 June 2009}, pages = {318--323}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BSN.2009.17}, doi = {10.1109/BSN.2009.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/SuhRNKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/MoazeniBS09, author = {Maryam Moazeni and Alex A. T. Bui and Majid Sarrafzadeh}, editor = {Gearold Johnson and Carsten Trinitis and Georgi Gaydadjiev and Alexander V. Veidenbaum}, title = {Accelerating total variation regularization for matrix-valued images on GPUs}, booktitle = {Proceedings of the 6th Conference on Computing Frontiers, 2009, Ischia, Italy, May 18-20, 2009}, pages = {137--146}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531743.1531765}, doi = {10.1145/1531743.1531765}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/MoazeniBS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DabiriVPS09, author = {Foad Dabiri and Alireza Vahdatpour and Miodrag Potkonjak and Majid Sarrafzadeh}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Energy minimization for real-time systems with non-convex and discrete operation modes}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1416--1421}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090886}, doi = {10.1109/DATE.2009.5090886}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/DabiriVPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/MacbethS09, author = {Jamie Macbeth and Majid Sarrafzadeh}, title = {Link Scheduling for Scalable Data Aggregation}, booktitle = {Proceedings of the 18th International Conference on Computer Communications and Networks, {IEEE} {ICCCN} 2009, San Francisco, California, USA, August 3-6, 2009}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCCN.2009.5235348}, doi = {10.1109/ICCCN.2009.5235348}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccn/MacbethS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/VahdatpourAS09, author = {Alireza Vahdatpour and Navid Amini and Majid Sarrafzadeh}, editor = {Craig Boutilier}, title = {Toward Unsupervised Activity Discovery Using Multi-Dimensional Motif Detection in Time Series}, booktitle = {{IJCAI} 2009, Proceedings of the 21st International Joint Conference on Artificial Intelligence, Pasadena, California, USA, July 11-17, 2009}, pages = {1261--1266}, year = {2009}, url = {http://ijcai.org/Proceedings/09/Papers/212.pdf}, timestamp = {Tue, 20 Aug 2019 16:16:40 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/VahdatpourAS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KarimiS09, author = {Zohreh Karimi and Majid Sarrafzadeh}, title = {Power aware placement for FPGAs with dual supply voltages}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {522--526}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810349}, doi = {10.1109/ISQED.2009.4810349}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KarimiS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/LanRSS09, author = {Mars Lan and Mahsan Rofouei and Stefano Soatto and Majid Sarrafzadeh}, title = {SmartLDWS: {A} robust and scalable lane departure warning system for the smartphones}, booktitle = {12th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2009, St. Louis, MO, USA, October 5-7, 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ITSC.2009.5309685}, doi = {10.1109/ITSC.2009.5309685}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/LanRSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicase/DormanYNSSMK09, author = {Kyle Dorman and Marjan Yahyanejad and Ani Nahapetian and Myung{-}kyung Suh and Majid Sarrafzadeh and William McCarthy and William J. Kaiser}, editor = {Thomas Phan and Rebecca Montanari and Petros Zerfos}, title = {Nutrition Monitor: {A} Food Purchase and Consumption Monitoring Mobile System}, booktitle = {Mobile Computing, Applications, and Services - First International {ICST} Conference, MobiCASE 2009, San Diego, CA, USA, October 26-29, 2009, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {35}, pages = {1--11}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-12607-9\_1}, doi = {10.1007/978-3-642-12607-9\_1}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicase/DormanYNSSMK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicase/SuhLHNS09, author = {Myung{-}kyung Suh and Kyujoong Lee and Alfred Heu and Ani Nahapetian and Majid Sarrafzadeh}, editor = {Thomas Phan and Rebecca Montanari and Petros Zerfos}, title = {Bayesian Networks-Based Interval Training Guidance System for Cancer Rehabilitation}, booktitle = {Mobile Computing, Applications, and Services - First International {ICST} Conference, MobiCASE 2009, San Diego, CA, USA, October 26-29, 2009, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {35}, pages = {236--253}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-12607-9\_16}, doi = {10.1007/978-3-642-12607-9\_16}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicase/SuhLHNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sasp/MoazeniBS09, author = {Maryam Moazeni and Alex A. T. Bui and Majid Sarrafzadeh}, title = {A memory optimization technique for software-managed scratchpad memory in GPUs}, booktitle = {Proceedings of the {IEEE} 7th Symposium on Application Specific Processors, {SASP} 2009, San Francisco, CA, {USA} , July 27-28, 2009}, pages = {43--49}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SASP.2009.5226334}, doi = {10.1109/SASP.2009.5226334}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sasp/MoazeniBS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/SuhLNS09, author = {Myung{-}kyung Suh and Kyujoong Lee and Ani Nahapetian and Majid Sarrafzadeh}, title = {Interval training guidance system with music and wireless group exercise motivations}, booktitle = {{IEEE} Fourth International Symposium on Industrial Embedded Systems, {SIES} 2009, Ecole Polytechnique Federale de Lausanne, Switzerland, July 8-10, 2009}, pages = {110--119}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SIES.2009.5196202}, doi = {10.1109/SIES.2009.5196202}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/SuhLNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DabiriNMPS08, author = {Foad Dabiri and Ani Nahapetian and Tammara Massey and Miodrag Potkonjak and Majid Sarrafzadeh}, title = {General Methodology for Soft-Error-Aware Power Optimization Using Gate Sizing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {10}, pages = {1788--1797}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.2003268}, doi = {10.1109/TCAD.2008.2003268}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DabiriNMPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/WuAJSBKVSFC08, author = {Winston H. Wu and Lawrence K. Au and Brett L. Jordan and Thanos Stathopoulos and Maxim A. Batalin and William J. Kaiser and Alireza Vahdatpour and Majid Sarrafzadeh and Meika Fang and Joshua Chodosh}, editor = {Sethuraman Panchanathan and Sandeep Gupta}, title = {The SmartCane system: an assistive device for geriatrics}, booktitle = {3rd International {ICST} Conference on Body Area Networks, {BODYNETS} 2008, Tempe, Arizona, USA, March 13-15, 2008}, pages = {2}, publisher = {{ICST}}, year = {2008}, url = {https://doi.org/10.4108/ICST.BODYNETS2008.2944}, doi = {10.4108/ICST.BODYNETS2008.2944}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/WuAJSBKVSFC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dialm/MacbethS08, author = {Jamie Macbeth and Majid Sarrafzadeh}, editor = {Michael Segal and Alexander Kesselman}, title = {Scalable medium access control for in-network data aggregation}, booktitle = {Proceedings of the {DIALM-POMC} Joint Workshop on Foundations of Mobile Computing, Toronto, Canada, August 18-21, 2008}, pages = {13--22}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1400863.1400867}, doi = {10.1145/1400863.1400867}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dialm/MacbethS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/RofoueiMS08, author = {Mahsan Rofouei and Maryam Moazeni and Majid Sarrafzadeh}, editor = {Petru Eles and Andy D. Pimentel}, title = {Fast GPU-based space-time correlation for activity recognition in video sequences}, booktitle = {Proceedings of the 6th {IEEE/ACM/IFIP} Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2008, Atlanta, Georgia, USA, 23-24 October 2008}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ESTMED.2008.4696991}, doi = {10.1109/ESTMED.2008.4696991}, timestamp = {Thu, 17 Feb 2022 09:36:04 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/RofoueiMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/MoazeniVGS08, author = {Maryam Moazeni and Alireza Vahdatpour and Karthik Gururaj and Majid Sarrafzadeh}, editor = {Mike Hutton and Paul Chow}, title = {Communication bottleneck in hardware-software partitioning}, booktitle = {Proceedings of the {ACM/SIGDA} 16th International Symposium on Field Programmable Gate Arrays, {FPGA} 2008, Monterey, California, USA, February 24-26, 2008}, pages = {262}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1344671.1344722}, doi = {10.1145/1344671.1344722}, timestamp = {Tue, 06 Nov 2018 16:58:23 +0100}, biburl = {https://dblp.org/rec/conf/fpga/MoazeniVGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DabiriARS08, author = {Foad Dabiri and Navid Amini and Mahsan Rofouei and Majid Sarrafzadeh}, title = {Reliability-Aware Optimization for DVS-Enabled Real-Time Embedded Systems}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {780--783}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479837}, doi = {10.1109/ISQED.2008.4479837}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/DabiriARS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/NoshadiGHPGS08, author = {Hyduke Noshadi and Eugenio Giordano and Hagop Hagopian and Giovanni Pau and Mario Gerla and Majid Sarrafzadeh}, title = {Remote Medical Monitoring Through Vehicular Ad Hoc Network}, booktitle = {Proceedings of the 68th {IEEE} Vehicular Technology Conference, {VTC} Fall 2008, 21-24 September 2008, Calgary, Alberta, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECF.2008.456}, doi = {10.1109/VETECF.2008.456}, timestamp = {Thu, 22 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/NoshadiGHPGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wdag/VahdatpourDMS08, author = {Alireza Vahdatpour and Foad Dabiri and Maryam Moazeni and Majid Sarrafzadeh}, editor = {Gadi Taubenfeld}, title = {Theoretical Bound and Practical Analysis of Connected Dominating Set in Ad Hoc and Sensor Networks}, booktitle = {Distributed Computing, 22nd International Symposium, {DISC} 2008, Arcachon, France, September 22-24, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5218}, pages = {481--495}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87779-0\_33}, doi = {10.1007/978-3-540-87779-0\_33}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/wdag/VahdatpourDMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/ChoLCMCTSG08, author = {Dae{-}Ki Cho and Seung{-}Hoon Lee and Alexander Chang and Tammara Massey and Chia{-}Wei Chang and Min{-}Hsieh Tsai and Majid Sarrafzadeh and Mario Gerla}, title = {Opportunistic medical monitoring using bluetooth {P2P} networks}, booktitle = {9th {IEEE} International Symposium on a World of Wireless, Mobile and Multimedia Networks, {WOWMOM} 2008, Newport Beach, CA, USA, 23-26 June, 2008}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WOWMOM.2008.4594895}, doi = {10.1109/WOWMOM.2008.4594895}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wowmom/ChoLCMCTSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/GaoMSCCLSHDJCWSW07, author = {Tia Gao and Tammara Massey and Leo Selavo and David Crawford and Bor{-}rong Chen and Konrad Lorincz and Victor Shnayder and Logan Hauenstein and Foad Dabiri and James Jeng and Arjun Chanmugam and David White and Majid Sarrafzadeh and Matt Welsh}, title = {The Advanced Health and Disaster Aid Network: {A} Light-Weight Wireless Medical System for Triage}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {1}, number = {3}, pages = {203--216}, year = {2007}, url = {https://doi.org/10.1109/TBCAS.2007.910901}, doi = {10.1109/TBCAS.2007.910901}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/GaoMSCCLSHDJCWSW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/MasseyBDS07, author = {Tammara Massey and Philip Brisk and Foad Dabiri and Majid Sarrafzadeh}, editor = {Romano Fantacci}, title = {Delay aware, reconfigurable security for embedded systems}, booktitle = {2nd International {ICST} Conference on Body Area Networks, {BODYNETS} 2007, Florence, Italy, June 11-13, 2007}, pages = {12}, publisher = {{ICST}}, year = {2007}, url = {https://doi.org/10.4108/bodynets.2007.172}, doi = {10.4108/BODYNETS.2007.172}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/MasseyBDS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/DabiriNHMS07, author = {Foad Dabiri and Hyduke Noshadi and Hagop Hagopian and Tammara Massey and Majid Sarrafzadeh}, editor = {Romano Fantacci}, title = {Lightweight medical BodyNets}, booktitle = {2nd International {ICST} Conference on Body Area Networks, {BODYNETS} 2007, Florence, Italy, June 11-13, 2007}, pages = {20}, publisher = {{ICST}}, year = {2007}, url = {https://doi.org/10.4108/bodynets.2007.167}, doi = {10.4108/BODYNETS.2007.167}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/DabiriNHMS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/LinJDMTSSSSM07, author = {Chihkang Lin and David Jea and Foad Dabiri and Tammara Massey and Robert Tan and Majid Sarrafzadeh and Mani B. Srivastava and Peter G. Schulam and Jacob Schmidt and Carlos Montemagno}, editor = {Steffen Leonhardt and Thomas Falck and Petri M{\"{a}}h{\"{o}}nen}, title = {The Development of an In-Vivo Active Pressure Monitoring System}, booktitle = {4th International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2007, March 26-28, 2007, {RWTH} Aachen University, Germany}, pages = {105--110}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-70994-7\_18}, doi = {10.1007/978-3-540-70994-7\_18}, timestamp = {Tue, 21 Mar 2023 20:57:30 +0100}, biburl = {https://dblp.org/rec/conf/bsn/LinJDMTSSSSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NahapetianLABS07, author = {Ani Nahapetian and Paolo Lombardo and Andrea Acquaviva and Luca Benini and Majid Sarrafzadeh}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Dynamic reconfiguration in sensor networks with regenerative energy sources}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1054--1059}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364433}, doi = {10.1109/DATE.2007.364433}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NahapetianLABS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/NakatakeKTS07, author = {Shigetoshi Nakatake and Zohreh Karimi and Taraneh Taghavi and Majid Sarrafzadeh}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {Block placement to ensure channel routability}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {465--468}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228894}, doi = {10.1145/1228784.1228894}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/NakatakeKTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iess/JafariGS07, author = {Roozbeh Jafari and Soheil Ghiasi and Majid Sarrafzadeh}, editor = {Achim Rettberg and Mauro Cesar Zanella and Rainer D{\"{o}}mer and Andreas Gerstlauer and Franz{-}Josef Rammig}, title = {Medical Embedded Systems}, booktitle = {Embedded System Design: Topics, Techniques and Trends, {IFIP} {TC10} Working Conference: International Embedded Systems Symposium (IESS), May 30 - June 1, 2007, Irvine, CA, {USA}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {231}, pages = {441--444}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-72258-0\_42}, doi = {10.1007/978-0-387-72258-0\_42}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iess/JafariGS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DabiriJNS07, author = {Foad Dabiri and Roozbeh Jafari and Ani Nahapetian and Majid Sarrafzadeh}, title = {A Unified Optimal Voltage Selection Methodology for Low-Power Systems}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {210--218}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.27}, doi = {10.1109/ISQED.2007.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/DabiriJNS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/TaghaviNS07, author = {Taraneh Taghavi and Ani Nahapetian and Majid Sarrafzadeh}, title = {System Level Estimation of Interconnect Length in the Presence of {IP} Blocks}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {438--443}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.154}, doi = {10.1109/ISQED.2007.154}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/TaghaviNS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/TaghaviS07, author = {Taraneh Taghavi and Majid Sarrafzadeh}, title = {Hierarchical Concurrent Congestion and Wirelength Estimation in the Presence of {IP} Blocks}, booktitle = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2007), May 9-11, 2007, Porto Alegre, Brazil}, pages = {213--218}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISVLSI.2007.48}, doi = {10.1109/ISVLSI.2007.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/TaghaviS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/MasseyKDVS07, author = {Tammara Massey and Rahul Kapur and Foad Dabiri and Linh Nam Vu and Majid Sarrafzadeh}, title = {Localization Using Low-Resolution Optical Sensors}, booktitle = {{IEEE} 4th International Conference on Mobile Adhoc and Sensor Systems, {MASS} 2007, 8-11 October 2007, Pisa, Italy}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MOBHOC.2007.4428621}, doi = {10.1109/MOBHOC.2007.4428621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/MasseyKDVS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/GaoMSSW07, author = {Tia Gao and Tammara Massey and Majid Sarrafzadeh and Leo Selavo and Matt Welsh}, editor = {Robin Kravets and Chiara Petrioli}, title = {Participatory user centered design techniques for a large scale ad-hoc health information system}, booktitle = {Proceedings of the 1st {ACM} {SIGMOBILE} International Workshop on Systems and Networking Support for Healthcare and Assisted Living Environments, HealthNet 2007, San Juan, Puerto Rico, June 11, 2007}, pages = {43--48}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1248054.1248067}, doi = {10.1145/1248054.1248067}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/GaoMSSW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/DabiriNPS07, author = {Foad Dabiri and Ani Nahapetian and Miodrag Potkonjak and Majid Sarrafzadeh}, editor = {Nadine Az{\'{e}}mard and Lars J. Svensson}, title = {Soft Error-Aware Power Optimization Using Gate Sizing}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, {PATMOS} 2007, Gothenburg, Sweden, September 3-5, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4644}, pages = {255--267}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74442-9\_25}, doi = {10.1007/978-3-540-74442-9\_25}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/DabiriNPS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/NahapetianDPS07, author = {Ani Nahapetian and Foad Dabiri and Miodrag Potkonjak and Majid Sarrafzadeh}, editor = {Nadine Az{\'{e}}mard and Lars J. Svensson}, title = {Optimization for Real-Time Systems with Non-convex Power Versus Speed Models}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, {PATMOS} 2007, Gothenburg, Sweden, September 3-5, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4644}, pages = {443--452}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74442-9\_43}, doi = {10.1007/978-3-540-74442-9\_43}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/NahapetianDPS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/BriskS07, author = {Philip Brisk and Majid Sarrafzadeh}, editor = {Heiko Falk and Peter Marwedel}, title = {Interference graphs for procedures in static single information form are interval graphs}, booktitle = {Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, Nice, France, April 20, 2007}, series = {{ACM} International Conference Proceeding Series}, volume = {235}, pages = {101--110}, year = {2007}, url = {https://doi.org/10.1145/1269843.1269858}, doi = {10.1145/1269843.1269858}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/BriskS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/TaghaviDNS07, author = {Taraneh Taghavi and Foad Dabiri and Ani Nahapetian and Majid Sarrafzadeh}, editor = {Andrew A. Kennings and Ion I. Mandoiu}, title = {Tutorial on congestion prediction}, booktitle = {The Ninth International Workshop on System-Level Interconnect Prediction {(SLIP} 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings}, pages = {15--24}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1231956.1231961}, doi = {10.1145/1231956.1231961}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/TaghaviDNS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/07/TaghaviYCWS07, author = {Taraneh Taghavi and Xiaojian Yang and Bo{-}Kyung Choi and Maogang Wang and Majid Sarrafzadeh}, editor = {Gi{-}Joon Nam and Jason Cong}, title = {Congestion Minimization in Modern Placement Circuits}, booktitle = {Modern Circuit Placement, Best Practices and Results}, pages = {135--163}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-68739-1\_6}, doi = {10.1007/978-0-387-68739-1\_6}, timestamp = {Thu, 21 Sep 2017 11:25:30 +0200}, biburl = {https://dblp.org/rec/books/sp/07/TaghaviYCWS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/SarrafzadehDN06, author = {Majid Sarrafzadeh and Foad Dabiri and Ani Nahapetian}, title = {General Delay Budgeting on Directed Acyclic Graphs with Applications in {CAD}}, journal = {Electron. Notes Discret. Math.}, volume = {27}, pages = {95--96}, year = {2006}, url = {https://doi.org/10.1016/j.endm.2006.08.072}, doi = {10.1016/J.ENDM.2006.08.072}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/SarrafzadehDN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BriskDJS06, author = {Philip Brisk and Foad Dabiri and Roozbeh Jafari and Majid Sarrafzadeh}, title = {Optimal register sharing for high-level synthesis of {SSA} form programs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {5}, pages = {772--779}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.870409}, doi = {10.1109/TCAD.2006.870409}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BriskDJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GhiasiBHJS06, author = {Soheil Ghiasi and Elaheh Bozorgzadeh and Po{-}Kuan Huang and Roozbeh Jafari and Majid Sarrafzadeh}, title = {A Unified Theory of Timing Budget Management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {11}, pages = {2364--2375}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.873901}, doi = {10.1109/TCAD.2006.873901}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GhiasiBHJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/JafariNGS06, author = {Roozbeh Jafari and Hyduke Noshadi and Soheil Ghiasi and Majid Sarrafzadeh}, title = {Adaptive Electrocardiogram Feature Extraction on Distributed Embedded Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {17}, number = {8}, pages = {797--807}, year = {2006}, url = {https://doi.org/10.1109/TPDS.2006.96}, doi = {10.1109/TPDS.2006.96}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/JafariNGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/GhiasiBNS06, author = {Soheil Ghiasi and Elaheh Bozorgzadeh and Karlene Nguyen and Majid Sarrafzadeh}, title = {Efficient Timing Budget Management for Accuracy Improvement in a Collaborative Object Tracking System}, journal = {J. {VLSI} Signal Process.}, volume = {42}, number = {1}, pages = {43--55}, year = {2006}, url = {https://doi.org/10.1007/s11265-005-4162-0}, doi = {10.1007/S11265-005-4162-0}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/GhiasiBNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/MasseyGWSS06, author = {Tammara Massey and Tia Gao and Matt Welsh and Jonathan H. Sharp and Majid Sarrafzadeh}, title = {The Design of a Decentralized Electronic Triage System}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-001-1.623243/f-001-1.623244/a-109-1.623421/a-110-1.623418}, timestamp = {Wed, 17 Apr 2024 11:48:16 +0200}, biburl = {https://dblp.org/rec/conf/amia/MasseyGWSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KastnerGHBKBS06, author = {Ryan Kastner and Wenrui Gong and Xin Hao and Forrest Brewer and Adam Kaplan and Philip Brisk and Majid Sarrafzadeh}, editor = {Georges G. E. Gielen}, title = {Layout driven data communication optimization for high level synthesis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1185--1190}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244021}, doi = {10.1109/DATE.2006.244021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KastnerGHBKBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/NahapetianDS06, author = {Ani Nahapetian and Foad Dabiri and Majid Sarrafzadeh}, title = {Energy Minimization and Reliability for Wearable Medical Applications}, booktitle = {2006 International Conference on Parallel Processing Workshops {(ICPP} Workshops 2006), 14-18 August 2006, Columbus, Ohio, {USA}}, pages = {309--318}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPPW.2006.36}, doi = {10.1109/ICPPW.2006.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/NahapetianDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaghaviGS06, author = {Taraneh Taghavi and Soheil Ghiasi and Majid Sarrafzadeh}, title = {Routing algorithms: architecture driven rerouting enhancement for FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693865}, doi = {10.1109/ISCAS.2006.1693865}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaghaviGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SarrafzadehDJMN06, author = {Majid Sarrafzadeh and Foad Dabiri and Roozbeh Jafari and Tammara Massey and Ani Nahapetian}, editor = {Wolfgang Nebel and Mircea R. Stan and Anand Raghunathan and J{\"{o}}rg Henkel and Diana Marculescu}, title = {Low power light-weight embedded systems}, booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}, pages = {207--212}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1165573.1165623}, doi = {10.1145/1165573.1165623}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SarrafzadehDJMN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/TaghaviYCWS06, author = {Taraneh Taghavi and Xiaojian Yang and Bo{-}Kyung Choi and Maogang Wang and Majid Sarrafzadeh}, editor = {Louis Scheffer}, title = {Dragon2006: blockage-aware congestion-controlling mixed-size placer}, booktitle = {Proceedings of the 2006 International Symposium on Physical Design, {ISPD} 2006, San Jose, California, USA, April 9-12, 2006}, pages = {209--211}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1123008.1123054}, doi = {10.1145/1123008.1123054}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/TaghaviYCWS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/JafariNSG06, author = {Roozbeh Jafari and Hyduke Noshadi and Majid Sarrafzadeh and Soheil Ghiasi}, title = {Adaptive Medical Feature Extraction for Resource Constrained Distributed Embedded Systems}, booktitle = {4th {IEEE} Conference on Pervasive Computing and Communications Workshops (PerCom 2006 Workshops), 13-17 March 2006, Pisa, Italy}, pages = {506--511}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/PERCOMW.2006.17}, doi = {10.1109/PERCOMW.2006.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/JafariNSG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/GhiasiNMS05, author = {Soheil Ghiasi and Ani Nahapetian and Hyun J. Moon and Majid Sarrafzadeh}, title = {Reconfiguration in network of embedded systems: Challenges and adaptive tracking case study}, journal = {J. Embed. Comput.}, volume = {1}, number = {1}, pages = {147--166}, year = {2005}, url = {http://content.iospress.com/articles/journal-of-embedded-computing/jec00011}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/GhiasiNMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/JafariDS05, author = {Roozbeh Jafari and Foad Dabiri and Majid Sarrafzadeh}, title = {Epsilon-Optimal Minimal-Skew Battery Lifetime Routing in Distributed Embedded Systems}, journal = {J. Low Power Electron.}, volume = {1}, number = {2}, pages = {97--107}, year = {2005}, url = {https://doi.org/10.1166/jolpe.2005.031}, doi = {10.1166/JOLPE.2005.031}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/JafariDS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SrivastavaMCS05, author = {Ankur Srivastava and Seda Ogrenci Memik and Bo{-}Kyung Choi and Majid Sarrafzadeh}, title = {On effective slack management in postscheduling phase}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {4}, pages = {645--653}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.844115}, doi = {10.1109/TCAD.2005.844115}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SrivastavaMCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MemikKBS05, author = {Seda Ogrenci Memik and Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, title = {A scheduling algorithm for optimization and early planning in high-level synthesis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {10}, number = {1}, pages = {33--57}, year = {2005}, url = {https://doi.org/10.1145/1044111.1044115}, doi = {10.1145/1044111.1044115}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/MemikKBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/TaghaviGS05, author = {Taraneh Taghavi and Soheil Ghiasi and Majid Sarrafzadeh}, editor = {Herman Schmit and Steven J. E. Wilton}, title = {Routing algorithms: enhancing routability {\&} enabling {ECO} (abstract only)}, booktitle = {Proceedings of the {ACM/SIGDA} 13th International Symposium on Field Programmable Gate Arrays, {FPGA} 2005, Monterey, California, USA, February 20-22, 2005}, pages = {266}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1046192.1046236}, doi = {10.1145/1046192.1046236}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/TaghaviGS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/JafariMS05, author = {Roozbeh Jafari and Seda Ogrenci Memik and Majid Sarrafzadeh}, title = {Quick Reconfiguration in Clustered Micro-Sequencer}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.370}, doi = {10.1109/IPDPS.2005.370}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/JafariMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/BriskMNS05, author = {Philip Brisk and Jamie Macbeth and Ani Nahapetian and Majid Sarrafzadeh}, editor = {Yunheung Paek and Rajiv Gupta}, title = {A dictionary construction technique for code compression systems with echo instructions}, booktitle = {Proceedings of the 2005 {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'05), Chicago, Illinois, USA, June 15-17, 2005}, pages = {105--114}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065910.1065926}, doi = {10.1145/1065910.1065926}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/BriskMNS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiquitous/JafariEZDNS05, author = {Roozbeh Jafari and Andre Encarnacao and Azad Zahoory and Foad Dabiri and Hyduke Noshadi and Majid Sarrafzadeh}, title = {Wireless Sensor Networks for Health Monitoring}, booktitle = {2nd Annual International Conference on Mobile and Ubiquitous Systems (MobiQuitous 2005), 17-21 July 2005, San Diego, CA, {USA}}, pages = {479--781}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MOBIQUITOUS.2005.65}, doi = {10.1109/MOBIQUITOUS.2005.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobiquitous/JafariEZDNS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/JafariDS05, author = {Roozbeh Jafari and Foad Dabiri and Majid Sarrafzadeh}, title = {An Efficient Placement and Routing Technique for Fault-Tolerant Distributed Embedded Computing}, booktitle = {11th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications {(RTCSA} 2005), 17-19 August 2005, Hong Kong, China}, pages = {135--143}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RTCSA.2005.21}, doi = {10.1109/RTCSA.2005.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/JafariDS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/JafariDBS05, author = {Roozbeh Jafari and Foad Dabiri and Philip Brisk and Majid Sarrafzadeh}, editor = {Hisham Haddad and Lorie M. Liebrock and Andrea Omicini and Roger L. Wainwright}, title = {Adaptive and fault tolerant medical vest for life-critical medical monitoring}, booktitle = {Proceedings of the 2005 {ACM} Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005}, pages = {272--279}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1066677.1066741}, doi = {10.1145/1066677.1066741}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/JafariDBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/JafariDCS05, author = {Roozbeh Jafari and Foad Dabiri and Bo{-}Kyung Choi and Majid Sarrafzadeh}, editor = {Hisham Haddad and Lorie M. Liebrock and Andrea Omicini and Roger L. Wainwright}, title = {Efficient placement and routing in grid-based networks}, booktitle = {Proceedings of the 2005 {ACM} Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005}, pages = {899--900}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1066677.1066882}, doi = {10.1145/1066677.1066882}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/JafariDCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/BozorgzadehMYS04, author = {Elaheh Bozorgzadeh and Seda Ogrenci Memik and Xiaojian Yang and Majid Sarrafzadeh}, title = {Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs}, journal = {J. Circuits Syst. Comput.}, volume = {13}, number = {1}, pages = {77--100}, year = {2004}, url = {https://doi.org/10.1142/S0218126604001222}, doi = {10.1142/S0218126604001222}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/BozorgzadehMYS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BozorgzadehGTS04, author = {Elaheh Bozorgzadeh and Soheil Ghiasi and Atsushi Takahashi and Majid Sarrafzadeh}, title = {Optimal integer delay-budget assignment on directed acyclic graphs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {8}, pages = {1184--1199}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.829812}, doi = {10.1109/TCAD.2004.829812}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BozorgzadehGTS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/GhiasiNS04, author = {Soheil Ghiasi and Ani Nahapetian and Majid Sarrafzadeh}, title = {An optimal algorithm for minimizing run-time reconfiguration delay}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {3}, number = {2}, pages = {237--256}, year = {2004}, url = {https://doi.org/10.1145/993396.993398}, doi = {10.1145/993396.993398}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/GhiasiNS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/GhiasiMNS04, author = {Soheil Ghiasi and Hyun J. Moon and Ani Nahapetian and Majid Sarrafzadeh}, title = {Collaborative and Reconfigurable Object Tracking}, journal = {J. Supercomput.}, volume = {30}, number = {3}, pages = {213--238}, year = {2004}, url = {https://doi.org/10.1023/B:SUPE.0000045210.48347.ee}, doi = {10.1023/B:SUPE.0000045210.48347.EE}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/GhiasiMNS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SrivastavaKCS04, author = {Ankur Srivastava and Ryan Kastner and Chunhong Chen and Majid Sarrafzadeh}, title = {Timing driven gate duplication}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {1}, pages = {42--51}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2003.820527}, doi = {10.1109/TVLSI.2003.820527}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SrivastavaKCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BriskKS04, author = {Philip Brisk and Adam Kaplan and Majid Sarrafzadeh}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Area-efficient instruction set synthesis for reconfigurable system-on-chip designs}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {395--400}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996679}, doi = {10.1145/996566.996679}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BriskKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/BozorgzadehGTS04, author = {Elaheh Bozorgzadeh and Soheil Ghiasi and Atsushi Takahashi and Majid Sarrafzadeh}, editor = {Toomas P. Plaks}, title = {Incremental Timing Budget Management in Programmable Systems}, booktitle = {Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {240--246}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Sun, 12 Apr 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ersa/BozorgzadehGTS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GhiasiBCS04, author = {Soheil Ghiasi and Elaheh Bozorgzadeh and Siddharth Choudhuri and Majid Sarrafzadeh}, title = {A unified theory of timing budget management}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {653--659}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382657}, doi = {10.1109/ICCAD.2004.1382657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GhiasiBCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiCKS04, author = {Bo{-}Kyung Choi and Charles C. Chiang and Jamil Kawa and Majid Sarrafzadeh}, title = {Routing resources consumption on M-arch and X-arch}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {73--76}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiCKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/TaghaviGRRS04, author = {Taraneh Taghavi and Soheil Ghiasi and Abhishek Ranjan and Salil Raje and Majid Sarrafzadeh}, editor = {Charles J. Alpert and Patrick Groeneveld}, title = {Innovate or perish: {FPGA} physical design}, booktitle = {Proceedings of the 2004 International Symposium on Physical Design, {ISPD} 2004, Phoenix, Arizona, USA, April 18-21, 2004}, pages = {148--155}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/981066.981099}, doi = {10.1145/981066.981099}, timestamp = {Tue, 06 Nov 2018 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/ispd/TaghaviGRRS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KursunGS04, author = {Eren Kursun and Soheil Ghiasi and Majid Sarrafzadeh}, title = {Transistor Level Budgeting for Power Optimization}, booktitle = {5th International Symposium on Quality of Electronic Design {(ISQED} 2004), 22-24 March 2004, San Jose, CA, {USA}}, pages = {116--121}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISQED.2004.1283660}, doi = {10.1109/ISQED.2004.1283660}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KursunGS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/BriskNS04, author = {Philip Brisk and Ani Nahapetian and Majid Sarrafzadeh}, editor = {Henk Schepers}, title = {Instruction Selection for Compilers that Target Architectures with Echo Instructions}, booktitle = {Software and Compilers for Embedded Systems, 8th International Workshop, {SCOPES} 2004, Amsterdam, The Netherlands, September 2-3, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3199}, pages = {229--243}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30113-4\_17}, doi = {10.1007/978-3-540-30113-4\_17}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/scopes/BriskNS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Ogrenci-MemikKS03, author = {Seda Ogrenci Memik and Aggelos K. Katsaggelos and Majid Sarrafzadeh}, title = {Analysis and {FPGA} Implementation of Image Restoration under Resource Constraints}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {3}, pages = {390--399}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1183952}, doi = {10.1109/TC.2003.1183952}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/Ogrenci-MemikKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YangCS03, author = {Xiaojian Yang and Bo{-}Kyung Choi and Majid Sarrafzadeh}, title = {Routability-driven white space allocation for fixed-die standard-cell placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {4}, pages = {410--419}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.809660}, doi = {10.1109/TCAD.2003.809660}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YangCS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BozorgzadehKS03, author = {Elaheh Bozorgzadeh and Ryan Kastner and Majid Sarrafzadeh}, title = {Creating and exploiting flexibility in rectilinear Steiner trees}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {5}, pages = {605--615}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.810747}, doi = {10.1109/TCAD.2003.810747}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BozorgzadehKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/YangWKGS03, author = {Xiaojian Yang and Maogang Wang and Ryan Kastner and Soheil Ghiasi and Majid Sarrafzadeh}, title = {Congestion reduction during placement with provably good approximation bound}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {8}, number = {3}, pages = {316--333}, year = {2003}, url = {https://doi.org/10.1145/785411.785414}, doi = {10.1145/785411.785414}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/YangWKGS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GhiasiS03, author = {Soheil Ghiasi and Majid Sarrafzadeh}, editor = {Hiroto Yasuura}, title = {Optimal reconfiguration sequence management}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {359--365}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119843}, doi = {10.1145/1119772.1119843}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GhiasiS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BozorgzadehGTS03, author = {Elaheh Bozorgzadeh and Soheil Ghiasi and Atsushi Takahashi and Majid Sarrafzadeh}, title = {Optimal integer delay budgeting on directed acyclic graphs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {920--925}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776064}, doi = {10.1145/775832.776064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BozorgzadehGTS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/GhiasiMS03, author = {Soheil Ghiasi and Hyun J. Moon and Majid Sarrafzadeh}, editor = {Toomas P. Plaks}, title = {Collaborative and Reconfigurable Object Tracking}, booktitle = {Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, {USA}}, pages = {13--20}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Fri, 12 Jun 2015 19:15:11 +0200}, biburl = {https://dblp.org/rec/conf/ersa/GhiasiMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/GhiasiMS03, author = {Soheil Ghiasi and Hyun J. Moon and Majid Sarrafzadeh}, editor = {Gerhard Fohler and Radu Marculescu}, title = {Improving Performance and Quality thru Hardware Reconfiguration: Potentials and Adaptive Object Tracking Case Study}, booktitle = {First Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with {CODES-ISSS} 2003, Proceedings}, pages = {149--155}, year = {2003}, timestamp = {Thu, 17 Feb 2022 09:36:08 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/GhiasiMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/BozorgzadehS03, author = {Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Steve Trimberger and Russell Tessier}, title = {Customized regular channel design in FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {240}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611861}, doi = {10.1145/611817.611861}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/BozorgzadehS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/GhiasiNBS03, author = {Soheil Ghiasi and Karlene Nguyen and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Steve Trimberger and Russell Tessier}, title = {On computation and resource management in an FPGA-based computation environment}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {243}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611869}, doi = {10.1145/611817.611869}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/GhiasiNBS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SrivastavaMCS03, author = {Ankur Srivastava and Seda Ogrenci Memik and Bo{-}Kyung Choi and Majid Sarrafzadeh}, title = {Achieving Design Closure Through Delay Relaxation Parameter}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {54--57}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257585}, doi = {10.1109/ICCAD.2003.1257585}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SrivastavaMCS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XuWCS03, author = {Huaiyu Xu and Maogang Wang and Bo{-}Kyung Choi and Majid Sarrafzadeh}, title = {A Trade-off Oriented Placement Tool}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {467--471}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257852}, doi = {10.1109/ICCAD.2003.1257852}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XuWCS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoiXWS03, author = {Bo{-}Kyung Choi and Huaiyu Xu and Maogang Wang and Majid Sarrafzadeh}, title = {Flow-Based Cell Moving Algorithm for Desired Cell Distribution}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {218}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICCD.2003.1240898}, doi = {10.1109/ICCD.2003.1240898}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoiXWS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/ChenBSS02, author = {Chunhong Chen and Elaheh Bozorgzadeh and Ankur Srivastava and Majid Sarrafzadeh}, title = {Budget Management with Applications}, journal = {Algorithmica}, volume = {34}, number = {3}, pages = {261--275}, year = {2002}, url = {https://doi.org/10.1007/s00453-002-0964-7}, doi = {10.1007/S00453-002-0964-7}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/ChenBSS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/SrivastavaKS02, author = {Ankur Srivastava and Eren Kursun and Majid Sarrafzadeh}, title = {Predictability in RT-Level Designs}, journal = {J. Circuits Syst. Comput.}, volume = {11}, number = {4}, pages = {323--332}, year = {2002}, url = {https://doi.org/10.1142/S0218126602000483}, doi = {10.1142/S0218126602000483}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/SrivastavaKS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YangKS02, author = {Xiaojian Yang and Ryan Kastner and Majid Sarrafzadeh}, title = {Congestion estimation during top-down placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {1}, pages = {72--80}, year = {2002}, url = {https://doi.org/10.1109/43.974139}, doi = {10.1109/43.974139}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YangKS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenYS02, author = {Chunhong Chen and Xiaojian Yang and Majid Sarrafzadeh}, title = {Predicting potential performance for digital circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {3}, pages = {253--262}, year = {2002}, url = {https://doi.org/10.1109/43.986420}, doi = {10.1109/43.986420}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenYS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KastnerBS02, author = {Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, title = {Pattern routing: use and theory for increasing predictability andavoiding coupling}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {7}, pages = {777--790}, year = {2002}, url = {https://doi.org/10.1109/TCAD.2002.1013891}, doi = {10.1109/TCAD.2002.1013891}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KastnerBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SarrafzadehJ02, author = {Majid Sarrafzadeh and Rajeev Jayaraman}, title = {Guest editorial}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {7}, number = {4}, pages = {499--500}, year = {2002}, url = {https://doi.org/10.1145/605440.605441}, doi = {10.1145/605440.605441}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/SarrafzadehJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/BriskKKS02, author = {Philip Brisk and Adam Kaplan and Ryan Kastner and Majid Sarrafzadeh}, editor = {Shuvra S. Bhattacharyya and Trevor N. Mudge and Wayne H. Wolf and Ahmed Amine Jerraya}, title = {Instruction generation and regularity extraction for reconfigurable processors}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2002, Greenoble, France, October 8-11, 2002}, pages = {262--269}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/581630.581672}, doi = {10.1145/581630.581672}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/BriskKKS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenS02, author = {Chunhong Chen and Majid Sarrafzadeh}, title = {Power-Manageable Scheduling Technique for Control Dominated High-Level Synthesis}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {1016--1020}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998424}, doi = {10.1109/DATE.2002.998424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcv/NguyenYGS02, author = {Karlene Nguyen and Gavin Yeung and Soheil Ghiasi and Majid Sarrafzadeh}, editor = {Magdy A. Bayoumi}, title = {A general framework for tracking objects in a multi-camera environment}, booktitle = {Third International Workshop on Digital and Computational Video, {DCV} 2002, Clearwater Beach, Florida, USA, November 14-15, 2002, Proceedings}, pages = {200--204}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/DCV.2002.1218762}, doi = {10.1109/DCV.2002.1218762}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/dcv/NguyenYGS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SrivastavaS02, author = {Ankur Srivastava and Majid Sarrafzadeh}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Predictability: definition, ananlysis and optimization}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {118--121}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774589}, doi = {10.1145/774572.774589}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SrivastavaS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangCS02, author = {Xiaojian Yang and Bo{-}Kyung Choi and Majid Sarrafzadeh}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Timing-driven placement using design hierarchy guided constraint generation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {177--180}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774598}, doi = {10.1145/774572.774598}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangCS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YangCS02, author = {Xiaojian Yang and Bo{-}Kyung Choi and Majid Sarrafzadeh}, title = {A Standard-Cell Placement Tool for Designs with High Row Utilization}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {45}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106746}, doi = {10.1109/ICCD.2002.1106746}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YangCS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KursunSMS02, author = {Eren Kursun and Ankur Srivastava and Seda Ogrenci Memik and Majid Sarrafzadeh}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Early evaluation techniques for low power binding}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {160--165}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566450}, doi = {10.1145/566408.566450}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KursunSMS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChenKS02, author = {Chunhong Chen and Changjun Kang and Majid Sarrafzadeh}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Activity-sensitive clock tree construction for low power}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {279--282}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566481}, doi = {10.1145/566408.566481}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChenKS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/YangCS02, author = {Xiaojian Yang and Bo{-}Kyung Choi and Majid Sarrafzadeh}, editor = {Sachin S. Sapatnekar and Massoud Pedram}, title = {Routability driven white space allocation for fixed-die standard-cell placement}, booktitle = {Proceedings of 2002 International Symposium on Physical Design, {ISPD} 2002, Del Mar, CA, USA, April 7-10, 2002}, pages = {42--47}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505388.505400}, doi = {10.1145/505388.505400}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/YangCS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwls/SrivastavaS02, author = {Ankur Srivastava and Majid Sarrafzadeh}, title = {Predictability: Definition, Analysis and Optimization}, booktitle = {11th {IEEE/ACM} International Workshop on Logic {\&} Synthesis, {IWLS} 2002, June 4-7, 2002, New Orleans, Louisiana, {USA}}, pages = {267--272}, year = {2002}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwls/SrivastavaS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wecwis/KastnerHPS02, author = {Ryan Kastner and Christina Hsieh and Miodrag Potkonjak and Majid Sarrafzadeh}, title = {On the Sensitivity of Incremental Algorithms for Combinatorial Auctions}, booktitle = {Fourth {IEEE} International Workshop on Advanced Issues of E-Commerce and Web-Based Information Systems (WECWIS'02), Newport Beach, California, USA, June 26-28, 2002}, pages = {81--88}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/WECWIS.2002.1021244}, doi = {10.1109/WECWIS.2002.1021244}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wecwis/KastnerHPS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FarrahiCSTS01, author = {Amir H. Farrahi and Chunhong Chen and Ankur Srivastava and Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, title = {Activity-driven clock design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {6}, pages = {705--714}, year = {2001}, url = {https://doi.org/10.1109/43.924824}, doi = {10.1109/43.924824}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/FarrahiCSTS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SrivastavaKS01, author = {Ankur Srivastava and Ryan Kastner and Majid Sarrafzadeh}, title = {On the complexity of gate duplication}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {9}, pages = {1170--1176}, year = {2001}, url = {https://doi.org/10.1109/43.945312}, doi = {10.1109/43.945312}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SrivastavaKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RanjanBOS01, author = {Abhishek Ranjan and Kia Bazargan and Seda Ogrenci and Majid Sarrafzadeh}, title = {Fast floorplanning for effective prediction and construction}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {2}, pages = {341--351}, year = {2001}, url = {https://doi.org/10.1109/92.924056}, doi = {10.1109/92.924056}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RanjanBOS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenSS01, author = {Chunhong Chen and Ankur Srivastava and Majid Sarrafzadeh}, title = {On gate level power optimization using dual-supply voltages}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {5}, pages = {616--629}, year = {2001}, url = {https://doi.org/10.1109/92.953496}, doi = {10.1109/92.953496}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenSS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/NayakHBCS01, author = {Anshuman Nayak and Malay Haldar and Prith Banerjee and Chunhong Chen and Majid Sarrafzadeh}, title = {Power Optimization of Delay Constrained Circuits}, journal = {{VLSI} Design}, volume = {12}, number = {2}, pages = {125--138}, year = {2001}, url = {https://doi.org/10.1155/2001/65638}, doi = {10.1155/2001/65638}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/NayakHBCS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SrivastavaCS01, author = {Ankur Srivastava and Chunhong Chen and Majid Sarrafzadeh}, editor = {Satoshi Goto}, title = {Timing driven gate duplication in technology independent phase}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {577--582}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.371165}, doi = {10.1145/370155.371165}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SrivastavaCS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BozorgzadehOS01, author = {Elaheh Bozorgzadeh and Seda Ogrenci Memik and Majid Sarrafzadeh}, editor = {Satoshi Goto}, title = {RPack: routability-driven packing for cluster-based FPGAs}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {629--634}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370567}, doi = {10.1145/370155.370567}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/BozorgzadehOS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SchaumontVKS01, author = {Patrick Schaumont and Ingrid Verbauwhede and Kurt Keutzer and Majid Sarrafzadeh}, title = {A Quick Safari Through the Reconfiguration Jungle}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {172--177}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.378404}, doi = {10.1145/378239.378404}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SchaumontVKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BozorgzadehKS01, author = {Elaheh Bozorgzadeh and Ryan Kastner and Majid Sarrafzadeh}, title = {Creating and Exploiting Flexibility in Steiner Trees}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {195--198}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.378462}, doi = {10.1145/378239.378462}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BozorgzadehKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BazarganOS01, author = {Kia Bazargan and Seda Ogrenci and Majid Sarrafzadeh}, title = {Integrating Scheduling and Physical Design into a Coherent Compilation Cycle for Reconfigurable Computing Architectures}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {635--640}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379038}, doi = {10.1145/378239.379038}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BazarganOS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RanjanSKS01, author = {Abhishek Ranjan and Ankur Srivastava and V. Karnam and Majid Sarrafzadeh}, editor = {Kaushik Roy and Sung{-}Mo Kang and Cheng{-}Kok Koh}, title = {Layout aware retiming}, booktitle = {Proceedings of the 11th {ACM} Great Lakes Symposium on {VLSI} 2001, West Lafayette, Indiana, USA, 2001}, pages = {25--30}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/368122.368153}, doi = {10.1145/368122.368153}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/RanjanSKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KastnerOBS01, author = {Ryan Kastner and Seda Ogrenci Memik and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Rolf Ernst}, title = {Instruction Generation for Hybrid Reconfigurable Systems}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {127}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968608}, doi = {10.1109/ICCAD.2001.968608}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KastnerOBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MemikBKS01, author = {Seda Ogrenci Memik and Elaheh Bozorgzadeh and Ryan Kastner and Majid Sarrafzadeh}, editor = {Rolf Ernst}, title = {A Super-Scheduler for Embedded Reconfigurable Systems}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {391}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968653}, doi = {10.1109/ICCAD.2001.968653}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MemikBKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangKS01, author = {Xiaojian Yang and Ryan Kastner and Majid Sarrafzadeh}, editor = {Rolf Ernst}, title = {Congestion Reduction During Placement Based on Integer Programming}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {573--576}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968712}, doi = {10.1109/ICCAD.2001.968712}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/NettletonRHS01, author = {Nancy Nettleton and Wolfgang Roethig and D. Hill and Majid Sarrafzadeh}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {Differences in ASIC, {COT} and processor design (panel)}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {2}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369796}, doi = {10.1145/369691.369796}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/NettletonRHS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/KastnerBS01, author = {Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {An exact algorithm for coupling-free routing}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {10--15}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369711}, doi = {10.1145/369691.369711}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/KastnerBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/SarrafzadehBKS01, author = {Majid Sarrafzadeh and Elaheh Bozorgzadeh and Ryan Kastner and Ankur Srivastava}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {Design and analysis of physical design algorithms}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {82--89}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369742}, doi = {10.1145/369691.369742}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/SarrafzadehBKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/YangKS01, author = {Xiaojian Yang and Ryan Kastner and Majid Sarrafzadeh}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {Congestion estimation during top-down placement}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {164--169}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369761}, doi = {10.1145/369691.369761}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/YangKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/YangBS01, author = {Xiaojian Yang and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, title = {Wirelength estimation based on rent exponents of partitioning and placement}, booktitle = {The Third {IEEE/ACM} International Workshop on System-Level Interconnect Prediction {(SLIP} 2001), March 31 - April 1, 2001, DoubleTree Hotel, Rohnert Park, CA, USA, Proceedings}, pages = {25--31}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/368640.368658}, doi = {10.1145/368640.368658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/YangBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/BazarganKS00, author = {Kia Bazargan and Ryan Kastner and Majid Sarrafzadeh}, title = {3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems}, journal = {Des. Autom. Embed. Syst.}, volume = {5}, number = {3-4}, pages = {329--338}, year = {2000}, url = {https://doi.org/10.1023/A:1008962420726}, doi = {10.1023/A:1008962420726}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/BazarganKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BazarganKS00, author = {Kia Bazargan and Ryan Kastner and Majid Sarrafzadeh}, title = {Fast Template Placement for Reconfigurable Computing Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {1}, pages = {68--83}, year = {2000}, url = {https://doi.org/10.1109/54.825678}, doi = {10.1109/54.825678}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BazarganKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/GormanS00, author = {Michael F. Gorman and Majid Sarrafzadeh}, title = {An application of dynamic programming to crew balancing at Burlington Northern Santa Fe Railway}, journal = {Int. J. Serv. Technol. Manag.}, volume = {1}, number = {2/3}, pages = {174--187}, year = {2000}, url = {https://doi.org/10.1504/IJSTM.2000.001571}, doi = {10.1504/IJSTM.2000.001571}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijstm/GormanS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/LinFS00, author = {Wei{-}Liang Lin and Amir H. Farrahi and Majid Sarrafzadeh}, title = {On the Power of Logic Resynthesis}, journal = {{SIAM} J. Comput.}, volume = {29}, number = {4}, pages = {1257--1289}, year = {2000}, url = {https://doi.org/10.1137/S0097539796335480}, doi = {10.1137/S0097539796335480}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/LinFS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangYS00, author = {Maogang Wang and Xiaojian Yang and Majid Sarrafzadeh}, title = {Congestion minimization during placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {10}, pages = {1140--1148}, year = {2000}, url = {https://doi.org/10.1109/43.875296}, doi = {10.1109/43.875296}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WangYS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BreuerSS00, author = {Melvin A. Breuer and Majid Sarrafzadeh and Fabio Somenzi}, title = {Fundamental {CAD} algorithms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {12}, pages = {1449--1475}, year = {2000}, url = {https://doi.org/10.1109/43.898826}, doi = {10.1109/43.898826}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BreuerSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangS00, author = {Maogang Wang and Majid Sarrafzadeh}, title = {Modeling and minimization of routing congestion}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {185--190}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368601}, doi = {10.1145/368434.368601}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenS00, author = {Chunhong Chen and Majid Sarrafzadeh}, title = {Power reduction by simultaneous voltage scaling and gate sizing}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {333--338}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368668}, doi = {10.1145/368434.368668}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangLCS00, author = {Maogang Wang and Sung Kyu Lim and Jason Cong and Majid Sarrafzadeh}, title = {Multi-way partitioning using bi-partition heuristics}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {667--672}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368865}, doi = {10.1145/368434.368865}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangLCS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/BazarganKOS00, author = {Kia Bazargan and Ryan Kastner and Seda Ogrenci and Majid Sarrafzadeh}, title = {A {C} to Hardware/Software Compiler}, booktitle = {8th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings}, pages = {331--332}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/FPGA.2000.903440}, doi = {10.1109/FPGA.2000.903440}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/BazarganKOS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/OgrenciKS00, author = {F. S. Ogrenci and Aggelos K. Katsaggelos and Majid Sarrafzadeh}, editor = {Steve Trimberger and Scott Hauck}, title = {{FPGA} implementation and analysis of image restoration}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2000, Monterey, CA, USA, February 10-11, 2000}, pages = {219}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/329166.329217}, doi = {10.1145/329166.329217}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/OgrenciKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BazarganRS00, author = {Kia Bazargan and Abhishek Ranjan and Majid Sarrafzadeh}, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Fast and accurate estimation of floorplans in logic/high-level synthesis}, booktitle = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, pages = {95--100}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855.330990}, doi = {10.1145/330855.330990}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BazarganRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KastnerBS00, author = {Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Ellen Sentovich}, title = {Predictable Routing}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {110--113}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896459}, doi = {10.1109/ICCAD.2000.896459}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KastnerBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenYS00, author = {Chunhong Chen and Xiaojian Yang and Majid Sarrafzadeh}, editor = {Ellen Sentovich}, title = {Potential Slack: An Effective Metric of Combinational Circuit Performance}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {198--201}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896474}, doi = {10.1109/ICCAD.2000.896474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenYS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CoudertCMS00, author = {Olivier Coudert and Jason Cong and Sharad Malik and Majid Sarrafzadeh}, editor = {Ellen Sentovich}, title = {Incremental {CAD}}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {236--243}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896480}, doi = {10.1109/ICCAD.2000.896480}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CoudertCMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangYS00, author = {Maogang Wang and Xiaojian Yang and Majid Sarrafzadeh}, editor = {Ellen Sentovich}, title = {{DRAGON2000:} Standard-Cell Placement Tool for Large Industry Circuits}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {260--263}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896483}, doi = {10.1109/ICCAD.2000.896483}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangYS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SrivastavaKS00, author = {Ankur Srivastava and Ryan Kastner and Majid Sarrafzadeh}, editor = {Ellen Sentovich}, title = {Timing Driven Gate Duplication: Complexity Issues and Algorithms}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {447--450}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896512}, doi = {10.1109/ICCAD.2000.896512}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SrivastavaKS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RanjanBS00, author = {Abhishek Ranjan and Kia Bazargan and Majid Sarrafzadeh}, title = {Fast Hierarchical Floorplanning with Congestion and Timing Control}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {357--362}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878308}, doi = {10.1109/ICCD.2000.878308}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RanjanBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/CongS00, author = {Jason Cong and Majid Sarrafzadeh}, editor = {Manfred Wiesel and Dwight D. Hill}, title = {Incremental physical design}, booktitle = {Proceedings of the 2000 International Symposium on Physical Design, {ISPD} 2000, San Diego, CA, USA, April 9-12, 2000}, pages = {84--92}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/332357.332379}, doi = {10.1145/332357.332379}, timestamp = {Thu, 26 Aug 2021 17:11:38 +0200}, biburl = {https://dblp.org/rec/conf/ispd/CongS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/WangYES00, author = {Maogang Wang and Xiaojian Yang and Kenneth Eguro and Majid Sarrafzadeh}, editor = {Manfred Wiesel and Dwight D. Hill}, title = {Multi-center congestion estimation and minimization during placement}, booktitle = {Proceedings of the 2000 International Symposium on Physical Design, {ISPD} 2000, San Diego, CA, USA, April 9-12, 2000}, pages = {147--152}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/332357.332391}, doi = {10.1145/332357.332391}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/WangYES00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/YangWES00, author = {Xiaojian Yang and Maogang Wang and Kenneth Eguro and Majid Sarrafzadeh}, editor = {Manfred Wiesel and Dwight D. Hill}, title = {A snap-on placement tool}, booktitle = {Proceedings of the 2000 International Symposium on Physical Design, {ISPD} 2000, San Diego, CA, USA, April 9-12, 2000}, pages = {153--158}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/332357.332392}, doi = {10.1145/332357.332392}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/YangWES00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/FarrahiHWS00, author = {Amir H. Farrahi and David J. Hathaway and Maogang Wang and Majid Sarrafzadeh}, title = {Quality of {EDA} {CAD} Tools: Definitions, Metrics and Directions}, booktitle = {1st International Symposium on Quality of Electronic Design {(ISQED} 2000), 20-22 March 2000, San Jose, CA, {USA}}, pages = {395--406}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISQED.2000.838903}, doi = {10.1109/ISQED.2000.838903}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/FarrahiHWS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2000, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855}, doi = {10.1145/330855}, isbn = {1-58113-251-4}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/NicolosoSS99, author = {Sara Nicoloso and Majid Sarrafzadeh and X. Song}, title = {On the Sum Coloring Problem on Interval Graphs}, journal = {Algorithmica}, volume = {23}, number = {2}, pages = {109--126}, year = {1999}, url = {https://doi.org/10.1007/PL00009252}, doi = {10.1007/PL00009252}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/NicolosoSS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/FarrahiLS99, author = {Amir H. Farrahi and D. T. Lee and Majid Sarrafzadeh}, title = {Two-Way and Multiway Partitioning of a Set of Intervals for Clique-Width Maximization}, journal = {Algorithmica}, volume = {23}, number = {3}, pages = {187--210}, year = {1999}, url = {https://doi.org/10.1007/PL00009257}, doi = {10.1007/PL00009257}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/FarrahiLS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BazarganKS99, author = {Kia Bazargan and Samjung Kim and Majid Sarrafzadeh}, title = {Nostradamus: a floorplanner of uncertain designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {4}, pages = {389--397}, year = {1999}, url = {https://doi.org/10.1109/43.752923}, doi = {10.1109/43.752923}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BazarganKS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/EnosHS99, author = {Morgan Enos and Scott Hauck and Majid Sarrafzadeh}, title = {Evaluation and optimization of replication algorithms for logic bipartitioning}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {9}, pages = {1237--1248}, year = {1999}, url = {https://doi.org/10.1109/43.784117}, doi = {10.1109/43.784117}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/EnosHS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/WangBS99, author = {Maogang Wang and Prithviraj Banerjee and Majid Sarrafzadeh}, title = {Placement with Incomplete Data}, journal = {{VLSI} Design}, volume = {10}, number = {1}, pages = {57--70}, year = {1999}, url = {https://doi.org/10.1155/1999/42648}, doi = {10.1155/1999/42648}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/WangBS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/BarzaganS99, author = {Kiarash Barzagan and Majid Sarrafzadeh}, title = {Fast Online Placement for Reconfigurable Computing}, booktitle = {7th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} '99), 21-23 April 1999, Napa, CA, {USA}}, pages = {300}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/FPGA.1999.803706}, doi = {10.1109/FPGA.1999.803706}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/BarzaganS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CrenshawSBP99, author = {Jim E. Crenshaw and Majid Sarrafzadeh and Prithviraj Banerjee and Pradeep Prabhakaran}, title = {An Incremental Floorplanner}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {248--251}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757426}, doi = {10.1109/GLSV.1999.757426}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CrenshawSBP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenS99, author = {Chunhong Chen and Majid Sarrafzadeh}, editor = {Jacob K. White and Ellen Sentovich}, title = {Provably good algorithm for low power consumption with dual supply voltages}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {76--79}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810625}, doi = {10.1109/ICCAD.1999.810625}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenS99, author = {Chunhong Chen and Majid Sarrafzadeh}, title = {An Effective Algorithm for Gate-Level Power-Delay Tradeoff Using Two Voltages}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design, {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA, October 10-13, 1999}, pages = {222}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCD.1999.808429}, doi = {10.1109/ICCD.1999.808429}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarrafzadehT99, author = {Majid Sarrafzadeh and Toshihiko Takahashi}, title = {A fast algorithm for routability testing}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {178--181}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780124}, doi = {10.1109/ISCAS.1999.780124}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarrafzadehT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarrafzadehR99, author = {Majid Sarrafzadeh and Salil Raje}, title = {Scheduling with multiple voltages under resource constraints}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {350--353}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.777875}, doi = {10.1109/ISCAS.1999.777875}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarrafzadehR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/WangS99, author = {Maogang Wang and Majid Sarrafzadeh}, editor = {D. F. Wong}, title = {On the behavior of congestion minimization during placement}, booktitle = {Proceedings of the 1999 International Symposium on Physical Design, {ISPD} 1999, Monterey, CA, USA, April 12-14, 1999}, pages = {145--150}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/299996.300044}, doi = {10.1145/299996.300044}, timestamp = {Sun, 02 Oct 2022 16:10:02 +0200}, biburl = {https://dblp.org/rec/conf/ispd/WangS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/BazarganKS99, author = {Kia Bazargan and Ryan Kastner and Majid Sarrafzadeh}, title = {3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems}, booktitle = {Proceedings of the Tenth {IEEE} International Workshop on Rapid System Prototyping {(RSP} 1999), Clearwater, Florida, USA, June 16-18, 1999}, pages = {38}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/IWRSP.1999.779029}, doi = {10.1109/IWRSP.1999.779029}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/BazarganKS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PrabhakaranBCS99, author = {Pradeep Prabhakaran and Prithviraj Banerjee and Jim E. Crenshaw and Majid Sarrafzadeh}, title = {Simultaneous Scheduling, Binding and Floorplanning for Interconnect Power Optimization}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {423--427}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICVD.1999.745192}, doi = {10.1109/ICVD.1999.745192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PrabhakaranBCS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SarrafzadehLW98, author = {Majid Sarrafzadeh and Wei{-}Liang Lin and C. K. Wong}, title = {Floating Steiner Trees}, journal = {{IEEE} Trans. Computers}, volume = {47}, number = {2}, pages = {197--211}, year = {1998}, url = {https://doi.org/10.1109/12.663766}, doi = {10.1109/12.663766}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SarrafzadehLW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChoRS98, author = {Jun Dong Cho and Salil Raje and Majid Sarrafzadeh}, title = {Fast Approximation Algorithms on Maxcut, k-Coloring, and k-Color Ordering vor {VLSI} Applications}, journal = {{IEEE} Trans. Computers}, volume = {47}, number = {11}, pages = {1253--1266}, year = {1998}, url = {https://doi.org/10.1109/12.736440}, doi = {10.1109/12.736440}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ChoRS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KahngS98, author = {Andrew B. Kahng and Majid Sarrafzadeh}, title = {Guest Editorial}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {1}, pages = {1--2}, year = {1998}, url = {https://doi.org/10.1109/TCAD.1998.673627}, doi = {10.1109/TCAD.1998.673627}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KahngS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoS98, author = {Jun Dong Cho and Majid Sarrafzadeh}, title = {Four-bend top-down global routing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {9}, pages = {793--802}, year = {1998}, url = {https://doi.org/10.1109/43.720316}, doi = {10.1109/43.720316}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChoS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/TellezS98, author = {Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, title = {On Rectilinear Distance-Preserving Trees}, journal = {{VLSI} Design}, volume = {7}, number = {1}, pages = {15--30}, year = {1998}, url = {https://doi.org/10.1155/1998/26574}, doi = {10.1155/1998/26574}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/TellezS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/FarrahiTS98, author = {Amir H. Farrahi and Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, title = {Exploiting Sleep Mode for Memory Partitioning and Other Applications}, journal = {{VLSI} Design}, volume = {7}, number = {3}, pages = {271--287}, year = {1998}, url = {https://doi.org/10.1155/1998/50491}, doi = {10.1155/1998/50491}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/FarrahiTS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangBS98, author = {Maogang Wang and Prithviraj Banerjee and Majid Sarrafzadeh}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Potential-NRG: Placement with Incomplete Data}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {279--282}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277123}, doi = {10.1145/277044.277123}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangBS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CrenshawS98, author = {Jim E. Crenshaw and Majid Sarrafzadeh}, title = {Low Power Driven Scheduling and Binding}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {406--413}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665335}, doi = {10.1109/GLSV.1998.665335}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CrenshawS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/BazarganKS98, author = {Kia Bazargan and Samjung Kim and Majid Sarrafzadeh}, editor = {Majid Sarrafzadeh}, title = {Nostradamus: a floorplanner of uncertain design}, booktitle = {Proceedings of the 1998 International Symposium on Physical Design, {ISPD} 1998, Monterey, CA, USA, April 6-8, 1998}, pages = {18--23}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/274535.274538}, doi = {10.1145/274535.274538}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/BazarganKS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RoyBS98, author = {Sumit Roy and Prithviraj Banerjee and Majid Sarrafzadeh}, title = {Partitioning sequential circuits for low power}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {212--217}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646604}, doi = {10.1109/ICVD.1998.646604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RoyBS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispd/1998, editor = {Majid Sarrafzadeh}, title = {Proceedings of the 1998 International Symposium on Physical Design, {ISPD} 1998, Monterey, CA, USA, April 6-8, 1998}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/274535}, doi = {10.1145/274535}, isbn = {1-58113-021-X}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/RajeS97, author = {Salil Raje and Majid Sarrafzadeh}, title = {Scheduling with multiple voltages}, journal = {Integr.}, volume = {23}, number = {1}, pages = {37--59}, year = {1997}, url = {https://doi.org/10.1016/S0167-9260(97)00013-8}, doi = {10.1016/S0167-9260(97)00013-8}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/RajeS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TellezS97, author = {Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, title = {Minimal buffer insertion in clock trees with skew and slew rate constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {4}, pages = {333--342}, year = {1997}, url = {https://doi.org/10.1109/43.602470}, doi = {10.1109/43.602470}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TellezS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SarrafzadehKT97, author = {Majid Sarrafzadeh and David A. Knol and Gustavo E. T{\'{e}}llez}, title = {A delay budgeting algorithm ensuring maximum flexibility in placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {11}, pages = {1332--1341}, year = {1997}, url = {https://doi.org/10.1109/43.663823}, doi = {10.1109/43.663823}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SarrafzadehKT97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenS97, author = {De{-}Sheng Chen and Majid Sarrafzadeh}, title = {Cube-embedding based state encoding for low power design}, booktitle = {Proceedings of the {ASP-DAC} '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}, pages = {613--618}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ASPDAC.1997.600344}, doi = {10.1109/ASPDAC.1997.600344}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SarrafzadehKT97, author = {Majid Sarrafzadeh and David A. Knol and Gustavo E. T{\'{e}}llez}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Unification of Budgeting and Placement}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {758--761}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266364}, doi = {10.1145/266021.266364}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SarrafzadehKT97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CrenshawS97, author = {Jim E. Crenshaw and Majid Sarrafzadeh}, title = {Accurate high level datapath power estimation}, booktitle = {European Design and Test Conference, ED{\&}TC '97, Paris, France, 17-20 March 1997}, pages = {590--596}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/EDTC.1997.582421}, doi = {10.1109/EDTC.1997.582421}, timestamp = {Fri, 20 May 2022 15:59:03 +0200}, biburl = {https://dblp.org/rec/conf/date/CrenshawS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EnosHS97, author = {Morgan Enos and Scott Hauck and Majid Sarrafzadeh}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Replication for logic bipartitioning}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {342--349}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643542}, doi = {10.1109/ICCAD.1997.643542}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/EnosHS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SarrafzadehW97, author = {Majid Sarrafzadeh and Maogang Wang}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {{NRG:} global and detailed placement}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {532--537}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643590}, doi = {10.1109/ICCAD.1997.643590}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SarrafzadehW97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispd/1997, editor = {Andrew B. Kahng and Majid Sarrafzadeh}, title = {Proceedings of the 1997 International Symposium on Physical Design, {ISPD} 1997, Napa Valley, California, USA, April 14-16, 1997}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/267665}, doi = {10.1145/267665}, isbn = {0-89791-927-0}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChenSY96, author = {De{-}Sheng Chen and Majid Sarrafzadeh and Gary K. H. Yeap}, title = {State Encoding of Finite State Machines for Low Power Design}, journal = {J. Circuits Syst. Comput.}, volume = {6}, number = {6}, pages = {649--662}, year = {1996}, url = {https://doi.org/10.1142/S0218126696000455}, doi = {10.1142/S0218126696000455}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ChenSY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenS96, author = {De{-}Sheng Chen and Majid Sarrafzadeh}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {An Exact Algorithm for Low Power Library-Specific Gate Re-Sizing}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {783--788}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240666}, doi = {10.1145/240518.240666}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/YeapS95, author = {Gary K. H. Yeap and Majid Sarrafzadeh}, title = {Sliceable Floorplanning by Graph Dualization}, journal = {{SIAM} J. Discret. Math.}, volume = {8}, number = {2}, pages = {258--280}, year = {1995}, url = {https://doi.org/10.1137/S0895480191266700}, doi = {10.1137/S0895480191266700}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/YeapS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/Marek-SadowskaS95, author = {Malgorzata Marek{-}Sadowska and Majid Sarrafzadeh}, title = {The crossing distribution problem {[IC} layout]}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {4}, pages = {423--433}, year = {1995}, url = {https://doi.org/10.1109/43.372368}, doi = {10.1109/43.372368}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/Marek-SadowskaS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/SarrafzadehM95, author = {Majid Sarrafzadeh and Sanjeev R. Maddila}, title = {Discrete Warehouse Problem}, journal = {Theor. Comput. Sci.}, volume = {140}, number = {2}, pages = {231--247}, year = {1995}, url = {https://doi.org/10.1016/0304-3975(94)00192-L}, doi = {10.1016/0304-3975(94)00192-L}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/SarrafzadehM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChoS95, author = {Jun Dong Cho and Majid Sarrafzadeh}, title = {A buffer distribution algorithm for high-performance clock net optimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {3}, number = {1}, pages = {84--98}, year = {1995}, url = {https://doi.org/10.1109/92.365456}, doi = {10.1109/92.365456}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChoS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FarrahiTS95, author = {Amir H. Farrahi and Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, editor = {Bryan Preas}, title = {Memory Segmentation to Exploit Sleep Mode Operation}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {36--41}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217503}, doi = {10.1145/217474.217503}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FarrahiTS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FrankRS95, author = {Elof Frank and Salil Raje and Majid Sarrafzadeh}, editor = {Bryan Preas}, title = {Constrained Register Allocation in Bus Architectures}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {170--175}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217525}, doi = {10.1145/217474.217525}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FrankRS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TellezFS95, author = {Gustavo E. T{\'{e}}llez and Amir H. Farrahi and Majid Sarrafzadeh}, editor = {Richard L. Rudell}, title = {Activity-driven clock design for low power circuits}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {62--65}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.479992}, doi = {10.1109/ICCAD.1995.479992}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TellezFS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FarrahiS95, author = {Amir H. Farrahi and Majid Sarrafzadeh}, editor = {Richard L. Rudell}, title = {System partitioning to maximize sleep time}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {452--455}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480155}, doi = {10.1109/ICCAD.1995.480155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FarrahiS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinS95, author = {Wei{-}Liang Lin and Majid Sarrafzadeh}, title = {A Linear Arrangement Problem with Applications}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {57--60}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.521450}, doi = {10.1109/ISCAS.1995.521450}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TellezS95, author = {Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, title = {On Rectilinear Distance-Preserving Trees}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {163--166}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.521476}, doi = {10.1109/ISCAS.1995.521476}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TellezS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenSY95, author = {De{-}Sheng Chen and Majid Sarrafzadeh and Gary K. H. Yeap}, title = {State Encoding of Finite State Machines for Low Power Design}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {2309--2312}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.523891}, doi = {10.1109/ISCAS.1995.523891}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenSY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RajeS95, author = {Salil Raje and Majid Sarrafzadeh}, editor = {Massoud Pedram and Robert W. Brodersen and Kurt Keutzer}, title = {Variable voltage scheduling}, booktitle = {Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995}, pages = {9--14}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224081.224084}, doi = {10.1145/224081.224084}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/RajeS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcga/SarrafzadehL94, author = {Majid Sarrafzadeh and D. T. Lee}, title = {Restricted track assignment with applications}, journal = {Int. J. Comput. Geom. Appl.}, volume = {4}, number = {1}, pages = {53--68}, year = {1994}, url = {https://doi.org/10.1142/S0218195994000057}, doi = {10.1142/S0218195994000057}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcga/SarrafzadehL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mp/ChoS94, author = {Jun Dong Cho and Majid Sarrafzadeh}, title = {The pin redistribution problem in multi-chip modules}, journal = {Math. Program.}, volume = {63}, pages = {297--330}, year = {1994}, url = {https://doi.org/10.1007/BF01582074}, doi = {10.1007/BF01582074}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mp/ChoS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SarrafzadehWWW94, author = {Majid Sarrafzadeh and Dorothea Wagner and Frank Wagner and Karsten Weihe}, title = {Wiring Knock-Knee Layouts: {A} Global Approach}, journal = {{IEEE} Trans. Computers}, volume = {43}, number = {5}, pages = {581--589}, year = {1994}, url = {https://doi.org/10.1109/12.280805}, doi = {10.1109/12.280805}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SarrafzadehWWW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SarrafzadehLW94, author = {Majid Sarrafzadeh and Kuo{-}Feng Liao and Chak{-}Kuen Wong}, title = {Single-layer global routing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {1}, pages = {38--47}, year = {1994}, url = {https://doi.org/10.1109/43.273751}, doi = {10.1109/43.273751}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SarrafzadehLW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiaoS94, author = {Kuo{-}Feng Liao and Majid Sarrafzadeh}, title = {Correction to "Boundary single-layer routing with movable terminals"}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {5}, pages = {638}, year = {1994}, url = {https://doi.org/10.1109/43.277637}, doi = {10.1109/43.277637}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiaoS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FarrahiS94, author = {Amir H. Farrahi and Majid Sarrafzadeh}, title = {Complexity of the lookup-table minimization problem for {FPGA} technology mapping}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {11}, pages = {1319--1332}, year = {1994}, url = {https://doi.org/10.1109/43.329262}, doi = {10.1109/43.329262}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FarrahiS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChiangWS94, author = {Charles C. Chiang and Chak{-}Kuen Wong and Majid Sarrafzadeh}, title = {A weighted Steiner tree-based global router with simultaneous length and density minimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {12}, pages = {1461--1469}, year = {1994}, url = {https://doi.org/10.1109/43.331403}, doi = {10.1109/43.331403}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChiangWS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/AlpertCKRS94, author = {Charles J. Alpert and Jason Cong and Andrew B. Kahng and Gabriel Robins and Majid Sarrafzadeh}, title = {On the Minimum Density Interconnection Tree Problem}, journal = {{VLSI} Design}, volume = {2}, number = {2}, pages = {157--169}, year = {1994}, url = {https://doi.org/10.1155/1994/20983}, doi = {10.1155/1994/20983}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsi/AlpertCKRS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/ChoRS94, author = {Jun Dong Cho and Salil Raje and Majid Sarrafzadeh}, editor = {Jan van Leeuwen}, title = {Approximation Algorithm on Multi-Way Maxcut Partitioning}, booktitle = {Algorithms - {ESA} '94, Second Annual European Symposium, Utrecht, The Netherlands, September 26-28, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {855}, pages = {148--158}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/BFb0049405}, doi = {10.1007/BFB0049405}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esa/ChoRS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/FarrahiS94, author = {Amir H. Farrahi and Majid Sarrafzadeh}, editor = {Reiner W. Hartenstein and Michal Serv{\'{\i}}t}, title = {{FPGA} Technology Mapping for Power Minimization}, booktitle = {Field-Programmable Logic, Architectures, Synthesis and Applications, 4th International Workshop on Field-Programmable Logic and Applications, {FPL} '94, Prague, Czech Republic, September 7-9, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {849}, pages = {66--77}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58419-6\_70}, doi = {10.1007/3-540-58419-6\_70}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/FarrahiS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TellezS94, author = {Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Clock period constrained minimal buffer insertion in clock trees}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {219--223}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://dl.acm.org/citation.cfm?id=191413}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TellezS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinSW94, author = {Wei{-}Liang Lin and Majid Sarrafzadeh and Chak{-}Kuen Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {The reproducing placement problem with applications}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {686--689}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629896}, doi = {10.1109/ICCAD.1994.629896}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinSW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wg/KajitaniCS94, author = {Yoji Kajitani and Jun Dong Cho and Majid Sarrafzadeh}, editor = {Ernst W. Mayr and Gunther Schmidt and Gottfried Tinhofer}, title = {New Approximation Results on Graph Matching and related Problems}, booktitle = {Graph-Theoretic Concepts in Computer Science, 20th International Workshop, {WG} '94, Herrsching, Germany, June 16-18, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {903}, pages = {343--358}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-59071-4\_60}, doi = {10.1007/3-540-59071-4\_60}, timestamp = {Sun, 02 Oct 2022 16:17:33 +0200}, biburl = {https://dblp.org/rec/conf/wg/KajitaniCS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/SarrafzadehL93, author = {Majid Sarrafzadeh and Ruey{-}Der Lou}, title = {Maximum k-Covering of Weighted Transitive Graphs with Applications}, journal = {Algorithmica}, volume = {9}, number = {1}, pages = {84--100}, year = {1993}, url = {https://doi.org/10.1007/BF01185340}, doi = {10.1007/BF01185340}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/SarrafzadehL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/SunS93, author = {Yachyang Sun and Majid Sarrafzadeh}, title = {Floorplanning by Graph Dualization: L-shaped Modules}, journal = {Algorithmica}, volume = {10}, number = {6}, pages = {429--456}, year = {1993}, url = {https://doi.org/10.1007/BF01891831}, doi = {10.1007/BF01891831}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/SunS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChoSSK93, author = {Jun Dong Cho and Majid Sarrafzadeh and Mysore Sriram and Sung{-}Mo Kang}, title = {High-Performance {MCM} Routing}, journal = {{IEEE} Des. Test Comput.}, volume = {10}, number = {4}, pages = {27--37}, year = {1993}, url = {https://doi.org/10.1109/54.245961}, doi = {10.1109/54.245961}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChoSSK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcga/LeeS93, author = {D. T. Lee and Majid Sarrafzadeh}, title = {Maximum independent set of a permutation graph in {K} tracks}, journal = {Int. J. Comput. Geom. Appl.}, volume = {3}, number = {3}, pages = {291--304}, year = {1993}, url = {https://doi.org/10.1142/S021819599300018X}, doi = {10.1142/S021819599300018X}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcga/LeeS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/YeapS93, author = {Kok{-}Hoo Yeap and Majid Sarrafzadeh}, title = {Floor-Planning by Graph Dualization: 2-Concave Rectilinear Modules}, journal = {{SIAM} J. Comput.}, volume = {22}, number = {3}, pages = {500--526}, year = {1993}, url = {https://doi.org/10.1137/0222035}, doi = {10.1137/0222035}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/YeapS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/LouS93, author = {Ruey{-}Der Lou and Majid Sarrafzadeh}, title = {An Optimal Algorithm for the Maximum Three-Chain Problem}, journal = {{SIAM} J. Comput.}, volume = {22}, number = {5}, pages = {976--993}, year = {1993}, url = {https://doi.org/10.1137/0222060}, doi = {10.1137/0222060}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/LouS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HoSS93, author = {Jan{-}Ming Ho and Atsushi Suzuki and Majid Sarrafzadeh}, title = {An exact algorithm for single-layer wire length minimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {1}, pages = {175--180}, year = {1993}, url = {https://doi.org/10.1109/43.184855}, doi = {10.1109/43.184855}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HoSS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HolmesSS93, author = {Nancy D. Holmes and Naveed A. Sherwani and Majid Sarrafzadeh}, title = {Utilization of vacant terminals for improved over-the-cell channel routing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {6}, pages = {780--792}, year = {1993}, url = {https://doi.org/10.1109/43.229752}, doi = {10.1109/43.229752}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HolmesSS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YeapS93, author = {Gary K. H. Yeap and Majid Sarrafzadeh}, title = {A unified approach to floorplan sizing and enumeration}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {12}, pages = {1858--1867}, year = {1993}, url = {https://doi.org/10.1109/43.251149}, doi = {10.1109/43.251149}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YeapS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoS93, author = {Jun Dong Cho and Majid Sarrafzadeh}, editor = {Alfred E. Dunlop}, title = {A Nuffer Distribution Algorithm for High-Speed Clock Routing}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {537--543}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.165019}, doi = {10.1145/157485.165019}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Sarrafzadeh93, author = {Majid Sarrafzadeh}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Transforming an arbitrary floorplan into a sliceable one}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {386--389}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580085}, doi = {10.1109/ICCAD.1993.580085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Sarrafzadeh93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlpertCKRS93, author = {Charles J. Alpert and Jason Cong and Andrew B. Kahng and Gabriel Robins and Majid Sarrafzadeh}, title = {Minimum Density Interconneciton Trees}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {1865--1868}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlpertCKRS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RajeS93, author = {Salil Raje and Majid Sarrafzadeh}, title = {{GEM:} {A} Geometric Algorithm for Scheduling}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {1991--1994}, publisher = {{IEEE}}, year = {1993}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RajeS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/ws/93/SL1993, editor = {Majid Sarrafzadeh and D. T. Lee}, title = {Algorithmic Aspects of {VLSI} Layout}, series = {Lecture Notes Series on Computing}, volume = {2}, publisher = {World Scientific}, year = {1993}, url = {https://doi.org/10.1142/2105}, doi = {10.1142/2105}, isbn = {978-981-02-1488-3}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/ws/93/SL1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/LouS92, author = {Ruey{-}Der Lou and Majid Sarrafzadeh}, title = {Circular Permutation Graph Family with Applications}, journal = {Discret. Appl. Math.}, volume = {40}, number = {4}, pages = {433--457}, year = {1992}, url = {https://doi.org/10.1016/0166-218X(92)90012-Y}, doi = {10.1016/0166-218X(92)90012-Y}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/LouS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/LouLS92, author = {Ruey{-}Der Lou and Kuo{-}Feng Liao and Majid Sarrafzadeh}, title = {Planar Routing around a Rectangle}, journal = {J. Circuits Syst. Comput.}, volume = {2}, number = {1}, pages = {27--38}, year = {1992}, url = {https://doi.org/10.1142/S0218126692000039}, doi = {10.1142/S0218126692000039}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/LouLS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/KatsaggelosKS92, author = {Aggelos K. Katsaggelos and Srikanta P. R. Kumar and Majid Sarrafzadeh}, title = {Vlsi Architectures for Iterative Image Restoration}, journal = {J. Circuits Syst. Comput.}, volume = {2}, number = {3}, pages = {265--280}, year = {1992}, url = {https://doi.org/10.1142/S0218126692000179}, doi = {10.1142/S0218126692000179}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/KatsaggelosKS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mst/LouSRNM92, author = {Ruey{-}Der Lou and Majid Sarrafzadeh and Chong S. Rim and Kazuo Nakajima and Sumio Masuda}, title = {General Circular Permutation Layout}, journal = {Math. Syst. Theory}, volume = {25}, number = {4}, pages = {269--292}, year = {1992}, url = {https://doi.org/10.1007/BF01213860}, doi = {10.1007/BF01213860}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mst/LouSRNM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/LouSL92, author = {Ruey{-}Der Lou and Majid Sarrafzadeh and D. T. Lee}, title = {An Optimal Algorithm for the Maximum Two-Chain Problem}, journal = {{SIAM} J. Discret. Math.}, volume = {5}, number = {2}, pages = {285--304}, year = {1992}, url = {https://doi.org/10.1137/0405022}, doi = {10.1137/0405022}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/LouSL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SarrafzadehW92, author = {Majid Sarrafzadeh and C. K. Wong}, title = {Bottleneck Steiner Trees in the Plane}, journal = {{IEEE} Trans. Computers}, volume = {41}, number = {3}, pages = {370--374}, year = {1992}, url = {https://doi.org/10.1109/12.127452}, doi = {10.1109/12.127452}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SarrafzadehW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CongKRSW92, author = {Jason Cong and Andrew B. Kahng and Gabriel Robins and Majid Sarrafzadeh and Chak{-}Kuen Wong}, title = {Provably good performance-driven global routing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {6}, pages = {739--752}, year = {1992}, url = {https://doi.org/10.1109/43.137519}, doi = {10.1109/43.137519}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CongKRSW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SarrafzadehW92, author = {Majid Sarrafzadeh and Chak{-}Kuen Wong}, title = {Hierarchical Steiner tree construction in uniform orientations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {9}, pages = {1095--1103}, year = {1992}, url = {https://doi.org/10.1109/43.159995}, doi = {10.1109/43.159995}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SarrafzadehW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NatarajanSHS92, author = {Sivakumar Natarajan and Naveed A. Sherwani and Nancy D. Holmes and Majid Sarrafzadeh}, editor = {Daniel G. Schweikert}, title = {Over-the-Cell Channel Routing for High Performance Circuits}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {600--603}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149639}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NatarajanSHS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WuSHS92, author = {Bo Wu and Naveed A. Sherwani and Nancy D. Holmes and Majid Sarrafzadeh}, editor = {Daniel G. Schweikert}, title = {Over-the-Cell Routers for New Cell Model}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {604--607}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149640}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WuSHS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenS92, author = {De{-}Sheng Chen and Majid Sarrafzadeh}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {A wire-length minimization algorithm for single-layer layouts}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {390--393}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279340}, doi = {10.1109/ICCAD.1992.279340}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/SarrafzadehWWW92, author = {Majid Sarrafzadeh and Dorothea Wagner and Frank Wagner and Karsten Weihe}, editor = {Toshihide Ibaraki and Yasuyoshi Inagaki and Kazuo Iwama and Takao Nishizeki and Masafumi Yamashita}, title = {Wiring Knock-Knee Layouts: {A} Global Appoach}, booktitle = {Algorithms and Computation, Third International Symposium, {ISAAC} '92, Nagoya, Japan, December 16-18, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {650}, pages = {388--399}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-56279-6\_91}, doi = {10.1007/3-540-56279-6\_91}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/isaac/SarrafzadehWWW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/SarrafzadehL92, author = {Majid Sarrafzadeh and D. T. Lee}, editor = {Toshihide Ibaraki and Yasuyoshi Inagaki and Kazuo Iwama and Takao Nishizeki and Masafumi Yamashita}, title = {Rstricted Track Assignment with Applications}, booktitle = {Algorithms and Computation, Third International Symposium, {ISAAC} '92, Nagoya, Japan, December 16-18, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {650}, pages = {449--458}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-56279-6\_97}, doi = {10.1007/3-540-56279-6\_97}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isaac/SarrafzadehL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChiangSW91, author = {Charles C. Chiang and Majid Sarrafzadeh and C. K. Wong}, title = {An optimal algorithm for rectilinear steiner trees for channels with obstacles}, journal = {Int. J. Circuit Theory Appl.}, volume = {19}, number = {6}, pages = {551--563}, year = {1991}, url = {https://doi.org/10.1002/cta.4490190604}, doi = {10.1002/CTA.4490190604}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChiangSW91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/Sarrafzadeh91, author = {Majid Sarrafzadeh}, title = {Tree placement in Cascode-Switch macros}, journal = {Integr.}, volume = {11}, number = {2}, pages = {127--139}, year = {1991}, url = {https://doi.org/10.1016/0167-9260(91)90015-D}, doi = {10.1016/0167-9260(91)90015-D}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/Sarrafzadeh91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/HuangS91, author = {Y. M. Huang and Majid Sarrafzadeh}, title = {A Parallel Algorithm for Minimum Dual-Cover with Application to {CMOS} Layout}, journal = {J. Circuits Syst. Comput.}, volume = {1}, number = {2}, pages = {177--204}, year = {1991}, url = {https://doi.org/10.1142/S0218126691000045}, doi = {10.1142/S0218126691000045}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/HuangS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/BilardiHS91, author = {Gianfranco Bilardi and Scot W. Hornick and Majid Sarrafzadeh}, title = {Optimal {VLSI} architectures for multidimensional {DFT} (preliminary version)}, journal = {{SIGARCH} Comput. Archit. News}, volume = {19}, number = {1}, pages = {45--52}, year = {1991}, url = {https://doi.org/10.1145/121956.121961}, doi = {10.1145/121956.121961}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/BilardiHS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SarrafzadehL91, author = {Majid Sarrafzadeh and D. T. Lee}, title = {Topological Via Minimization Revisited}, journal = {{IEEE} Trans. Computers}, volume = {40}, number = {11}, pages = {1307--1312}, year = {1991}, url = {https://doi.org/10.1109/12.102839}, doi = {10.1109/12.102839}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SarrafzadehL91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiaoS91, author = {Kuo{-}Feng Liao and Majid Sarrafzadeh}, title = {Boundary single-layer routing with movable terminals}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {11}, pages = {1382--1391}, year = {1991}, url = {https://doi.org/10.1109/43.97617}, doi = {10.1109/43.97617}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiaoS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HolmesSS91, author = {Nancy D. Holmes and Naveed A. Sherwani and Majid Sarrafzadeh}, editor = {A. Richard Newton}, title = {New Algorithm for Over-the-Cell Channel Routing Using Vacant Terminals}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {126--131}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127642}, doi = {10.1145/127601.127642}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HolmesSS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HolmesSS91, author = {Nancy D. Holmes and Naveed A. Sherwani and Majid Sarrafzadeh}, title = {Algorithms for Three-Layer Over-The-Cell Channel Routing}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {428--431}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185295}, doi = {10.1109/ICCAD.1991.185295}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HolmesSS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Marek-SadowskaS91, author = {Malgorzata Marek{-}Sadowska and Majid Sarrafzadeh}, title = {The Crossing Distribution Problem}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {528--531}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185323}, doi = {10.1109/ICCAD.1991.185323}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Marek-SadowskaS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CongKRSW91, author = {Jason Cong and Andrew B. Kahng and Gabriel Robins and Majid Sarrafzadeh and C. K. Wong}, title = {Performance-Driven Global Routing for Cell Based ICs}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {170--173}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139874}, doi = {10.1109/ICCD.1991.139874}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CongKRSW91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isa/LeeS91, author = {D. T. Lee and Majid Sarrafzadeh}, editor = {Wen{-}Lian Hsu and Richard C. T. Lee}, title = {Maximum Independent Set of a Permutation Graph in \emph{k} Tracks}, booktitle = {{ISA} '91 Algorithms, 2nd International Symposium on Algorithms, Taipei, Republic of China, December 16-18, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {557}, pages = {2--11}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/3-540-54945-5\_43}, doi = {10.1007/3-540-54945-5\_43}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/isa/LeeS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/SuS90, author = {C. C. Su and Majid Sarrafzadeh}, title = {Optimal gate-matrix layout of {CMOS} functional cells}, journal = {Integr.}, volume = {9}, number = {1}, pages = {3--23}, year = {1990}, url = {https://doi.org/10.1016/0167-9260(90)90003-J}, doi = {10.1016/0167-9260(90)90003-J}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/SuS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LiaoLS90, author = {Kuo{-}Feng Liao and D. T. Lee and Majid Sarrafzadeh}, title = {Planar subset of multi-terminal nets}, journal = {Integr.}, volume = {10}, number = {1}, pages = {19--37}, year = {1990}, url = {https://doi.org/10.1016/S0167-9260(05)80033-1}, doi = {10.1016/S0167-9260(05)80033-1}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LiaoLS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/LeeSW90, author = {D. T. Lee and Majid Sarrafzadeh and Ying{-}Fung Wu}, title = {Minimum Cuts for Circular-Arc Graphs}, journal = {{SIAM} J. Comput.}, volume = {19}, number = {6}, pages = {1041--1050}, year = {1990}, url = {https://doi.org/10.1137/0219071}, doi = {10.1137/0219071}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/LeeSW90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BradyS90, author = {Martin L. Brady and Majid Sarrafzadeh}, title = {Stretching a Knock-Knee Layout for Multilayer Wiring}, journal = {{IEEE} Trans. Computers}, volume = {39}, number = {1}, pages = {148--151}, year = {1990}, url = {https://doi.org/10.1109/12.46293}, doi = {10.1109/12.46293}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BradyS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Sarrafzadeh90, author = {Majid Sarrafzadeh}, title = {Area Minimization in a Three-Sided Switchbox by Sliding the Modules}, journal = {{IEEE} Trans. Computers}, volume = {39}, number = {11}, pages = {1395--1403}, year = {1990}, url = {https://doi.org/10.1109/12.61050}, doi = {10.1109/12.61050}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Sarrafzadeh90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HoSVW90, author = {Jan{-}Ming Ho and Majid Sarrafzadeh and Gopalakrishnan Vijayan and Chak{-}Kuen Wong}, title = {Pad minimization for planar routing of multiple power nets}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {4}, pages = {419--426}, year = {1990}, url = {https://doi.org/10.1109/43.45873}, doi = {10.1109/43.45873}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HoSVW90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HoSVW90a, author = {Jan{-}Ming Ho and Majid Sarrafzadeh and Gopalakrishnan Vijayan and Chak{-}Kuen Wong}, title = {Layer assignment for multichip modules}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {12}, pages = {1272--1277}, year = {1990}, url = {https://doi.org/10.1109/43.62772}, doi = {10.1109/43.62772}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HoSVW90a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChiangSW90, author = {Charles C. Chiang and Majid Sarrafzadeh and Chak{-}Kuen Wong}, title = {Global routing based on Steiner min-max trees}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {12}, pages = {1318--1325}, year = {1990}, url = {https://doi.org/10.1109/43.62776}, doi = {10.1109/43.62776}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChiangSW90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HoSS90, author = {Jan{-}Ming Ho and Majid Sarrafzadeh and Atsushi Suzuki}, title = {An Exact Algorithm for Single-Layer Wire-Length Minimization}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {424--427}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129943}, doi = {10.1109/ICCAD.1990.129943}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HoSS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/NagS90, author = {Manbir Nag and Majid Sarrafzadeh}, editor = {Pen{-}Chung Yew}, title = {A Parallel Algorithm for Two-Layer Wirin}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 3: Algorithms and Applications}, pages = {278--285}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/icpp/NagS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/LouSL90, author = {Ruey{-}Der Lou and Majid Sarrafzadeh and D. T. Lee}, editor = {David S. Johnson}, title = {An Optimal Algorithm for the Maximum Two-Chain Problem}, booktitle = {Proceedings of the First Annual {ACM-SIAM} Symposium on Discrete Algorithms, 22-24 January 1990, San Francisco, California, {USA}}, pages = {149--158}, publisher = {{SIAM}}, year = {1990}, url = {http://dl.acm.org/citation.cfm?id=320176.320193}, timestamp = {Thu, 05 Jul 2018 07:28:50 +0200}, biburl = {https://dblp.org/rec/conf/soda/LouSL90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wg/LiaoS90, author = {Kuo{-}Feng Liao and Majid Sarrafzadeh}, editor = {Rolf H. M{\"{o}}hring}, title = {Vertex-Disjoint Trees and Boundary Single-Layer Routing}, booktitle = {Graph-Theoretic Concepts in Computer Science, 16rd International Workshop, {WG} '90, Berlin, Germany, June 20-22, 1990, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {484}, pages = {99--108}, publisher = {Springer}, year = {1990}, url = {https://doi.org/10.1007/3-540-53832-1\_35}, doi = {10.1007/3-540-53832-1\_35}, timestamp = {Fri, 17 Jul 2020 16:12:47 +0200}, biburl = {https://dblp.org/rec/conf/wg/LiaoS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SarrafzadehL89, author = {Majid Sarrafzadeh and D. T. Lee}, title = {A new approach to topological via minimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {8}, pages = {890--900}, year = {1989}, url = {https://doi.org/10.1109/43.31548}, doi = {10.1109/43.31548}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SarrafzadehL89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KatsaggelosKS89, author = {Aggelos K. Katsaggelos and Srikanta P. R. Kumar and Majid Sarrafzadeh}, title = {Parallel processing architectures for iterative image restoration}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '89, Glasgow, Scotland, May 23-26, 1989}, pages = {2544--2547}, publisher = {{IEEE}}, year = {1989}, url = {https://doi.org/10.1109/ICASSP.1989.266986}, doi = {10.1109/ICASSP.1989.266986}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KatsaggelosKS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiangSW89, author = {Charles C. Chiang and Majid Sarrafzadeh and Chak{-}Kuen Wong}, title = {A powerful global router: based on Steiner min-max trees}, booktitle = {1989 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}, pages = {2--5}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICCAD.1989.76892}, doi = {10.1109/ICCAD.1989.76892}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChiangSW89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/BilardiHS89, author = {Gianfranco Bilardi and Scot W. Hornick and Majid Sarrafzadeh}, editor = {Frank Thomson Leighton}, title = {Optimal {VLSI} Architectures for Multidimensional {DFT}}, booktitle = {Proceedings of the {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} '89, Santa Fe, New Mexico, USA, June 18-21, 1989}, pages = {265--272}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/72935.72963}, doi = {10.1145/72935.72963}, timestamp = {Wed, 21 Nov 2018 12:27:44 +0100}, biburl = {https://dblp.org/rec/conf/spaa/BilardiHS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Sarrafzadeh87, author = {Majid Sarrafzadeh}, title = {Hierarchical Approaches to Vlsi Circuit Layout}, school = {University of Illinois Urbana-Champaign, {USA}}, year = {1987}, url = {https://hdl.handle.net/2142/69355}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Sarrafzadeh87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HornickS87, author = {Scot W. Hornick and Majid Sarrafzadeh}, title = {On Problem Transformability in {VLSI}}, journal = {Algorithmica}, volume = {2}, pages = {97--111}, year = {1987}, url = {https://doi.org/10.1007/BF01840352}, doi = {10.1007/BF01840352}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/HornickS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/SarrafzadehP87, author = {Majid Sarrafzadeh and Franco P. Preparata}, title = {A bottom-up layout technique based on two-rectangle routing}, journal = {Integr.}, volume = {5}, number = {3-4}, pages = {231--246}, year = {1987}, url = {https://doi.org/10.1016/0167-9260(87)90017-4}, doi = {10.1016/0167-9260(87)90017-4}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/SarrafzadehP87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/Sarrafzadeh87, author = {Majid Sarrafzadeh}, title = {Channel-Routing Problem in the Knock-Knee Mode Is NP-Complete}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {6}, number = {4}, pages = {503--506}, year = {1987}, url = {https://doi.org/10.1109/TCAD.1987.1270298}, doi = {10.1109/TCAD.1987.1270298}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/Sarrafzadeh87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/MehlhornPS86, author = {Kurt Mehlhorn and Franco P. Preparata and Majid Sarrafzadeh}, title = {Channel Routing in Knock-Knee Mode: Simplified Algorithms and Proofs}, journal = {Algorithmica}, volume = {1}, number = {2}, pages = {213--221}, year = {1986}, url = {https://doi.org/10.1007/BF01840443}, doi = {10.1007/BF01840443}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/MehlhornPS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.