BibTeX records: Fernando Gehm Moraes

download as .bib file

@article{DBLP:journals/access/FaccendaCCM23,
  author       = {Rafael Follmann Faccenda and
                  Gustavo Comar{\'{u}} and
                  Luciano Lores Caimi and
                  Fernando Gehm Moraes},
  title        = {A Comprehensive Framework for Systemic Security Management in NoC-Based
                  Many-Cores},
  journal      = {{IEEE} Access},
  volume       = {11},
  pages        = {131836--131847},
  year         = {2023},
  url          = {https://doi.org/10.1109/ACCESS.2023.3336565},
  doi          = {10.1109/ACCESS.2023.3336565},
  timestamp    = {Sun, 10 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/FaccendaCCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/FaccendaCCM23,
  author       = {Rafael Follmann Faccenda and
                  Gustavo Comar{\'{u}} and
                  Luciano Lores Caimi and
                  Fernando Gehm Moraes},
  title        = {SeMAP - {A} Method to Secure the Communication in NoC-Based Many-Cores},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {5},
  pages        = {42--51},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3277813},
  doi          = {10.1109/MDAT.2023.3277813},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/FaccendaCCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fteda/JuracyGM23,
  author       = {Leonardo Rezende Juracy and
                  Rafael Garibotti and
                  Fernando Gehm Moraes},
  title        = {From {CNN} to {DNN} Hardware Accelerators: {A} Survey on Design, Exploration,
                  Simulation, and Frameworks},
  journal      = {Found. Trends Electron. Des. Autom.},
  volume       = {13},
  number       = {4},
  pages        = {270--344},
  year         = {2023},
  url          = {https://doi.org/10.1561/1000000060},
  doi          = {10.1561/1000000060},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/fteda/JuracyGM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/JuracyAM23,
  author       = {Leonardo Rezende Juracy and
                  Alexandre M. Amory and
                  Fernando Moraes},
  title        = {A Comprehensive Evaluation of Convolutional Hardware Accelerators},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {70},
  number       = {3},
  pages        = {1149--1153},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSII.2022.3223925},
  doi          = {10.1109/TCSII.2022.3223925},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/JuracyAM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FaccendaCCM23,
  author       = {Rafael Follmann Faccenda and
                  Gustavo Comar{\'{u}} and
                  Luciano Lores Caimi and
                  Fernando Gehm Moraes},
  title        = {Lightweight Authentication for Secure {IO} Communication in NoC-based
                  Many-cores},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10181962},
  doi          = {10.1109/ISCAS46773.2023.10181962},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FaccendaCCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/PereiraLMOCMG23,
  author       = {Eduardo Pereira and
                  Lucas Luza and
                  Nicolas Moura and
                  Luciano Ost and
                  Ney Calazans and
                  Fernando Gehm Moraes and
                  Rafael Garibotti},
  title        = {Assessment of Communication Protocols' Latency in Co-processing Robotic
                  Systems},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198085},
  doi          = {10.1109/NEWCAS57931.2023.10198085},
  timestamp    = {Tue, 15 Aug 2023 11:43:59 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/PereiraLMOCMG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ComaruFCM23,
  author       = {Gustavo Comar{\'{u}} and
                  Rafael Follmann Faccenda and
                  Luciano Lores Caimi and
                  Fernando Gehm Moraes},
  title        = {Secure Network Interface for Protecting {IO} Communication in Many-cores},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261655},
  doi          = {10.1109/SBCCI60457.2023.10261655},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ComaruFCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GewehrM23,
  author       = {Carlos Gabriel de Araujo Gewehr and
                  Fernando Gehm Moraes},
  title        = {Improving the Efficiency of Cryptography Algorithms on Resource-Constrained
                  Embedded Systems via {RISC-V} Instruction Set Extensions},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261964},
  doi          = {10.1109/SBCCI60457.2023.10261964},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GewehrM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MouraLPCOMG23,
  author       = {Nicolas Moura and
                  Joaquim Lucena and
                  Eduardo Pereira and
                  Ney Calazans and
                  Luciano Ost and
                  Fernando Moraes and
                  Rafael Garibotti},
  title        = {Assessment of Lightweight Cryptography Algorithms on {ARM} Cortex-M
                  Processors},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261962},
  doi          = {10.1109/SBCCI60457.2023.10261962},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MouraLPCOMG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NunesSMMC23,
  author       = {Willian Analdo Nunes and
                  Marcos Luiggi Lemos Sartori and
                  Matheus Trevisan Moreira and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {Validating an Automated Asynchronous Synthesis Environment with a
                  Challenging Design: {RISC-V}},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261656},
  doi          = {10.1109/SBCCI60457.2023.10261656},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NunesSMMC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbesc/ReuschJM23,
  author       = {Rafael Schild Reusch and
                  Leonardo Rezende Juracy and
                  Fernando Gehm Moraes},
  title        = {Deploying Machine Learning in Resource-Constrained Devices for Human
                  Activity Recognition},
  booktitle    = {{XIII} Brazilian Symposium on Computing Systems Engineering, {SBESC}
                  2023, Porto Alegre, Brazil, November 21-24, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBESC60926.2023.10324073},
  doi          = {10.1109/SBESC60926.2023.10324073},
  timestamp    = {Sat, 02 Dec 2023 14:05:35 +0100},
  biburl       = {https://dblp.org/rec/conf/sbesc/ReuschJM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbesc/WeberZM23,
  author       = {Ia{\c{c}}an{\~{a}} I. Weber and
                  Vitor Balbinot Zanini and
                  Fernando Gehm Moraes},
  title        = {{FLEA} - FIT-Aware Heuristic for Application Allocation in Many-Cores
                  based on Q-Learning},
  booktitle    = {{XIII} Brazilian Symposium on Computing Systems Engineering, {SBESC}
                  2023, Porto Alegre, Brazil, November 21-24, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBESC60926.2023.10324296},
  doi          = {10.1109/SBESC60926.2023.10324296},
  timestamp    = {Sat, 02 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbesc/WeberZM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MoraesT22,
  author       = {Fernando Gehm Moraes and
                  Frank Sill Torres},
  title        = {Guest Editors' Introduction: {SBCCI} 2020},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {2},
  pages        = {5--6},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3140270},
  doi          = {10.1109/MDAT.2022.3140270},
  timestamp    = {Fri, 01 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/MoraesT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/JuracyAM22,
  author       = {Leonardo Rezende Juracy and
                  Alexandre de Morais Amory and
                  Fernando Gehm Moraes},
  title        = {A Fast, Accurate, and Comprehensive {PPA} Estimation of Convolutional
                  Hardware Accelerators},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {69},
  number       = {12},
  pages        = {5171--5184},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSI.2022.3204932},
  doi          = {10.1109/TCSI.2022.3204932},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/JuracyAM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/DalzottoBRM22,
  author       = {Angelo Elias Dalzotto and
                  Caroline da Silva Borges and
                  Marcelo Ruaro and
                  Fernando Gehm Moraes},
  title        = {Leveraging NoC-based Many-core Performance Through Runtime Mapping
                  Defragmentation},
  booktitle    = {29th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICECS202256217.2022.9970841},
  doi          = {10.1109/ICECS202256217.2022.9970841},
  timestamp    = {Fri, 23 Dec 2022 17:47:32 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/DalzottoBRM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/DominguesFAOM22,
  author       = {Anderson R. P. Domingues and
                  Sergio Johann Filho and
                  Alexandre de Morais Amory and
                  Luciano Ost and
                  Fernando Gehm Moraes},
  title        = {Design-Time Scheduling of Periodic, Hard Real-Time Flows for NoC-based
                  Systems},
  booktitle    = {29th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICECS202256217.2022.9970868},
  doi          = {10.1109/ICECS202256217.2022.9970868},
  timestamp    = {Fri, 23 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/DominguesFAOM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SilvaWMM22,
  author       = {Alzemiro Henrique Lucas da Silva and
                  Ia{\c{c}}an{\~{a}} I. Weber and
                  Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Fernando Gehm Moraes},
  title        = {Reliability Assessment of Many-Core Dynamic Thermal Management},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {1590--1594},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9937286},
  doi          = {10.1109/ISCAS48785.2022.9937286},
  timestamp    = {Thu, 17 Nov 2022 15:59:17 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SilvaWMM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DominguesFAM22,
  author       = {Anderson R. P. Domingues and
                  Sergio Johann Filho and
                  Alexandre de Morais Amory and
                  Fernando Gehm Moraes},
  title        = {Design-Time Analysis of Real-Time Traffic for Networks-on-Chip using
                  Constraint Models},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893222},
  doi          = {10.1109/SBCCI55532.2022.9893222},
  timestamp    = {Thu, 06 Oct 2022 22:35:09 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DominguesFAM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FaccendaCCM22,
  author       = {Rafael Follmann Faccenda and
                  Gustavo Comar{\'{u}} and
                  Luciano Lores Caimi and
                  Fernando Gehm Moraes},
  title        = {Secure Communication with Peripherals in NoC-based Many-cores},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893244},
  doi          = {10.1109/SBCCI55532.2022.9893244},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FaccendaCCM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberDM22,
  author       = {Iacana Ianiski Weber and
                  Angelo Elias Dalzotto and
                  Fernando Gehm Moraes},
  title        = {A High-level Model to Leverage NoC-based Many-core Research},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893235},
  doi          = {10.1109/SBCCI55532.2022.9893235},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberDM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbesc/DalzottoBRM22,
  author       = {Angelo Elias Dalzotto and
                  Caroline da Silva Borges and
                  Marcelo Ruaro and
                  Fernando Gehm Moraes},
  title        = {Non-intrusive Monitoring Framework for NoC-based Many-Cores},
  booktitle    = {{XII} Brazilian Symposium on Computing Systems Engineering, {SBESC}
                  2022, Fortaleza, CE, Brazil, November 21-24, 2022},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBESC56799.2022.9965177},
  doi          = {10.1109/SBESC56799.2022.9965177},
  timestamp    = {Fri, 09 Dec 2022 16:46:51 +0100},
  biburl       = {https://dblp.org/rec/conf/sbesc/DalzottoBRM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbesc/ReuschJM22,
  author       = {Rafael Schild Reusch and
                  Leonardo Rezende Juracy and
                  Fernando Gehm Moraes},
  title        = {Assessment and Optimization of 1D {CNN} Model for Human Activity Recognition},
  booktitle    = {{XII} Brazilian Symposium on Computing Systems Engineering, {SBESC}
                  2022, Fortaleza, CE, Brazil, November 21-24, 2022},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBESC56799.2022.9964520},
  doi          = {10.1109/SBESC56799.2022.9964520},
  timestamp    = {Fri, 09 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbesc/ReuschJM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/FaccendaCM21,
  author       = {Rafael Follmann Faccenda and
                  Luciano L. Caimi and
                  Fernando Gehm Moraes},
  title        = {Detection and Countermeasures of Security Attacks and Faults on NoC-Based
                  Many-Cores},
  journal      = {{IEEE} Access},
  volume       = {9},
  pages        = {153142--153152},
  year         = {2021},
  url          = {https://doi.org/10.1109/ACCESS.2021.3127468},
  doi          = {10.1109/ACCESS.2021.3127468},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/FaccendaCM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/SilvaWMM21,
  author       = {Alzemiro Lucas da Silva and
                  Iacana Ianiski Weber and
                  Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Fernando Gehm Moraes},
  title        = {Hardware Accelerator for Runtime Temperature Estimation in Many-Cores},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {4},
  pages        = {62--69},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2021.3068914},
  doi          = {10.1109/MDAT.2021.3068914},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/SilvaWMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/SantAnaMM21,
  author       = {Anderson Camargo Sant'Ana and
                  Henrique Martins Medina and
                  Fernando Gehm Moraes},
  title        = {Security Vulnerabilities and Countermeasures in MPSoCs},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {4},
  pages        = {70--77},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2021.3049710},
  doi          = {10.1109/MDAT.2021.3049710},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/SantAnaMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AbichGBRGBM00O21,
  author       = {Geancarlo Abich and
                  Rafael Garibotti and
                  Vitor V. Bandeira and
                  Felipe da Rosa and
                  Jonas Gava and
                  Felipe T. Bortolon and
                  Guilherme Medeiros and
                  Fernando Moraes and
                  Ricardo Reis and
                  Luciano Ost},
  title        = {Evaluation of the soft error assessment consistency of a JIT-based
                  virtual platform simulator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {15},
  number       = {2},
  pages        = {125--142},
  year         = {2021},
  url          = {https://doi.org/10.1049/cdt2.12017},
  doi          = {10.1049/CDT2.12017},
  timestamp    = {Thu, 13 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AbichGBRGBM00O21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/JuracyMAHM21,
  author       = {Leonardo Rezende Juracy and
                  Matheus Trevisan Moreira and
                  Alexandre de Morais Amory and
                  Alexandre F. Hampel and
                  Fernando Gehm Moraes},
  title        = {A High-Level Modeling Framework for Estimating Hardware Metrics of
                  {CNN} Accelerators},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {68},
  number       = {11},
  pages        = {4783--4795},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSI.2021.3104644},
  doi          = {10.1109/TCSI.2021.3104644},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/JuracyMAHM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/DalzottoREM21,
  author       = {Angelo Elias Dalzotto and
                  Marcelo Ruaro and
                  Leonardo Vian Erthal and
                  Fernando Gehm Moraes},
  title        = {Dynamic Mapping for Many-cores using Management Application Organization},
  booktitle    = {28th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2021, Dubai, United Arab Emirates, November 28 -
                  Dec. 1, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICECS53924.2021.9665547},
  doi          = {10.1109/ICECS53924.2021.9665547},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/DalzottoREM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SilvaWMM21,
  author       = {Alzemiro Henrique Lucas da Silva and
                  Ia{\c{c}}an{\~{a}} I. Weber and
                  Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Fernando Gehm Moraes},
  title        = {Dynamic Thermal Management in Many-Core Systems Leveraged by Abstract
                  Modeling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021,
                  Daegu, South Korea, May 22-28, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCAS51556.2021.9401414},
  doi          = {10.1109/ISCAS51556.2021.9401414},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SilvaWMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/JuracyMAM21,
  author       = {Leonardo Rezende Juracy and
                  Matheus T. Moreira and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {A TensorFlow and System Simulator Integration Approach to Estimate
                  Hardware Metrics of Convolution Accelerators},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459183},
  doi          = {10.1109/LASCAS51355.2021.9459183},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/JuracyMAM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/LopesWMM21,
  author       = {Geaninne Lopes and
                  Ia{\c{c}}an{\~{a}} I. Weber and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes},
  title        = {Chronos: An Abstract NoC-based Manycore with Preserved Temporal and
                  Spatial Traffic Distribution},
  booktitle    = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2021, Arequipa, Peru, February 21-24, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/LASCAS51355.2021.9459124},
  doi          = {10.1109/LASCAS51355.2021.9459124},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/LopesWMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbesc/DominguesBAM21,
  author       = {Anderson R. P. Domingues and
                  Jo{\~{a}}o Benno and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {{ORCA} RT-Bench: {A} Reference Architecture for Real-Time Scheduling
                  Simulators},
  booktitle    = {{XI} Brazilian Symposium on Computing Systems Engineering, {SBESC}
                  2021, Florianopolis, Brazil, November 22-26, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/SBESC53686.2021.9628369},
  doi          = {10.1109/SBESC53686.2021.9628369},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbesc/DominguesBAM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/RuaroCM20,
  author       = {Marcelo Ruaro and
                  Luciano L. Caimi and
                  Fernando Gehm Moraes},
  title        = {A Systemic and Secure {SDN} Framework for NoC-Based Many-Cores},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {105997--106008},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.3000457},
  doi          = {10.1109/ACCESS.2020.3000457},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/RuaroCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/RuaroCM20a,
  author       = {Marcelo Ruaro and
                  Luciano L. Caimi and
                  Fernando Gehm Moraes},
  title        = {SDN-Based Secure Application Admission and Execution for Many-Cores},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {177296--177306},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.3025206},
  doi          = {10.1109/ACCESS.2020.3025206},
  timestamp    = {Tue, 20 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/RuaroCM20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tocs/RuaroSJM21,
  author       = {Marcelo Ruaro and
                  Anderson Camargo Sant'Ana and
                  Axel Jantsch and
                  Fernando Gehm Moraes},
  title        = {Modular and Distributed Management of Many-Core SoCs},
  journal      = {{ACM} Trans. Comput. Syst.},
  volume       = {38},
  number       = {1-2},
  pages        = {1:1--1:16},
  year         = {2020},
  url          = {https://doi.org/10.1145/3458511},
  doi          = {10.1145/3458511},
  timestamp    = {Fri, 18 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tocs/RuaroSJM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EisenkraemerMOC20,
  author       = {Gabriel H. Eisenkraemer and
                  Fernando Gehm Moraes and
                  Leonardo L. de Oliveira and
                  Everton Carara},
  title        = {Lightweight Cryptographic Instruction Set Extension on Xtensa Processor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180579},
  doi          = {10.1109/ISCAS45731.2020.9180579},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EisenkraemerMOC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeberMCMM20,
  author       = {Ia{\c{c}}an{\~{a}} I. Weber and
                  Geaninne Marchezan and
                  Luciano L. Caimi and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes},
  title        = {Open-Source NoC-Based Many-Core for Evaluating Hardware Trojan Detection
                  Methods},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180578},
  doi          = {10.1109/ISCAS45731.2020.9180578},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeberMCMM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RuaroM20,
  author       = {Marcelo Ruaro and
                  Fernando Gehm Moraes},
  title        = {Multiple-objective Management based on a Distributed {SDN} Architecture
                  for Many-cores},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189905},
  doi          = {10.1109/SBCCI50935.2020.9189905},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RuaroM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMM20,
  author       = {Alzemiro Lucas da Silva and
                  Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Fernando Gehm Moraes},
  title        = {Mapping and Migration Strategies for Thermal Management in Many-Core
                  Systems},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189933},
  doi          = {10.1109/SBCCI50935.2020.9189933},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberOCM20,
  author       = {Ia{\c{c}}an{\~{a}} I. Weber and
                  Leonardo Londero de Oliveira and
                  Everton Carara and
                  Fernando Gehm Moraes},
  title        = {Reducing NoC Energy Consumption Exploring Asynchronous End-to-end
                  {GALS} Communication},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189896},
  doi          = {10.1109/SBCCI50935.2020.9189896},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberOCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2007-07829,
  author       = {Leonardo Rezende Juracy and
                  Matheus Trevisan Moreira and
                  Alexandre de Morais Amory and
                  Fernando Gehm Moraes},
  title        = {A Survey of Aging Monitors and Reconfiguration Techniques},
  journal      = {CoRR},
  volume       = {abs/2007.07829},
  year         = {2020},
  url          = {https://arxiv.org/abs/2007.07829},
  eprinttype    = {arXiv},
  eprint       = {2007.07829},
  timestamp    = {Tue, 21 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2007-07829.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/RuaroCFM19,
  author       = {Marcelo Ruaro and
                  Luciano L. Caimi and
                  Vinicius Fochi and
                  Fernando Gehm Moraes},
  title        = {Memphis: a framework for heterogeneous many-core SoCs generation and
                  validation},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {23},
  number       = {3-4},
  pages        = {103--122},
  year         = {2019},
  url          = {https://doi.org/10.1007/s10617-019-09223-4},
  doi          = {10.1007/S10617-019-09223-4},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dafes/RuaroCFM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/MartinsGDM19,
  author       = {Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Rafael Garibotti and
                  Nikil D. Dutt and
                  Fernando Gehm Moraes},
  title        = {The power impact of hardware and software actuators on self-adaptable
                  many-core systems},
  journal      = {J. Syst. Archit.},
  volume       = {97},
  pages        = {42--53},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.sysarc.2019.05.006},
  doi          = {10.1016/J.SYSARC.2019.05.006},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/MartinsGDM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/MartinsSRDM19,
  author       = {Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Alzemiro Henrique Lucas da Silva and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Fernando Gehm Moraes},
  title        = {Hierarchical adaptive Multi-objective resource management for many-core
                  systems},
  journal      = {J. Syst. Archit.},
  volume       = {97},
  pages        = {416--427},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.sysarc.2019.01.006},
  doi          = {10.1016/J.SYSARC.2019.01.006},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/MartinsSRDM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/RuaroJM19,
  author       = {Marcelo Ruaro and
                  Axel Jantsch and
                  Fernando Gehm Moraes},
  title        = {Self-Adaptive QoS Management of Computation and Communication Resources
                  in Many-Core SoCs},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {18},
  number       = {4},
  pages        = {37:1--37:21},
  year         = {2019},
  url          = {https://doi.org/10.1145/3328755},
  doi          = {10.1145/3328755},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/RuaroJM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/CaimiM19,
  author       = {Luciano L. Caimi and
                  Fernando Gehm Moraes},
  title        = {Security in Many-Core SoCs Leveraged by Opaque Secure Zones},
  booktitle    = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019,
                  Miami, FL, USA, July 15-17, 2019},
  pages        = {471--476},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISVLSI.2019.00091},
  doi          = {10.1109/ISVLSI.2019.00091},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/CaimiM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/RuaroCFM19,
  author       = {Marcelo Ruaro and
                  Luciano L. Caimi and
                  Vinicius Fochi and
                  Fernando Gehm Moraes},
  editor       = {Roberto S. Murphy},
  title        = {A Framework for Heterogeneous Many-core SoCs Generation},
  booktitle    = {10th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2019, Armenia, Colombia, February 24-27, 2019},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/LASCAS.2019.8667590},
  doi          = {10.1109/LASCAS.2019.8667590},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/RuaroCFM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/RuaroVJM19,
  author       = {Marcelo Ruaro and
                  Nedison Velloso and
                  Axel Jantsch and
                  Fernando Gehm Moraes},
  editor       = {Paul Bogdan and
                  Cristina Silvano},
  title        = {Distributed {SDN} architecture for NoC-based many-core SoCs},
  booktitle    = {Proceedings of the 13th {IEEE/ACM} International Symposium on Networks-on-Chip,
                  {NOCS} 2019, New York, NY, USA, October 17-18, 2019},
  pages        = {8:1--8:8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3313231.3352361},
  doi          = {10.1145/3313231.3352361},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/RuaroVJM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantAnaMFM19,
  author       = {Anderson Camargo Sant'Ana and
                  Henrique Martins Medina and
                  Kevin Boucinha Fiorentin and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Lightweight security mechanisms for MPSoCs},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339876},
  doi          = {10.1145/3338852.3339876},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantAnaMFM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMM19,
  author       = {Alzemiro Henrique Lucas da Silva and
                  Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Fine-grain temperature monitoring for many-core systems},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339841},
  doi          = {10.1145/3338852.3339841},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KorolM19,
  author       = {Guilherme Korol and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {A {FPGA} parameterizable multi-layer architecture for CNNs},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {30},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339840},
  doi          = {10.1145/3338852.3339840},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KorolM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraNOFHCM19,
  author       = {Luiz Carlos Moreira and
                  Jos{\'{e}} Fontebasso Neto and
                  Walter Silva Oliveira and
                  Thiago Ferauche and
                  Guilherme Heck and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {An {IR-UWB} pulse generator using {PAM} modulation with adaptive {PSD}
                  in 130nm {CMOS} process},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {34},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339860},
  doi          = {10.1145/3338852.3339860},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraNOFHCM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/WachterFBAM18,
  author       = {Eduardo W{\"{a}}chter and
                  Vinicius Fochi and
                  Francisco F. S. Barreto and
                  Alexandre M. Amory and
                  Fernando Moraes},
  title        = {A Hierarchical and Distributed Fault Tolerant Proposal for NoC-Based
                  MPSoCs},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {6},
  number       = {4},
  pages        = {524--537},
  year         = {2018},
  url          = {https://doi.org/10.1109/TETC.2016.2593640},
  doi          = {10.1109/TETC.2016.2593640},
  timestamp    = {Fri, 15 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/WachterFBAM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MarchesanWCWMCO18,
  author       = {Gr{\'{e}}gory C. Marchesan and
                  Nelson R. Weirich and
                  Eduardo C. Culau and
                  Iacana Ianiski Weber and
                  Fernando Gehm Moraes and
                  Everton Carara and
                  Leonardo Londero de Oliveira},
  title        = {Exploring {RSA} Performance up to 4096-bit for Fast Security Processing
                  on a Flexible Instruction Set Architecture Processor},
  booktitle    = {25th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2018, Bordeaux, France, December 9-12, 2018},
  pages        = {757--760},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICECS.2018.8617840},
  doi          = {10.1109/ICECS.2018.8617840},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MarchesanWCWMCO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/CaimiFM18,
  author       = {Luciano L. Caimi and
                  Vinicius Fochi and
                  Fernando Gehm Moraes},
  title        = {Secure Admission of Applications in Many-cores},
  booktitle    = {25th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2018, Bordeaux, France, December 9-12, 2018},
  pages        = {761--764},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICECS.2018.8618021},
  doi          = {10.1109/ICECS.2018.8618021},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/CaimiFM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/HamerskiDMA18,
  author       = {Jean Carlo Hamerski and
                  Anderson R. P. Domingues and
                  Fernando Gehm Moraes and
                  Alexandre M. Amory},
  title        = {Evaluating Serialization for a Publish-Subscribe Based Middleware
                  for MPSoCs},
  booktitle    = {25th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2018, Bordeaux, France, December 9-12, 2018},
  pages        = {773--776},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICECS.2018.8618003},
  doi          = {10.1109/ICECS.2018.8618003},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/HamerskiDMA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BortolonABRMO18,
  author       = {Felipe T. Bortolon and
                  Geancarlo Abich and
                  Sergio Bampi and
                  Ricardo Reis and
                  Fernando Moraes and
                  Luciano Ost},
  title        = {Exploring the Impact of Soft Errors on NoC-based Multiprocessor Systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351391},
  doi          = {10.1109/ISCAS.2018.8351391},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BortolonABRMO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JuracyMKMA18,
  author       = {Leonardo Rezende Juracy and
                  Matheus T. Moreira and
                  Felipe A. Kuentzer and
                  Fernando Gehm Moraes and
                  Alexandre M. Amory},
  title        = {An {LSSD} Compliant Scan Cell for Flip-Flops},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351515},
  doi          = {10.1109/ISCAS.2018.8351515},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JuracyMKMA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuaroMAM18,
  author       = {Marcelo Ruaro and
                  Henrique Martins Medina and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {Software-Defined Networking Architecture for NoC-based Many-Cores},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351830},
  doi          = {10.1109/ISCAS.2018.8351830},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuaroMAM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/CaimiFWM18,
  author       = {Luciano L. Caimi and
                  Vinicius Fochi and
                  Eduardo W{\"{a}}chter and
                  Fernando Gehm Moraes},
  title        = {Runtime creation of continuous secure zones in many-core systems for
                  secure applications},
  booktitle    = {9th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2018, Puerto Vallarta, Mexico, February 25-28, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/LASCAS.2018.8399904},
  doi          = {10.1109/LASCAS.2018.8399904},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/CaimiFWM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/OliveiraRMM18,
  author       = {Bruno S. Oliveira and
                  Rafael Schild Reusch and
                  Henrique Martins Medina and
                  Fernando Moraes},
  title        = {Evaluating the cost to cipher the NoC communication},
  booktitle    = {9th {IEEE} Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2018, Puerto Vallarta, Mexico, February 25-28, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/LASCAS.2018.8399914},
  doi          = {10.1109/LASCAS.2018.8399914},
  timestamp    = {Fri, 09 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lascas/OliveiraRMM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FochiCSM18,
  author       = {Vinicius Fochi and
                  Luciano L. Caimi and
                  Marcelo H. da Silva and
                  Fernando Gehm Moraes},
  title        = {Fault-Tolerance at the Management Level in Many-Core Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533249},
  doi          = {10.1109/SBCCI.2018.8533249},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FochiCSM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraMSM18,
  author       = {Bruno Scherer Oliveira and
                  Henrique Martins Medina and
                  Anderson C. Sant'Ana and
                  Fernando Gehm Moraes},
  title        = {Secure Environment Architecture for MPSoCs},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533238},
  doi          = {10.1109/SBCCI.2018.8533238},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraMSM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberMOC18,
  author       = {Ia{\c{c}}an{\~{a}} I. Weber and
                  Fernando Gehm Moraes and
                  Leonardo L. de Oliveira and
                  Everton Alceu Carara},
  title        = {Exploring Asynchronous End-to-End Communication Through a Synchronous
                  NoC},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533228},
  doi          = {10.1109/SBCCI.2018.8533228},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberMOC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/concurrency/DuenhaMMA17,
  author       = {Liana Dessandre Duenha and
                  Guilherme A. Madalozzo and
                  Fernando Gehm Moraes and
                  Rodolfo Azevedo},
  title        = {Exploiting performance, dynamic power and energy scaling in full-system
                  simulators},
  journal      = {Concurr. Comput. Pract. Exp.},
  volume       = {29},
  number       = {22},
  year         = {2017},
  url          = {https://doi.org/10.1002/cpe.4034},
  doi          = {10.1002/CPE.4034},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/concurrency/DuenhaMMA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/MartinsRSM17,
  author       = {Andre L. M. Martins and
                  Marcelo Ruaro and
                  Anderson C. Sant'Ana and
                  Fernando Gehm Moraes},
  title        = {Distributed Runtime Energy Management for Many-Core Systems Running
                  Real-Time Applications},
  journal      = {J. Low Power Electron.},
  volume       = {13},
  number       = {3},
  pages        = {402--418},
  year         = {2017},
  url          = {https://doi.org/10.1166/jolpe.2017.1502},
  doi          = {10.1166/JOLPE.2017.1502},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/MartinsRSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/WachterCFMM17,
  author       = {Eduardo W{\"{a}}chter and
                  Luciano L. Caimi and
                  Vinicius Fochi and
                  Daniel Munhoz and
                  Fernando Gehm Moraes},
  title        = {BrNoC: {A} broadcast NoC for control messages in many-core systems},
  journal      = {Microelectron. J.},
  volume       = {68},
  pages        = {69--77},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.mejo.2017.08.010},
  doi          = {10.1016/J.MEJO.2017.08.010},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mj/WachterCFMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/JuracyLPCM17,
  author       = {Leonardo Rezende Juracy and
                  Felipe B. Lazzarotto and
                  Daniel V. Pigatto and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {{XGT4:} An industrial grade, open source tester for multi-gigabit
                  networks},
  booktitle    = {24th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017},
  pages        = {252--255},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICECS.2017.8292042},
  doi          = {10.1109/ICECS.2017.8292042},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/JuracyLPCM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaimiFWMM17,
  author       = {Luciano L. Caimi and
                  Vinicius Fochi and
                  Eduardo W{\"{a}}chter and
                  Daniel Munhoz and
                  Fernando Gehm Moraes},
  title        = {Activation of secure zones in many-core systems with dynamic rerouting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050256},
  doi          = {10.1109/ISCAS.2017.8050256},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaimiFWMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartinsRSM17,
  author       = {Andre L. M. Martins and
                  Marcelo Ruaro and
                  Anderson C. Sant'Ana and
                  Fernando Gehm Moraes},
  title        = {Runtime energy management under real-time constraints in MPSoCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050947},
  doi          = {10.1109/ISCAS.2017.8050947},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartinsRSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuaroM17,
  author       = {Marcelo Ruaro and
                  Fernando Gehm Moraes},
  title        = {Demystifying the cost of task migration in distributed memory many-core
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050257},
  doi          = {10.1109/ISCAS.2017.8050257},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuaroM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/RuaroMM17,
  author       = {Marcelo Ruaro and
                  Henrique Martins Medina and
                  Fernando Gehm Moraes},
  title        = {SDN-Based Circuit-Switching for Many-Cores},
  booktitle    = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017,
                  Bochum, Germany, July 3-5, 2017},
  pages        = {385--390},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISVLSI.2017.74},
  doi          = {10.1109/ISVLSI.2017.74},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/RuaroMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CaimiFWMM17,
  author       = {Luciano L. Caimi and
                  Vinicius Fochi and
                  Eduardo W{\"{a}}chter and
                  Daniel Munhoz and
                  Fernando Gehm Moraes},
  editor       = {Jarbas A. N. Silveira},
  title        = {Secure admission and execution of applications in many-core systems},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {65--71},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110015},
  doi          = {10.1145/3109984.3110015},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CaimiFWMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BortolonM17,
  author       = {Felipe T. Bortolon and
                  Fernando Gehm Moraes},
  editor       = {Jarbas A. N. Silveira},
  title        = {Hardware and software infrastructure to implement many-core systems
                  in modern FPGAs},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {79--83},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109997},
  doi          = {10.1145/3109984.3109997},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BortolonM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BortolonMMB17,
  author       = {Felipe T. Bortolon and
                  Fernando Gehm Moraes and
                  Matheus T. Moreira and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {Estimation methods for static noise margins in {CMOS} subthreshold
                  logic circuits},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {90--95},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109998},
  doi          = {10.1145/3109984.3109998},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BortolonMMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/FochiCRWM17,
  author       = {Vinicius Fochi and
                  Luciano L. Caimi and
                  Marcelo Ruaro and
                  Eduardo W{\"{a}}chter and
                  Fernando Gehm Moraes},
  editor       = {Massimo Alioto and
                  Hai Helen Li and
                  J{\"{u}}rgen Becker and
                  Ulf Schlichtmann and
                  Ramalingam Sridhar},
  title        = {System management recovery protocol for MPSoCs},
  booktitle    = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017,
                  Munich, Germany, September 5-8, 2017},
  pages        = {367--374},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SOCC.2017.8226080},
  doi          = {10.1109/SOCC.2017.8226080},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/FochiCRWM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/DuenhaMSMA16,
  author       = {Liana Dessandre Duenha and
                  Guilherme A. Madalozzo and
                  Thiago Santiago and
                  Fernando Moraes and
                  Rodolfo Azevedo},
  title        = {MPSoCBench: {A} benchmark for high-level evaluation of multiprocessor
                  system-on-chip tools and methodologies},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {95},
  pages        = {138--157},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.jpdc.2016.03.009},
  doi          = {10.1016/J.JPDC.2016.03.009},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jpdc/DuenhaMSMA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/CastilhosMOM16,
  author       = {Guilherme M. Castilhos and
                  Marcelo Mandelli and
                  Luciano Ost and
                  Fernando Gehm Moraes},
  title        = {Hierarchical energy monitoring for task mapping in many-core systems},
  journal      = {J. Syst. Archit.},
  volume       = {63},
  pages        = {80--92},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.sysarc.2016.01.005},
  doi          = {10.1016/J.SYSARC.2016.01.005},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jsa/CastilhosMOM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/RuaroM16,
  author       = {Marcelo Ruaro and
                  Fernando Gehm Moraes},
  editor       = {Ayse K. Coskun and
                  Martin Margala and
                  Laleh Behjat and
                  Jie Han},
  title        = {Dynamic Real-Time Scheduler for Large-Scale MPSoCs},
  booktitle    = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016},
  pages        = {341--346},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2902961.2903027},
  doi          = {10.1145/2902961.2903027},
  timestamp    = {Wed, 10 Mar 2021 14:55:38 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/RuaroM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MartinsSM16,
  author       = {Andre L. M. Martins and
                  Anderson C. Sant'Ana and
                  Fernando Gehm Moraes},
  title        = {Runtime energy management for many-core systems},
  booktitle    = {2016 {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2016, Monte Carlo, Monaco, December 11-14, 2016},
  pages        = {380--383},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICECS.2016.7841212},
  doi          = {10.1109/ICECS.2016.7841212},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MartinsSM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MadalozzoDAM16,
  author       = {Guilherme A. Madalozzo and
                  Liana Dessandre Duenha and
                  Rodolfo Azevedo and
                  Fernando Gehm Moraes},
  title        = {Scalability evaluation in many-core systems due to the memory organization},
  booktitle    = {2016 {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2016, Monte Carlo, Monaco, December 11-14, 2016},
  pages        = {396--399},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICECS.2016.7841216},
  doi          = {10.1109/ICECS.2016.7841216},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MadalozzoDAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RuaroCRAM16,
  author       = {Marcelo Ruaro and
                  Henrique Chamorra and
                  Felipe Rubin and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {A data extraction and debugging framework for large-scale MPSoCs},
  booktitle    = {2016 {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2016, Monte Carlo, Monaco, December 11-14, 2016},
  pages        = {616--619},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICECS.2016.7841277},
  doi          = {10.1109/ICECS.2016.7841277},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RuaroCRAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MadalozzoIM16,
  author       = {Guilherme A. Madalozzo and
                  Leandro Soares Indrusiak and
                  Fernando Gehm Moraes},
  title        = {Mapping of real-time applications on a packet switching NoC-based
                  MPSoC},
  booktitle    = {2016 {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2016, Monte Carlo, Monaco, December 11-14, 2016},
  pages        = {640--643},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICECS.2016.7841283},
  doi          = {10.1109/ICECS.2016.7841283},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MadalozzoIM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/AbichMRMOR16,
  author       = {Geancarlo Abich and
                  Marcelo G. Mandelli and
                  Felipe R. Rosa and
                  Fernando Gehm Moraes and
                  Luciano Ost and
                  Ricardo Reis},
  title        = {Extending FreeRTOS to support dynamic and distributed mapping in multiprocessor
                  systems},
  booktitle    = {2016 {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2016, Monte Carlo, Monaco, December 11-14, 2016},
  pages        = {712--715},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICECS.2016.7841301},
  doi          = {10.1109/ICECS.2016.7841301},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/AbichMRMOR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuaroLMM16,
  author       = {Marcelo Ruaro and
                  Felipe B. Lazzarotto and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes},
  title        = {{DMNI:} {A} specialized network interface for NoC-based MPSoCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1202--1205},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527462},
  doi          = {10.1109/ISCAS.2016.7527462},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuaroLMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerreiraSSCWMM16,
  author       = {Joao Marcelo Ferreira and
                  Jarbas Silveira and
                  Jardel Silveira and
                  Rodrigo Cataldo and
                  Thais Webber and
                  Fernando Gehm Moraes and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Efficient traffic balancing for NoC routing latency minimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2599--2602},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539125},
  doi          = {10.1109/ISCAS.2016.7539125},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FerreiraSSCWMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/WachterBFAM16,
  author       = {Eduardo W{\"{a}}chter and
                  Francisco F. S. Barreto and
                  Vinicius Fochi and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {A layered approach for fault tolerant NoC-based MPSoCs - Special session:
                  Dependable MPSoCs},
  booktitle    = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil,
                  April 6-8, 2016},
  pages        = {189--194},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/LATW.2016.7483367},
  doi          = {10.1109/LATW.2016.7483367},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/WachterBFAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CastilhosMO16,
  author       = {Guilherme M. Castilhos and
                  Fernando Gehm Moraes and
                  Luciano Ost},
  title        = {A lightweight software-based runtime temperature monitoring model
                  for multiprocessor embedded systems},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724040},
  doi          = {10.1109/SBCCI.2016.7724040},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CastilhosMO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/MoreiraAMC15,
  author       = {Matheus Trevisan Moreira and
                  Michel Evandro Arendt and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {Static Differential {NCL} Gates: Toward Low Power},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {62-II},
  number       = {6},
  pages        = {563--567},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSII.2015.2407198},
  doi          = {10.1109/TCSII.2015.2407198},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/MoreiraAMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/RuaroCM15,
  author       = {Marcelo Ruaro and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  title        = {Runtime Adaptive Circuit Switching and Flow Priority in NoC-Based
                  MPSoCs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {6},
  pages        = {1077--1088},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2331135},
  doi          = {10.1109/TVLSI.2014.2331135},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/RuaroCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/RuaroMM15,
  author       = {Marcelo Ruaro and
                  Guilherme A. Madalozzo and
                  Fernando Gehm Moraes},
  title        = {A hierarchical LST-based task scheduler for NoC-based MPSoCs with
                  slack-time monitoring support},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {308--311},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440310},
  doi          = {10.1109/ICECS.2015.7440310},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/RuaroMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SilvaM15,
  author       = {Douglas R. G. Silva and
                  Fernando Gehm Moraes},
  title        = {Differentiation of MPSoCs message classes using multiple NoCs},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {312--315},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440311},
  doi          = {10.1109/ICECS.2015.7440311},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SilvaM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/FernandesOSMM15,
  author       = {Ramon Fernandes and
                  Bruno S. Oliveira and
                  Johanna Sep{\'{u}}lveda and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes},
  title        = {A non-intrusive and reconfigurable access control to secure NoCs},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {316--319},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440312},
  doi          = {10.1109/ICECS.2015.7440312},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/FernandesOSMM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MadalozzoMOM15,
  author       = {Guilherme A. Madalozzo and
                  Marcelo Mandelli and
                  Luciano Ost and
                  Fernando Gehm Moraes},
  title        = {A platform-based design framework to boost many-core software development},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {320--323},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440313},
  doi          = {10.1109/ICECS.2015.7440313},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/MadalozzoMOM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MartinsRM15,
  author       = {Andre L. M. Martins and
                  Marcelo Ruaro and
                  Fernando Gehm Moraes},
  title        = {Hierarchical energy monitoring for many-core systems},
  booktitle    = {2015 {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015},
  pages        = {657--660},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICECS.2015.7440402},
  doi          = {10.1109/ICECS.2015.7440402},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MartinsRM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BarretoAM15,
  author       = {Francisco F. S. Barreto and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {Fault recovery protocol for distributed memory MPSoCs},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {421--424},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7168660},
  doi          = {10.1109/ISCAS.2015.7168660},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BarretoAM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FochiWEAM15,
  author       = {Vinicius Fochi and
                  Eduardo W{\"{a}}chter and
                  Augusto Erichsen and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {An integrated method for implementing online fault detection in NoC-based
                  MPSoCs},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {1562--1565},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7168945},
  doi          = {10.1109/ISCAS.2015.7168945},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FochiWEAM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WachterVM15,
  author       = {Eduardo W{\"{a}}chter and
                  Nicolas Ventroux and
                  Fernando Gehm Moraes},
  title        = {A context saving fault tolerant approach for a shared memory many-core
                  architecture},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {1570--1573},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7168947},
  doi          = {10.1109/ISCAS.2015.7168947},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WachterVM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MandelliOSM15,
  author       = {Marcelo Mandelli and
                  Luciano Ost and
                  Gilles Sassatelli and
                  Fernando Gehm Moraes},
  title        = {Trading-off system load and communication in mapping heuristics for
                  improving NoC-based MPSoCs reliability},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {392--396},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085457},
  doi          = {10.1109/ISQED.2015.7085457},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MandelliOSM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/GibilukaMMC15,
  author       = {Matheus Gibiluka and
                  Matheus Trevisan Moreira and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {BAT-Hermes: {A} transition-signaling bundled-data NoC router},
  booktitle    = {{IEEE} 6th Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2015, Montevideo, Uruguay, February 24-27, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/LASCAS.2015.7250461},
  doi          = {10.1109/LASCAS.2015.7250461},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/GibilukaMMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/HeckHMMC15,
  author       = {Guilherme Heck and
                  Leandro S. Heck and
                  Matheus T. Moreira and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {A digitally controlled oscillator for fine-grained local clock generators
                  in MPSoCs},
  booktitle    = {{IEEE} 6th Latin American Symposium on Circuits {\&} Systems,
                  {LASCAS} 2015, Montevideo, Uruguay, February 24-27, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/LASCAS.2015.7250444},
  doi          = {10.1109/LASCAS.2015.7250444},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/HeckHMMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GuazzelliMCM15,
  author       = {Ricardo A. Guazzelli and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans and
                  Matheus T. Moreira},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {{SDDS-NCL} Design: Analysis of Supply Voltage Scaling},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {2:1--2:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800999},
  doi          = {10.1145/2800986.2800999},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GuazzelliMCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MandelliCSOM15,
  author       = {Marcelo Mandelli and
                  Guilherme M. Castilhos and
                  Gilles Sassatelli and
                  Luciano Ost and
                  Fernando Gehm Moraes},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A Distributed Energy-aware Task Mapping to Achieve Thermal Balancing
                  and Improve Reliability of Many-core Systems},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {13:1--13:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800992},
  doi          = {10.1145/2800986.2800992},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MandelliCSOM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/MoreiraTMC14,
  author       = {Matheus Trevisan Moreira and
                  Guilherme Trojan and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {Spatially Distributed Dual-Spacer Null Convention Logic Design},
  journal      = {J. Low Power Electron.},
  volume       = {10},
  number       = {3},
  pages        = {313--320},
  year         = {2014},
  url          = {https://doi.org/10.1166/jolpe.2014.1332},
  doi          = {10.1166/JOLPE.2014.1332},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/MoreiraTMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/MorenoWMMC14,
  author       = {Edson I. Moreno and
                  Thais Webber and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {MoNoC: {A} monitored network on chip with path adaptation mechanism},
  journal      = {J. Syst. Archit.},
  volume       = {60},
  number       = {10},
  pages        = {783--795},
  year         = {2014},
  url          = {https://doi.org/10.1016/j.sysarc.2014.10.002},
  doi          = {10.1016/J.SYSARC.2014.10.002},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/MorenoWMMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/CararaCM14,
  author       = {Everton Alceu Carara and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Differentiated Communication Services for NoC-Based MPSoCs},
  journal      = {{IEEE} Trans. Computers},
  volume       = {63},
  number       = {3},
  pages        = {595--608},
  year         = {2014},
  url          = {https://doi.org/10.1109/TC.2012.123},
  doi          = {10.1109/TC.2012.123},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/CararaCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MoreiraMC14,
  author       = {Matheus Trevisan Moreira and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {Beware the Dynamic C-Element},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {7},
  pages        = {1644--1647},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2276538},
  doi          = {10.1109/TVLSI.2013.2276538},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MoreiraMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MartinsSCMM14,
  author       = {Andre L. M. Martins and
                  Douglas R. G. Silva and
                  Guilherme M. Castilhos and
                  Thiago Monteiro and
                  Fernando Gehm Moraes},
  title        = {A method for NoC-based MPSoC energy consumption estimation},
  booktitle    = {21st {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2014, Marseille, France, December 7-10, 2014},
  pages        = {427--430},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICECS.2014.7050013},
  doi          = {10.1109/ICECS.2014.7050013},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MartinsSCMM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SilvaOM14,
  author       = {Douglas R. G. Silva and
                  Bruno S. Oliveira and
                  Fernando Gehm Moraes},
  title        = {Effects of the NoC architecture in the performance of NoC-based MPSoCs},
  booktitle    = {21st {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2014, Marseille, France, December 7-10, 2014},
  pages        = {431--434},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICECS.2014.7050014},
  doi          = {10.1109/ICECS.2014.7050014},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SilvaOM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MorenoWMMC14,
  author       = {Edson I. Moreno and
                  Thais Webber and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Moraes and
                  Ney Calazans},
  title        = {A monitored NoC with runtime path adaptation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014,
                  Melbourne, Victoria, Australia, June 1-5, 2014},
  pages        = {1965--1968},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISCAS.2014.6865547},
  doi          = {10.1109/ISCAS.2014.6865547},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MorenoWMMC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuaroCM14,
  author       = {Marcelo Ruaro and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  title        = {Tool-set for NoC-based MPSoC debugging - {A} protocol view perspective},
  booktitle    = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014,
                  Melbourne, Victoria, Australia, June 1-5, 2014},
  pages        = {2531--2534},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISCAS.2014.6865688},
  doi          = {10.1109/ISCAS.2014.6865688},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuaroCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WachterEJAM14,
  author       = {Eduardo W{\"{a}}chter and
                  Augusto Erichsen and
                  Leonardo Juracy and
                  Alexandre M. Amory and
                  Fernando Moraes},
  title        = {Runtime fault recovery protocol for NoC-based MPSoCs},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {132--139},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783316},
  doi          = {10.1109/ISQED.2014.6783316},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WachterEJAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CastilhosWMEMM14,
  author       = {Guilherme M. Castilhos and
                  Eduardo W{\"{a}}chter and
                  Guilherme A. Madalozzo and
                  Augusto Erichsen and
                  Thiago Monteiro and
                  Fernando Moraes},
  title        = {A framework for MPSoC generation and distributed applications evaluation},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {408--411},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783353},
  doi          = {10.1109/ISQED.2014.6783353},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/CastilhosWMEMM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/RosaORMR14,
  author       = {Felipe Rosa and
                  Luciano Ost and
                  Thiago Raupp da Rosa and
                  Fernando Gehm Moraes and
                  Ricardo Reis},
  title        = {Fast energy evaluation of embedded applications for many-core systems},
  booktitle    = {24th International Workshop on Power and Timing Modeling, Optimization
                  and Simulation, PATMOS), Palma de Mallorca, Spain, September 29 -
                  Oct. 1, 2014},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/PATMOS.2014.6951893},
  doi          = {10.1109/PATMOS.2014.6951893},
  timestamp    = {Thu, 13 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/RosaORMR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WachterEJAM14,
  author       = {Eduardo W{\"{a}}chter and
                  Augusto Erichsen and
                  Leonardo Juracy and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A Fast Runtime Fault Recovery Approach for NoC-Based MPSoCS for Performance
                  Constrained Applications},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {18:1--18:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660986},
  doi          = {10.1145/2660540.2660986},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WachterEJAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RuaroCM14,
  author       = {Marcelo Ruaro and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Runtime QoS Support for MPSoC: a Processor Centric Approach},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {43:1--43:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661011},
  doi          = {10.1145/2660540.2661011},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RuaroCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/OstMAMISBGRM13,
  author       = {Luciano Ost and
                  Marcelo Mandelli and
                  Gabriel Marchesan Almeida and
                  Leandro M{\"{o}}ller and
                  Leandro Soares Indrusiak and
                  Gilles Sassatelli and
                  Pascal Benoit and
                  Manfred Glesner and
                  Michel Robert and
                  Fernando Moraes},
  title        = {Power-aware dynamic mapping heuristics for NoC-based MPSoCs using
                  a unified model-based approach},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {12},
  number       = {3},
  pages        = {75:1--75:22},
  year         = {2013},
  url          = {https://doi.org/10.1145/2442116.2442125},
  doi          = {10.1145/2442116.2442125},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/OstMAMISBGRM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WachterEAM13,
  author       = {Eduardo W{\"{a}}chter and
                  Augusto Erichsen and
                  Alexandre M. Amory and
                  Fernando Moraes},
  editor       = {Enrico Macii},
  title        = {Topology-agnostic fault-tolerant NoC routing method},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1595--1600},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.324},
  doi          = {10.7873/DATE.2013.324},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WachterEAM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/MoreiraOMC13,
  author       = {Matheus T. Moreira and
                  Bruno S. Oliveira and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {Charge sharing aware {NCL} gates design},
  booktitle    = {2013 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFTS} 2013, New York City,
                  NY, USA, October 2-4, 2013},
  pages        = {212--217},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/DFT.2013.6653608},
  doi          = {10.1109/DFT.2013.6653608},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/MoreiraOMC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MandelliROSM13,
  author       = {Marcelo Mandelli and
                  Felipe Rosa and
                  Luciano Ost and
                  Gilles Sassatelli and
                  Fernando Gehm Moraes},
  title        = {Multi-level MPSoC modeling for reducing software development cycle},
  booktitle    = {20th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2013, Abu Dhabi, UAE, December 8-11, 2013},
  pages        = {489--492},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICECS.2013.6815460},
  doi          = {10.1109/ICECS.2013.6815460},
  timestamp    = {Thu, 13 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MandelliROSM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AmoryMCMLL13,
  author       = {Alexandre M. Amory and
                  Matheus T. Moreira and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Cristiano Lazzari and
                  Marcelo Soares Lubaszewski},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Evaluating the scalability of test buses},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675278},
  doi          = {10.1109/ISSOC.2013.6675278},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AmoryMCMLL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuindaniM13,
  author       = {Guilherme Montez Guindani and
                  Fernando Gehm Moraes},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Achieving QoS in NoC-based MPSoCs through Dynamic Frequency Scaling},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675275},
  doi          = {10.1109/ISSOC.2013.6675275},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuindaniM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RuaroCM13,
  author       = {Marcelo Ruaro and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Leandro Soares Indrusiak and
                  Olli Vainio and
                  Sarang Thombre and
                  Jussi Raasakka},
  title        = {Adaptive QoS techniques for NoC-based MPSoCs},
  booktitle    = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere,
                  Finland, October 23-24, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSoC.2013.6675274},
  doi          = {10.1109/ISSOC.2013.6675274},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RuaroCM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/AmoryMML13,
  author       = {Alexandre M. Amory and
                  Edson I. Moreno and
                  Fernando Moraes and
                  Marcelo Lubaszewski},
  title        = {Determining the test sources/sinks for NoC TAMs},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2013, Natal,
                  Brazil, August 5-7, 2013},
  pages        = {8--13},
  publisher    = {{IEEE} Computer Socity},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISVLSI.2013.6654615},
  doi          = {10.1109/ISVLSI.2013.6654615},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/AmoryMML13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/CastilhosMMM13,
  author       = {Guilherme M. Castilhos and
                  Marcelo Mandelli and
                  Guilherme A. Madalozzo and
                  Fernando Moraes},
  title        = {Distributed resource management in NoC-based MPSoCs with dynamic cluster
                  sizes},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2013, Natal,
                  Brazil, August 5-7, 2013},
  pages        = {153--158},
  publisher    = {{IEEE} Computer Socity},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISVLSI.2013.6654651},
  doi          = {10.1109/ISVLSI.2013.6654651},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/CastilhosMMM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/WachterAM13,
  author       = {Eduardo Weber W{\"{a}}chter and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  title        = {Fault recovery communication protocol for NoC-based MPSoCs},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2013, Natal,
                  Brazil, August 5-7, 2013},
  pages        = {219--220},
  publisher    = {{IEEE} Computer Socity},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISVLSI.2013.6654648},
  doi          = {10.1109/ISVLSI.2013.6654648},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/WachterAM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/OstVIMAWMS12,
  author       = {Luciano Ost and
                  Sameer Varyani and
                  Leandro Soares Indrusiak and
                  Marcelo Mandelli and
                  Gabriel Marchesan Almeida and
                  Eduardo W{\"{a}}chter and
                  Fernando Moraes and
                  Gilles Sassatelli},
  title        = {Enabling Adaptive Techniques in Heterogeneous MPSoCs Based on Virtualization},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {5},
  number       = {3},
  pages        = {17:1--17:11},
  year         = {2012},
  url          = {https://doi.org/10.1145/2362374.2362381},
  doi          = {10.1145/2362374.2362381},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/OstVIMAWMS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MoraesMLCCMCC12,
  author       = {Fernando Gehm Moraes and
                  Matheus T. Moreira and
                  Carlos Lucas and
                  D. Correa and
                  Douglas de O. Cardoso and
                  M. Magnaguagno and
                  Guilherme M. Castilhos and
                  Ney Laert Vilar Calazans},
  title        = {A generic {FPGA} emulation framework},
  booktitle    = {19th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2012, Seville, Spain, December 9-12, 2012},
  pages        = {233--236},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICECS.2012.6463758},
  doi          = {10.1109/ICECS.2012.6463758},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MoraesMLCCMCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MandelliCM12,
  author       = {Marcelo Mandelli and
                  Guilherme M. Castilhos and
                  Fernando Gehm Moraes},
  title        = {Enhancing performance of MPSoCs through distributed resource management},
  booktitle    = {19th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2012, Seville, Spain, December 9-12, 2012},
  pages        = {544--547},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICECS.2012.6463689},
  doi          = {10.1109/ICECS.2012.6463689},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MandelliCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MoraesCRM12,
  author       = {Fernando Gehm Moraes and
                  Everton Alceu Carara and
                  Marcelo Ruaro and
                  Guilherme A. Madalozzo},
  title        = {Evaluation of adaptive management techniques in NoC-Based MPSoCs},
  booktitle    = {19th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2012, Seville, Spain, December 9-12, 2012},
  pages        = {548--551},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICECS.2012.6463688},
  doi          = {10.1109/ICECS.2012.6463688},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MoraesCRM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoraesMCC12,
  author       = {Fernando Gehm Moraes and
                  Guilherme A. Madalozzo and
                  Guilherme M. Castilhos and
                  Everton Alceu Carara},
  title        = {Proposal and evaluation of a task migration protocol for NoC-based
                  MPSoCs},
  booktitle    = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2012, Seoul, Korea (South), May 20-23, 2012},
  pages        = {644--647},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISCAS.2012.6272114},
  doi          = {10.1109/ISCAS.2012.6272114},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoraesMCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MoreiraOMC12,
  author       = {Matheus T. Moreira and
                  Bruno Cruz de Oliveira and
                  Fernando Moraes and
                  Ney Calazans},
  editor       = {Keith A. Bowman and
                  Kamesh V. Gadepally and
                  Pallab Chatterjee and
                  Mark M. Budnik and
                  Lalitha Immaneni},
  title        = {Impact of C-elements in asynchronous circuits},
  booktitle    = {Thirteenth International Symposium on Quality Electronic Design, {ISQED}
                  2012, Santa Clara, CA, USA, March 19-21, 2012},
  pages        = {437--343},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISQED.2012.6187530},
  doi          = {10.1109/ISQED.2012.6187530},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MoreiraOMC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MollerIOMG12,
  author       = {Leandro M{\"{o}}ller and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Fernando Gehm Moraes and
                  Manfred Glesner},
  title        = {Comparative analysis of dynamic task mapping heuristics in heterogeneous
                  NoC-based MPSoCs},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376357},
  doi          = {10.1109/ISSOC.2012.6376357},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MollerIOMG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/PetryWCMC12,
  author       = {Carlos A. Petry and
                  Eduardo W{\"{a}}chter and
                  Guilherme M. Castilhos and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {A spectrum of MPSoC models for design space exploration and its use},
  booktitle    = {Proceedings of the 23rd {IEEE} International Symposium on Rapid System
                  Prototyping, {RSP} 2012, Tampere, Finland, October 11-12, 2012},
  pages        = {30--35},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/RSP.2012.6380687},
  doi          = {10.1109/RSP.2012.6380687},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/PetryWCMC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaLCM12,
  author       = {Thiago R. da Rosa and
                  Vivian Larrea and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  title        = {Power consumption reduction in MPSoCs through {DFS}},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344429},
  doi          = {10.1109/SBCCI.2012.6344429},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaLCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/WachterM12,
  author       = {Eduardo Weber W{\"{a}}chter and
                  Fernando Gehm Moraes},
  editor       = {Ramalingam Sridhar and
                  Norbert Schuhmann and
                  Kaijian Shi},
  title        = {{MAZENOC:} Novel approach for fault-tolerant {NOC} routing},
  booktitle    = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls,
                  NY, USA, September 12-14, 2012},
  pages        = {364--369},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SOCC.2012.6398333},
  doi          = {10.1109/SOCC.2012.6398333},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/WachterM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/OstGMIM11,
  author       = {Luciano Ost and
                  Guilherme Montez Guindani and
                  Fernando Gehm Moraes and
                  Leandro Soares Indrusiak and
                  Sanna M{\"{a}}{\"{a}}tt{\"{a}}},
  title        = {Exploring NoC-Based MPSoC Design Space with Power Estimation Models},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {28},
  number       = {2},
  pages        = {16--29},
  year         = {2011},
  url          = {https://doi.org/10.1109/MDT.2010.116},
  doi          = {10.1109/MDT.2010.116},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/OstGMIM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/SoaresCMMT11,
  author       = {Rafael Iankowski Soares and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Philippe Maurine and
                  Lionel Torres},
  title        = {A Robust Architectural Approach for Cryptographic Algorithms Using
                  {GALS} Pipelines},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {28},
  number       = {5},
  pages        = {62--71},
  year         = {2011},
  url          = {https://doi.org/10.1109/MDT.2011.69},
  doi          = {10.1109/MDT.2011.69},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/SoaresCMMT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/AmoryLLM11,
  author       = {Alexandre M. Amory and
                  Cristiano Lazzari and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes},
  title        = {A new test scheduling algorithm based on Networks-on-Chip as Test
                  Access Mechanisms},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {71},
  number       = {5},
  pages        = {675--686},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.jpdc.2010.09.008},
  doi          = {10.1016/J.JPDC.2010.09.008},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/AmoryLLM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/MarconCMMHS11,
  author       = {C{\'{e}}sar A. M. Marcon and
                  Ney Calazans and
                  Edson I. Moreno and
                  Fernando Moraes and
                  Fabiano Hessel and
                  Altamiro Amadeu Susin},
  title        = {{CAFES:} {A} framework for intrachip application modeling and communication
                  architecture design},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {71},
  number       = {5},
  pages        = {714--728},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.jpdc.2010.10.002},
  doi          = {10.1016/J.JPDC.2010.10.002},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jpdc/MarconCMMHS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CararaASM11,
  author       = {Everton Carara and
                  Gabriel Marchesan Almeida and
                  Gilles Sassatelli and
                  Fernando Gehm Moraes},
  title        = {Achieving composability in NoC-based MPSoCs through QoS management
                  at software level},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {407--412},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763071},
  doi          = {10.1109/DATE.2011.5763071},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/CararaASM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AmoryOMML11,
  author       = {Alexandre M. Amory and
                  Luciano Ost and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes and
                  Marcelo Lubaszewski},
  title        = {Evaluating energy consumption of homogeneous MPSoCs using spare tiles},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1164--1167},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763304},
  doi          = {10.1109/DATE.2011.5763304},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/AmoryOMML11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/ProlongeCTM11,
  author       = {Romain Prolonge and
                  Fabien Clermidy and
                  Leonel Tedesco and
                  Fernando Moraes},
  title        = {Dynamic Flow Reconfiguration Strategy to Avoid Communication Hot-Spots},
  booktitle    = {14th Euromicro Conference on Digital System Design, Architectures,
                  Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu,
                  Finland},
  pages        = {519--524},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/DSD.2011.72},
  doi          = {10.1109/DSD.2011.72},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/ProlongeCTM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/MoreiraOPMC11,
  author       = {Matheus T. Moreira and
                  Bruno Cruz de Oliveira and
                  Julian J. H. Pontes and
                  Fernando Moraes and
                  Ney Calazans},
  title        = {Adapting a C-element design flow for low power},
  booktitle    = {18th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2011, Beirut, Lebanon, December 11-14, 2011},
  pages        = {45--48},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICECS.2011.6122210},
  doi          = {10.1109/ICECS.2011.6122210},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/MoreiraOPMC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlmeidaBCHVSBTM11,
  author       = {Gabriel Marchesan Almeida and
                  R{\'{e}}mi Busseuil and
                  Everton Alceu Carara and
                  Nicolas Hebert and
                  Sameer Varyani and
                  Gilles Sassatelli and
                  Pascal Benoit and
                  Lionel Torres and
                  Fernando Gehm Moraes},
  title        = {Predictive Dynamic Frequency Scaling for Multi-Processor Systems-on-Chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1500--1503},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937859},
  doi          = {10.1109/ISCAS.2011.5937859},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlmeidaBCHVSBTM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MandelliOCGGMM11,
  author       = {Marcelo Mandelli and
                  Luciano Ost and
                  Everton Carara and
                  Guilherme Montez Guindani and
                  Thiago Gouvea and
                  Guilherme Medeiros and
                  Fernando Gehm Moraes},
  title        = {Energy-aware dynamic task mapping for NoC-based MPSoCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1676--1679},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937903},
  doi          = {10.1109/ISCAS.2011.5937903},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MandelliOCGGMM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/ChavesCM11,
  author       = {Tales Marchesan Chaves and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  title        = {Exploiting multicast messages in cache-coherence protocols for NoC-based
                  MPSoCs},
  booktitle    = {Proceedings of the 6th International Workshop on Reconfigurable Communication-centric
                  Systems-on-Chip, ReCoSoC 2011, Montpellier, France, 20-22 June, 2011},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ReCoSoC.2011.5981492},
  doi          = {10.1109/RECOSOC.2011.5981492},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/recosoc/ChavesCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/OstAMWVSIRM11,
  author       = {Luciano Ost and
                  Gabriel Marchesan Almeida and
                  Marcelo Mandelli and
                  Eduardo W{\"{a}}chter and
                  Sameer Varyani and
                  Gilles Sassatelli and
                  Leandro Soares Indrusiak and
                  Michel Robert and
                  Fernando Moraes},
  title        = {Exploring heterogeneous NoC-based MPSoCs: From {FPGA} to high-level
                  modeling},
  booktitle    = {Proceedings of the 6th International Workshop on Reconfigurable Communication-centric
                  Systems-on-Chip, ReCoSoC 2011, Montpellier, France, 20-22 June, 2011},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ReCoSoC.2011.5981517},
  doi          = {10.1109/RECOSOC.2011.5981517},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/recosoc/OstAMWVSIRM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/WachterBM11,
  author       = {Eduardo W{\"{a}}chter and
                  Adelcio Biazi and
                  Fernando Gehm Moraes},
  title        = {HeMPS-S: {A} homogeneous NoC-based MPSoCs framework prototyped in
                  FPGAs},
  booktitle    = {Proceedings of the 6th International Workshop on Reconfigurable Communication-centric
                  Systems-on-Chip, ReCoSoC 2011, Montpellier, France, 20-22 June, 2011},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ReCoSoC.2011.5981498},
  doi          = {10.1109/RECOSOC.2011.5981498},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/recosoc/WachterBM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/AmoryMML11,
  author       = {Alexandre M. Amory and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes and
                  Marcelo Lubaszewski},
  title        = {Task mapping on NoC-based MPSoCs with faulty tiles: Evaluating the
                  energy consumption and the application execution time},
  booktitle    = {Proceedings of the 22nd {IEEE} International Symposium on Rapid System
                  Prototyping, {RSP} 2011, Karlsruhe, Germany, 24-27 May, 2011},
  pages        = {164--170},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/RSP.2011.5929991},
  doi          = {10.1109/RSP.2011.5929991},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/AmoryMML11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/MorenoMCM11,
  author       = {Edson I. Moreno and
                  C{\'{e}}sar A. M. Marcon and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Arbitration and routing impact on NoC design},
  booktitle    = {Proceedings of the 22nd {IEEE} International Symposium on Rapid System
                  Prototyping, {RSP} 2011, Karlsruhe, Germany, 24-27 May, 2011},
  pages        = {193--198},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/RSP.2011.5929995},
  doi          = {10.1109/RSP.2011.5929995},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/MorenoMCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmoryLLM11,
  author       = {Alexandre M. Amory and
                  Cristiano Lazzari and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Early estimation of wire length for dedicated test access mechanisms
                  in networks-on-chip based SoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020894},
  doi          = {10.1145/2020876.2020894},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmoryLLM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OstMAIMGSRM11,
  author       = {Luciano Ost and
                  Marcelo Mandelli and
                  Gabriel Marchesan Almeida and
                  Leandro Soares Indrusiak and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Gilles Sassatelli and
                  Michel Robert and
                  Fernando Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Exploring dynamic mapping impact on NoC-based MPSoCs performance using
                  a model-based framework},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {185--190},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020919},
  doi          = {10.1145/2020876.2020919},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OstMAIMGSRM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MandelliAOM11,
  author       = {Marcelo Mandelli and
                  Alexandre M. Amory and
                  Luciano Ost and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Multi-task dynamic mapping onto NoC-based MPSoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {191--196},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020920},
  doi          = {10.1145/2020876.2020920},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MandelliAOM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaGCCM11,
  author       = {Thiago R. da Rosa and
                  Guilherme Montez Guindani and
                  Douglas de O. Cardoso and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {A self-adaptable distributed {DFS} scheme for NoC-based MPSoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {203--208},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020923},
  doi          = {10.1145/2020876.2020923},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaGCCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ChavesCM11,
  author       = {Tales Marchesan Chaves and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Energy-efficient cache coherence protocol for NoC-based MPSoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {215--220},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020925},
  doi          = {10.1145/2020876.2020925},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ChavesCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/CarvalhoCM10,
  author       = {Ewerson Luiz de Souza Carvalho and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Dynamic Task Mapping for MPSoCs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {5},
  pages        = {26--35},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.106},
  doi          = {10.1109/MDT.2010.106},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/CarvalhoCM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijertcs/MaattaMIOGNM10,
  author       = {Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Leandro M{\"{o}}ller and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Manfred Glesner and
                  Jari Nurmi and
                  Fernando Moraes},
  title        = {Joint Validation of Application Models and Multi-Abstraction Network-on-Chip
                  Platforms},
  journal      = {Int. J. Embed. Real Time Commun. Syst.},
  volume       = {1},
  number       = {1},
  pages        = {86--101},
  year         = {2010},
  url          = {https://doi.org/10.4018/jertcs.2010103005},
  doi          = {10.4018/JERTCS.2010103005},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijertcs/MaattaMIOGNM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/MollerFMIG10,
  author       = {Leandro M{\"{o}}ller and
                  Peter Fischer and
                  Fernando Moraes and
                  Leandro Soares Indrusiak and
                  Manfred Glesner},
  title        = {Improving QoS of Multi-layer Networks-on-Chip with Partial and Dynamic
                  Reconfiguration of Routers},
  booktitle    = {International Conference on Field Programmable Logic and Applications,
                  {FPL} 2010, August 31 2010 - September 2, 2010, Milano, Italy},
  pages        = {229--233},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPL.2010.53},
  doi          = {10.1109/FPL.2010.53},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/MollerFMIG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/OstIMMNM10,
  author       = {Luciano Ost and
                  Leandro Soares Indrusiak and
                  Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Marcelo Mandelli and
                  Jari Nurmi and
                  Fernando Moraes},
  title        = {Model-based design flow for NoC-based MPSoCs},
  booktitle    = {17th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2010, Athens, Greece, 12-15 December, 2010},
  pages        = {750--753},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICECS.2010.5724621},
  doi          = {10.1109/ICECS.2010.5724621},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/OstIMMNM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaattaIOMGMN10,
  author       = {Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Fernando Gehm Moraes and
                  Jari Nurmi},
  title        = {A case study of hierarchically heterogeneous application modelling
                  using {UML} and Ptolemy {II}},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {68--71},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625554},
  doi          = {10.1109/ISSOC.2010.5625554},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MaattaIOMGMN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TedescoRM10,
  author       = {Leonel Tedesco and
                  Thiago R. da Rosa and
                  Fernando Gehm Moraes},
  title        = {A message-level monitoring protocol for QoS flows in NoCs},
  booktitle    = {2010 International Symposium on System on Chip, SoC 2010, Tampere,
                  September 29-30, 2010},
  pages        = {84--88},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSOC.2010.5625541},
  doi          = {10.1109/ISSOC.2010.5625541},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TedescoRM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/PontesMMC10,
  author       = {Julian J. H. Pontes and
                  Matheus T. Moreira and
                  Fernando Moraes and
                  Ney Calazans},
  editor       = {Ren{\'{e}} van Leuken and
                  Gilles Sicard},
  title        = {Hermes-A - An Asynchronous NoC Router with Distributed Routing},
  booktitle    = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization,
                  and Simulation - 20th International Workshop, {PATMOS} 2010, Grenoble,
                  France, September 7-10, 2010, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {6448},
  pages        = {150--159},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-17752-1\_15},
  doi          = {10.1007/978-3-642-17752-1\_15},
  timestamp    = {Tue, 13 Sep 2022 21:45:42 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/PontesMMC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/MollerRMIG10,
  author       = {Leandro M{\"{o}}ller and
                  Andr{\'{e}} Rodrigues and
                  Fernando Moraes and
                  Leandro Soares Indrusiak and
                  Manfred Glesner},
  editor       = {Michael H{\"{u}}bner and
                  Lo{\"{\i}}c Lagadec and
                  Oliver Sander and
                  J{\"{u}}rgen Becker},
  title        = {Instruction Set Simulator for MPSoCs based on NoCs and {MIPS} Processors},
  booktitle    = {Proceedings of the 5th International Workshop on Reconfigurable Communication-centric
                  Systems on Chip, ReCoSoC 2010, Karlsruhe, Germany, May 17-19, 2010},
  series       = {{KIT} Scientific Reports},
  volume       = {7551},
  pages        = {7--11},
  publisher    = {{KIT} Scientific Publishing},
  year         = {2010},
  timestamp    = {Thu, 29 Apr 2021 08:19:40 +0200},
  biburl       = {https://dblp.org/rec/conf/recosoc/MollerRMIG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlmeidaVBSBTCM10,
  author       = {Gabriel Marchesan Almeida and
                  Sameer Varyani and
                  R{\'{e}}mi Busseuil and
                  Gilles Sassatelli and
                  Pascal Benoit and
                  Lionel Torres and
                  Everton Carara and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Evaluating the impact of task migration in multi-processor systems-on-chip},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854174},
  doi          = {10.1145/1854153.1854174},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlmeidaVBSBTCM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoRCCM10,
  author       = {Leonel Tedesco and
                  Thiago R. da Rosa and
                  Fabien Clermidy and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Implementation and evaluation of a congestion aware routing algorithm
                  for networks-on-chip},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {91--96},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854178},
  doi          = {10.1145/1854153.1854178},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoRCCM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/CararaM10,
  author       = {Everton Carara and
                  Fernando Moraes},
  editor       = {Thomas B{\"{u}}chner and
                  Ramalingam Sridhar and
                  Andrew Marshall and
                  Norbert Schuhmann},
  title        = {Flow oriented routing for {NOCS}},
  booktitle    = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29,
                  2010, Las Vegas, NV, USA, Proceedings},
  pages        = {367--370},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/SOCC.2010.5784697},
  doi          = {10.1109/SOCC.2010.5784697},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/CararaM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PontesMMC10,
  author       = {Julian J. H. Pontes and
                  Matheus T. Moreira and
                  Fernando Moraes and
                  Ney Calazans},
  editor       = {Thomas B{\"{u}}chner and
                  Ramalingam Sridhar and
                  Andrew Marshall and
                  Norbert Schuhmann},
  title        = {Hermes-AA: {A} 65nm asynchronous NoC router with adaptive routing},
  booktitle    = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29,
                  2010, Las Vegas, NV, USA, Proceedings},
  pages        = {493--498},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/SOCC.2010.5784676},
  doi          = {10.1109/SOCC.2010.5784676},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PontesMMC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/TedescoCM09,
  author       = {Leonel Tedesco and
                  Fabien Clermidy and
                  Fernando Moraes},
  editor       = {Wolfgang Rosenstiel and
                  Kazutoshi Wakabayashi},
  title        = {A monitoring and adaptive routing mechanism for QoS traffic on mesh
                  NoC architectures},
  booktitle    = {Proceedings of the 7th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France,
                  October 11-16, 2009},
  pages        = {109--118},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629435.1629451},
  doi          = {10.1145/1629435.1629451},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/TedescoCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CararaOCM09,
  author       = {Everton Carara and
                  Roberto P. de Oliveira and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {HeMPS - a Framework for NoC-based MPSoC Generation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17
                  May 2009, Taipei, Taiwan},
  pages        = {1345--1348},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISCAS.2009.5118013},
  doi          = {10.1109/ISCAS.2009.5118013},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CararaOCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CarvalhoMCM09,
  author       = {Ewerson Carvalho and
                  C{\'{e}}sar A. M. Marcon and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {Evaluation of static and dynamic task mapping algorithms in NoC-based
                  MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {87--90},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335672},
  doi          = {10.1109/SOCC.2009.5335672},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CarvalhoMCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaattaIOMGMN09,
  author       = {Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Fernando Gehm Moraes and
                  Jari Nurmi},
  title        = {Characterising embedded applications using a {UML} profile},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {172--175},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335654},
  doi          = {10.1109/SOCC.2009.5335654},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MaattaIOMGMN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/GuindaniRRM09,
  author       = {Guilherme Montez Guindani and
                  Cezar Reinbrecht and
                  Thiago R. da Rosa and
                  Fernando Moraes},
  title        = {Increasing NoC power estimation accuracy through a rate-based model},
  booktitle    = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May
                  10-13 2009, La Jolla, CA, {USA.} Proceedings},
  pages        = {89},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/NOCS.2009.5071452},
  doi          = {10.1109/NOCS.2009.5071452},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/GuindaniRRM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/RodolfoCM09,
  author       = {Taciano A. Rodolfo and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  editor       = {Viktor K. Prasanna and
                  Lionel Torres and
                  Ren{\'{e}} Cumplido},
  title        = {Floating Point Hardware for Embedded Processors in FPGAs: Design Space
                  Exploration for Performance and Area},
  booktitle    = {ReConFig'09: 2009 International Conference on Reconfigurable Computing
                  and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings},
  pages        = {24--29},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ReConFig.2009.26},
  doi          = {10.1109/RECONFIG.2009.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/RodolfoCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/GuindaniFOCPM09,
  author       = {Guilherme Montez Guindani and
                  Frederico Ferlini and
                  Jeferson Oliveira and
                  Ney Laert Vilar Calazans and
                  Daniel V. Pigatto and
                  Fernando Gehm Moraes},
  editor       = {Viktor K. Prasanna and
                  Lionel Torres and
                  Ren{\'{e}} Cumplido},
  title        = {A 10 Gbps {OTN} Framer Implementation Targeting {FPGA} Devices},
  booktitle    = {ReConFig'09: 2009 International Conference on Reconfigurable Computing
                  and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings},
  pages        = {30--35},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ReConFig.2009.27},
  doi          = {10.1109/RECONFIG.2009.27},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/GuindaniFOCPM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OstGIRRM09,
  author       = {Luciano Ost and
                  Guilherme Montez Guindani and
                  Leandro Soares Indrusiak and
                  Cezar Reinbrecht and
                  Thiago Raupp da Rosa and
                  Fernando Moraes},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A high abstraction, high accuracy power estimation model for networks-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601936},
  doi          = {10.1145/1601896.1601936},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OstGIRRM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoCM09,
  author       = {Leonel Tedesco and
                  Fabien Clermidy and
                  Fernando Moraes},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A path-load based adaptive routing algorithm for networks-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601926},
  doi          = {10.1145/1601896.1601926},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/LucasAM09,
  author       = {Alzemiro Henrique Lucas da Silva and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  editor       = {J{\"{u}}rgen Becker and
                  Marcelo O. Johann and
                  Ricardo Reis},
  title        = {Crosstalk Fault Tolerant NoC: Design and Evaluation},
  booktitle    = {VLSI-SoC: Technologies for Systems Integration - 17th {IFIP} {WG}
                  10.5/IEEE International Conference on Very Large Scale Integration,
                  VLSI-SoC 2009, Florian{\'{o}}polis, Brazil, October 12-14, 2009,
                  Revised Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {360},
  pages        = {81--93},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-23120-9\_5},
  doi          = {10.1007/978-3-642-23120-9\_5},
  timestamp    = {Tue, 22 Oct 2019 15:21:19 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/LucasAM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MarconMCM08,
  author       = {C{\'{e}}sar Augusto Missio Marcon and
                  Edson Ifarraguirre Moreno and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Comparison of network-on-chip mapping algorithms targeting low energy
                  consumption},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {6},
  pages        = {471--482},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20070111},
  doi          = {10.1049/IET-CDT:20070111},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MarconMCM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CarvalhoM08,
  author       = {Ewerson Carvalho and
                  Fernando Moraes},
  title        = {Congestion-aware task mapping in heterogeneous MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694878},
  doi          = {10.1109/ISSOC.2008.4694878},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CarvalhoM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/CararaM08,
  author       = {Everton Carara and
                  Fernando Gehm Moraes},
  title        = {Deadlock-Free Multicast Routing Algorithm for Wormhole-Switched Mesh
                  Networks-on-Chip},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2008, 7-9
                  April 2008, Montpellier, France},
  pages        = {341--346},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISVLSI.2008.18},
  doi          = {10.1109/ISVLSI.2008.18},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/CararaM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/GuindaniRRCM08,
  author       = {Guilherme Montez Guindani and
                  Cezar Reinbrecht and
                  Thiago Raupp da Rosa and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  title        = {NoC Power Estimation at the {RTL} Abstraction Level},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2008, 7-9
                  April 2008, Montpellier, France},
  pages        = {475--478},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISVLSI.2008.17},
  doi          = {10.1109/ISVLSI.2008.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/GuindaniRRCM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/IndrusiakOMMG08,
  author       = {Leandro Soares Indrusiak and
                  Luciano Ost and
                  Leandro M{\"{o}}ller and
                  Fernando Moraes and
                  Manfred Glesner},
  title        = {Applying {UML} Interactions and Actor-Oriented Simulation to the Design
                  Space Exploration of Network-on-Chip Interconnects},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2008, 7-9
                  April 2008, Montpellier, France},
  pages        = {491--494},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISVLSI.2008.20},
  doi          = {10.1109/ISVLSI.2008.20},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/IndrusiakOMMG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OstMMIGMN08,
  author       = {Luciano Ost and
                  Fernando Gehm Moraes and
                  Leandro M{\"{o}}ller and
                  Leandro Soares Indrusiak and
                  Manfred Glesner and
                  Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Jari Nurmi},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A simplified executable model to evaluate latency and throughput of
                  networks-on-chip},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {170--175},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404420},
  doi          = {10.1145/1404371.1404420},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OstMMIGMN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoraesCPC08,
  author       = {Fernando Gehm Moraes and
                  Everton Carara and
                  Daniel V. Pigatto and
                  Ney Laert Vilar Calazans},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {{MOTIM:} an industrial application using nocs},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {182--187},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404422},
  doi          = {10.1145/1404371.1404422},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoraesCPC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sies/IndrusiakOMNGM08,
  author       = {Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Leandro M{\"{o}}ller and
                  Jari Nurmi and
                  Manfred Glesner and
                  Fernando Moraes},
  title        = {Validation of executable application models mapped onto network-on-chip
                  platforms},
  booktitle    = {{IEEE} Third International Symposium on Industrial Embedded Systems,
                  {SIES} 2008, Montpellier / La Grande Motte, France, June 11-13, 2008},
  pages        = {118--125},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/SIES.2008.4577689},
  doi          = {10.1109/SIES.2008.4577689},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sies/IndrusiakOMNGM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AmoryGMLM07,
  author       = {Alexandre M. Amory and
                  Kees Goossens and
                  Erik Jan Marinissen and
                  Marcelo Lubaszewski and
                  Fernando Moraes},
  title        = {Wrapper design for the reuse of a bus, network-on-chip, or other functional
                  interconnect as test access mechanism},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {3},
  pages        = {197--206},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20060152},
  doi          = {10.1049/IET-CDT:20060152},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AmoryGMLM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/SassatelliSBTRWGM07,
  author       = {Gilles Sassatelli and
                  Nicolas Saint{-}Jean and
                  Pascal Benoit and
                  Lionel Torres and
                  Michel Robert and
                  Cristiane R. Woszezenki and
                  Ismael Grehs and
                  Fernando Gehm Moraes},
  editor       = {Kenneth L. Pocek and
                  Duncan A. Buell},
  title        = {Run-time mapping and communication strategies for Homogeneous NoC-Based
                  MPSoCs},
  booktitle    = {{IEEE} Symposium on Field-Programmable Custom Computing Machines,
                  {FCCM} 2007, 23-25 April 2007, Napa, California, {USA}},
  pages        = {295--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/FCCM.2007.27},
  doi          = {10.1109/FCCM.2007.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/SassatelliSBTRWGM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PontesSCMC07,
  author       = {Julian J. H. Pontes and
                  Rafael Soares and
                  Ewerson Carvalho and
                  Fernando Moraes and
                  Ney Calazans},
  title        = {{SCAFFI:} An intrachip {FPGA} asynchronous interface based on hard
                  macros},
  booktitle    = {25th International Conference on Computer Design, {ICCD} 2007, 7-10
                  October 2007, Lake Tahoe, CA, USA, Proceedings},
  pages        = {541--546},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCD.2007.4601950},
  doi          = {10.1109/ICCD.2007.4601950},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PontesSCMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/PalmaIMRG07,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  Leandro Soares Indrusiak and
                  Fernando Gehm Moraes and
                  Ricardo Reis and
                  Manfred Glesner},
  title        = {Reducing the Power Consumption in Networks-on-Chip through Data Coding
                  Schemes},
  booktitle    = {14th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007},
  pages        = {1007--1010},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICECS.2007.4511163},
  doi          = {10.1109/ICECS.2007.4511163},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/PalmaIMRG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/MesquitaBTSRM07,
  author       = {Daniel Mesquita and
                  Beno{\^{\i}}t Badrignans and
                  Lionel Torres and
                  Gilles Sassatelli and
                  Michel Robert and
                  Fernando Moraes},
  title        = {A Cryptographic Coarse Grain Reconfigurable Architecture Robust Against
                  {DPA}},
  booktitle    = {21th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/IPDPS.2007.370380},
  doi          = {10.1109/IPDPS.2007.370380},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/MesquitaBTSRM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarconMCM07,
  author       = {C{\'{e}}sar A. M. Marcon and
                  Edson I. Moreno and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Evaluation of Algorithms for Low Energy Mapping onto NoCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20
                  May 2007, New Orleans, Louisiana, {USA}},
  pages        = {389--392},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISCAS.2007.378471},
  doi          = {10.1109/ISCAS.2007.378471},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarconMCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/PalmaSMOGR07,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  Leandro Soares Indrusiak and
                  Fernando Gehm Moraes and
                  Alberto Garc{\'{\i}}a Ortiz and
                  Manfred Glesner and
                  Ricardo A. L. Reis},
  title        = {Inserting Data Encoding Techniques into NoC-Based Systems},
  booktitle    = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2007), May 9-11, 2007, Porto Alegre, Brazil},
  pages        = {299--304},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISVLSI.2007.58},
  doi          = {10.1109/ISVLSI.2007.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/PalmaSMOGR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/BastosCPCM07,
  author       = {Erico Bastos and
                  Everton Carara and
                  Daniel V. Pigatto and
                  Ney Laert Vilar Calazans and
                  Fernando Moraes},
  title        = {{MOTIM} - {A} Scalable Architecture for Ethernet Switches},
  booktitle    = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2007), May 9-11, 2007, Porto Alegre, Brazil},
  pages        = {451--452},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISVLSI.2007.70},
  doi          = {10.1109/ISVLSI.2007.70},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/BastosCPCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/CarvalhoCM07,
  author       = {Ewerson Carvalho and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Congestion-Aware Task Mapping in NoC-based MPSoCs with Dynamic Workload},
  booktitle    = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2007), May 9-11, 2007, Porto Alegre, Brazil},
  pages        = {459--460},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISVLSI.2007.32},
  doi          = {10.1109/ISVLSI.2007.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/CarvalhoCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/MollerGCSCM07,
  author       = {Leandro M{\"{o}}ller and
                  Ismael Grehs and
                  Ewerson Carvalho and
                  Rafael Soares and
                  Ney Calazans and
                  Fernando Moraes},
  editor       = {Gilles Sassatelli and
                  Manfred Glesner and
                  Christophe Bobda and
                  Pascal Benoit},
  title        = {A NoC-based Infrastructure to Enable Dynamic Self Reconfigurable Systems},
  booktitle    = {Proceedings of the 3rd International Workshop on Reconfigurable Communication-centric
                  Systems-on-Chip, ReCoSoC 2007, Montpellier, France, June 2007},
  pages        = {23--30},
  publisher    = {Univ. Montpellier {II}},
  year         = {2007},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/recosoc/MollerGCSCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/CarusoGSCM07,
  author       = {Luis Carlos Caruso and
                  Guilherme Montez Guindani and
                  Hugo Schmitt and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {{SPP-NIDS} - {A} Sea of Processors Platform for Network Intrusion
                  Detection Systems},
  booktitle    = {18th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2007), 28-30 May 2007, Porto Alegre, RS, Brazil},
  pages        = {27--33},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/RSP.2007.35},
  doi          = {10.1109/RSP.2007.35},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/CarusoGSCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/CarvalhoCM07,
  author       = {Ewerson Carvalho and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs},
  booktitle    = {18th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2007), 28-30 May 2007, Porto Alegre, RS, Brazil},
  pages        = {34--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/RSP.2007.26},
  doi          = {10.1109/RSP.2007.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/CarvalhoCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/CararaMM07,
  author       = {Everton Carara and
                  Aline Mello and
                  Fernando Moraes},
  title        = {Communication Models in Networks-on-Chip},
  booktitle    = {18th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2007), 28-30 May 2007, Porto Alegre, RS, Brazil},
  pages        = {57--60},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/RSP.2007.17},
  doi          = {10.1109/RSP.2007.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/CararaMM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/SassatelliSWGM07,
  author       = {Gilles Sassatelli and
                  Nicolas Saint{-}Jean and
                  Cristiane R. Woszezenki and
                  Ismael Grehs and
                  Fernando Gehm Moraes},
  title        = {Architectural Issues in Homogeneous NoC-Based MPSoC},
  booktitle    = {18th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2007), 28-30 May 2007, Porto Alegre, RS, Brazil},
  pages        = {139--142},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/RSP.2007.12},
  doi          = {10.1109/RSP.2007.12},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/SassatelliSWGM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoMC07,
  author       = {Leonel Tedesco and
                  Fernando Moraes and
                  Ney Calazans},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Buffer sizing for QoS flows in wormhole packet switching NoCs},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {99--104},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284513},
  doi          = {10.1145/1284480.1284513},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CararaMC07,
  author       = {Everton Carara and
                  Fernando Moraes and
                  Ney Calazans},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Router architecture for high-performance NoCs},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284515},
  doi          = {10.1145/1284480.1284515},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CararaMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MelloCM07,
  author       = {Aline Mello and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {QoS in Networks-on-Chip - Beyond Priority and Circuit Switching Techniques},
  booktitle    = {VLSI-SoC: Advanced Topics on Systems on a Chip - {A} Selection of
                  Extended Versions of the Best Papers of the Fourteenth International
                  Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2007),
                  October 15-17, 2007, Atlanta, {USA}},
  series       = {{IFIP}},
  volume       = {291},
  pages        = {1--22},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-0-387-89558-1\_7},
  doi          = {10.1007/978-0-387-89558-1\_7},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/MelloCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AmoryFLM07,
  author       = {Alexandre M. Amory and
                  Frederico Ferlini and
                  Marcelo Lubaszewski and
                  Fernando Moraes},
  title        = {DfT for the Reuse of Networks-on-Chip as Test Access Mechanism},
  booktitle    = {25th {IEEE} {VLSI} Test Symposium {(VTS} 2007), 6-10 May 2007, Berkeley,
                  California, {USA}},
  pages        = {435--440},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/VTS.2007.26},
  doi          = {10.1109/VTS.2007.26},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AmoryFLM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4738,
  author       = {C{\'{e}}sar A. M. Marcon and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Altamiro Amadeu Susin and
                  Igor M. Reis and
                  Fabiano Hessel},
  title        = {Exploring NoC Mapping Strategies: An Energy and Timing Aware Technique},
  journal      = {CoRR},
  volume       = {abs/0710.4738},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4738},
  eprinttype    = {arXiv},
  eprint       = {0710.4738},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4738.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4795,
  author       = {Alexandre M. Amory and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes and
                  Edson I. Moreno},
  title        = {Test Time Reduction Reusing Multiple Processors in a Network-on-Chip
                  Based Architecture},
  journal      = {CoRR},
  volume       = {abs/0710.4795},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4795},
  eprinttype    = {arXiv},
  eprint       = {0710.4795},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4795.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4843,
  author       = {Aline Mello and
                  Leandro M{\"{o}}ller and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {MultiNoC: {A} Multiprocessing System Enabled by a Network on Chip},
  journal      = {CoRR},
  volume       = {abs/0710.4843},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4843},
  eprinttype    = {arXiv},
  eprint       = {0710.4843},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4843.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/AmoryGMLM06,
  author       = {Alexandre M. Amory and
                  Kees Goossens and
                  Erik Jan Marinissen and
                  Marcelo Lubaszewski and
                  Fernando Moraes},
  title        = {Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism},
  booktitle    = {11th European Test Symposium, {ETS} 2006, Southhampton, UK, May 21-24,
                  2006},
  pages        = {213--218},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ETS.2006.48},
  doi          = {10.1109/ETS.2006.48},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/AmoryGMLM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/MesquitaBTSRBM06,
  author       = {Daniel Mesquita and
                  Beno{\^{\i}}t Badrignans and
                  Lionel Torres and
                  Gilles Sassatelli and
                  Michel Robert and
                  Jean{-}Claude Bajard and
                  Fernando Gehm Moraes},
  title        = {A Leak Resistant Architecture Against Side Channel Attacks},
  booktitle    = {Proceedings of the 2006 International Conference on Field Programmable
                  Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPL.2006.311335},
  doi          = {10.1109/FPL.2006.311335},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/MesquitaBTSRBM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/MollerGCM06,
  author       = {Leandro M{\"{o}}ller and
                  Ismael Grehs and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {Reconfigurable Systems Enabled by a Network-on-Chip},
  booktitle    = {Proceedings of the 2006 International Conference on Field Programmable
                  Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPL.2006.311329},
  doi          = {10.1109/FPL.2006.311329},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/MollerGCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MelloTCM06,
  author       = {Aline Mello and
                  Leonel Tedesco and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {Evaluation of current QoS Mechanisms in Networks on Chip},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.321981},
  doi          = {10.1109/ISSOC.2006.321981},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MelloTCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MesquitaBTSRM06,
  author       = {Daniel Mesquita and
                  Beno{\^{\i}}t Badrignans and
                  Lionel Torres and
                  Gilles Sassatelli and
                  Michel Robert and
                  Fernando Gehm Moraes},
  title        = {A Leak Resistant SoC to Counteract Side Channel Attacks},
  booktitle    = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland,
                  November 13-16, 2006},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISSOC.2006.322005},
  doi          = {10.1109/ISSOC.2006.322005},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MesquitaBTSRM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/PalmaRIOGM06,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  Ricardo A. L. Reis and
                  Leandro Soares Indrusiak and
                  Alberto Garc{\'{\i}}a Ortiz and
                  Manfred Glesner and
                  Fernando Gehm Moraes},
  title        = {Evaluating the Impact of Data Encoding Techniques on the Power Consumption
                  in Networks-on-Chip},
  booktitle    = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2006), 2-3 March 2006, Karlsruhe, Germany},
  pages        = {426--427},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISVLSI.2006.42},
  doi          = {10.1109/ISVLSI.2006.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/PalmaRIOGM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/PalmaIMOGR06,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  Leandro Soares Indrusiak and
                  Fernando Gehm Moraes and
                  Alberto Garc{\'{\i}}a Ortiz and
                  Manfred Glesner and
                  Ricardo A. L. Reis},
  editor       = {Johan Vounckx and
                  Nadine Az{\'{e}}mard and
                  Philippe Maurine},
  title        = {Adaptive Coding in Networks-on-Chip: Transition Activity Reduction
                  Versus Power Overhead of the Codec Circuitry},
  booktitle    = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization
                  and Simulation, 16th International Workshop, {PATMOS} 2006, Montpellier,
                  France, September 13-15, 2006, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4148},
  pages        = {603--613},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11847083\_59},
  doi          = {10.1007/11847083\_59},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/PalmaIMOGR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MollerSCGCM06,
  author       = {Leandro M{\"{o}}ller and
                  Rafael Soares and
                  Ewerson Carvalho and
                  Ismael Grehs and
                  Ney Calazans and
                  Fernando Moraes},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Infrastructure for dynamic reconfigurable systems: choices and trade-offs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {44--49},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150360},
  doi          = {10.1145/1150343.1150360},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MollerSCGCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoMGCM06,
  author       = {Leonel Tedesco and
                  Aline Mello and
                  Leonardo Giacomet and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Application driven traffic modeling for NoCs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {62--67},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150364},
  doi          = {10.1145/1150343.1150364},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoMGCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OstMPMC05,
  author       = {Luciano Ost and
                  Aline Mello and
                  Jos{\'{e}} Palma and
                  Fernando Gehm Moraes and
                  Ney Calazans},
  editor       = {Tingao Tang},
  title        = {{MAIA:} a framework for networks on chip generation and verification},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {49--52},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120741},
  doi          = {10.1145/1120725.1120741},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/OstMPMC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AmoryLMM05,
  author       = {Alexandre M. Amory and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes and
                  Edson I. Moreno},
  title        = {Test Time Reduction Reusing Multiple Processors in a Network-on-Chip
                  Based Architecture},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {62--63},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.304},
  doi          = {10.1109/DATE.2005.304},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/AmoryLMM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MarconCMSRH05,
  author       = {C{\'{e}}sar A. M. Marcon and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Altamiro Amadeu Susin and
                  Igor M. Reis and
                  Fabiano Hessel},
  title        = {Exploring NoC Mapping Strategies: An Energy and Timing Aware Technique},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {502--507},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.149},
  doi          = {10.1109/DATE.2005.149},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MarconCMSRH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/AmoryBCLM05,
  author       = {Alexandre M. Amory and
                  Eduardo Wenzel Bri{\~{a}}o and
                  {\'{E}}rika F. Cota and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes},
  title        = {A scalable test strategy for network-on-chip routers},
  booktitle    = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005,
                  Austin, TX, USA, November 8-10, 2005},
  pages        = {9},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/TEST.2005.1584020},
  doi          = {10.1109/TEST.2005.1584020},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/AmoryBCLM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/MesquitaTTSCRM05,
  author       = {Daniel Mesquita and
                  Jean{-}Denis Techer and
                  Lionel Torres and
                  Gilles Sassatelli and
                  Gaston Cambon and
                  Michel Robert and
                  Fernando Moraes},
  editor       = {Gilles Sassatelli and
                  Manfred Glesner and
                  Lionel Torres and
                  Leandro Soares Indrusiak and
                  Thomas Hollstein},
  title        = {A new hardware countermeasure for masking power signatures of crypto
                  cores},
  booktitle    = {Proceedings of the 1st International Workshop on Reconfigurable Communication-centric
                  Systems-on-Chip, ReCoSoC 2005, Montpellier, France, June 2005},
  pages        = {169--176},
  publisher    = {Univ. Montpellier {II}},
  year         = {2005},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/recosoc/MesquitaTTSCRM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MesquitaTTSCRM05,
  author       = {Daniel Mesquita and
                  Jean{-}Denis Techer and
                  Lionel Torres and
                  Gilles Sassatelli and
                  Gaston Cambon and
                  Michel Robert and
                  Fernando Moraes},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Current mask generation: a transistor level security against {DPA}
                  attacks},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {115--120},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081114},
  doi          = {10.1145/1081081.1081114},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MesquitaTTSCRM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MelloTCM05,
  author       = {Aline Mello and
                  Leonel Tedesco and
                  Ney Calazans and
                  Fernando Moraes},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Virtual channels in networks on chip: implementation and evaluation
                  on hermes NoC},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {178--183},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081128},
  doi          = {10.1145/1081081.1081128},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MelloTCM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoMGCM05,
  author       = {Leonel Tedesco and
                  Aline Mello and
                  Diego Garibotti and
                  Ney Calazans and
                  Fernando Moraes},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Traffic generation and performance evaluation for mesh-based NoCs},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {184--189},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081129},
  doi          = {10.1145/1081081.1081129},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoMGCM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PalmaMMCRS05,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans and
                  Ricardo A. L. Reis and
                  Altamiro Amadeu Susin},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Mapping embedded systems onto NoCs: the traffic effect on dynamic
                  energy estimation},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {196--201},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081131},
  doi          = {10.1145/1081081.1081131},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PalmaMMCRS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MarconPCMSR05,
  author       = {C{\'{e}}sar A. M. Marcon and
                  Jos{\'{e}} Carlos S. Palma and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Altamiro Amadeu Susin and
                  Ricardo Augusto da Luz Reis},
  editor       = {Ricardo Augusto da Luz Reis and
                  Adam Osseiran and
                  Hans{-}J{\"{o}}rg Pfleiderer},
  title        = {Modeling the Traffic Effect for the Application Cores Mapping Problem
                  onto NoCs},
  booktitle    = {VLSI-SoC: From Systems To Silicon, Proceedings of {IFIP} {TC} 10,
                  {WG} 10.5, Thirteenth International Conference on Very Large Scale
                  Integration of System on Chip (VLSI-SoC 2005), October 17-19, 2005,
                  Perth, Australia},
  series       = {{IFIP}},
  volume       = {240},
  pages        = {179--194},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/978-0-387-73661-7\_12},
  doi          = {10.1007/978-0-387-73661-7\_12},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/MarconPCMSR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MesquitaTTRCSM05,
  author       = {Daniel Mesquita and
                  Jean{-}Denis Techer and
                  Lionel Torres and
                  Michel Robert and
                  Guy Cath{\'{e}}bras and
                  Gilles Sassatelli and
                  Fernando Gehm Moraes},
  editor       = {Ricardo Augusto da Luz Reis and
                  Adam Osseiran and
                  Hans{-}J{\"{o}}rg Pfleiderer},
  title        = {Current Mask Generation: an Analog Circuit to Thwart {DPA} Attacks},
  booktitle    = {VLSI-SoC: From Systems To Silicon, Proceedings of {IFIP} {TC} 10,
                  {WG} 10.5, Thirteenth International Conference on Very Large Scale
                  Integration of System on Chip (VLSI-SoC 2005), October 17-19, 2005,
                  Perth, Australia},
  series       = {{IFIP}},
  volume       = {240},
  pages        = {317--330},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/978-0-387-73661-7\_20},
  doi          = {10.1007/978-0-387-73661-7\_20},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/MesquitaTTRCSM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/MoraesCMMO04,
  author       = {Fernando Gehm Moraes and
                  Ney Calazans and
                  Aline Mello and
                  Leandro M{\"{o}}ller and
                  Luciano Ost},
  title        = {{HERMES:} an infrastructure for low area overhead packet-switching
                  networks on chip},
  journal      = {Integr.},
  volume       = {38},
  number       = {1},
  pages        = {69--93},
  year         = {2004},
  url          = {https://doi.org/10.1016/j.vlsi.2004.03.003},
  doi          = {10.1016/J.VLSI.2004.03.003},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/MoraesCMMO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MelloMCM04,
  author       = {Aline Mello and
                  Leandro M{\"{o}}ller and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  title        = {MultiNoC: {A} Multiprocessing System Enabled by a Network on Chip},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {234--239},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2005.218},
  doi          = {10.1109/DATE.2005.218},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MelloMCM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/MollerCMBCC04,
  author       = {Leandro M{\"{o}}ller and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Eduardo Wenzel Bri{\~{a}}o and
                  Ewerson Carvalho and
                  Daniel Camozzato},
  editor       = {J{\"{u}}rgen Becker and
                  Marco Platzner and
                  Serge Vernalde},
  title        = {FiPRe: An Implementation Model to Enable Self-Reconfigurable Applications},
  booktitle    = {Field Programmable Logic and Application, 14th International Conference
                  , {FPL} 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3203},
  pages        = {1042--1046},
  publisher    = {Springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/978-3-540-30117-2\_123},
  doi          = {10.1007/978-3-540-30117-2\_123},
  timestamp    = {Fri, 19 Jul 2019 13:02:47 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/MollerCMBCC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CarvalhoCBM04,
  author       = {Ewerson Carvalho and
                  Ney Calazans and
                  Eduardo Wenzel Bri{\~{a}}o and
                  Fernando Moraes},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {PaDReH: a framework for the design and implementation of dynamically
                  and partially reconfigurable systems},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {10--15},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016580},
  doi          = {10.1145/1016568.1016580},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CarvalhoCBM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmoryCLM04,
  author       = {Alexandre M. Amory and
                  {\'{E}}rika F. Cota and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Reducing test time with processor reuse in network-on-chip based systems},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016602},
  doi          = {10.1145/1016568.1016602},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmoryCLM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MoraesMPMC03,
  author       = {Fernando Gehm Moraes and
                  Daniel Mesquita and
                  Jos{\'{e}} Carlos S. Palma and
                  Leandro M{\"{o}}ller and
                  Ney Laert Vilar Calazans},
  title        = {Development of a Tool-Set for Remote and Partial Reconfiguration of
                  FPGAs},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {11122--11123},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10217},
  doi          = {10.1109/DATE.2003.10217},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MoraesMPMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/BonatoMFFM03,
  author       = {Vanderlei Bonato and
                  Rolf Fredi Molz and
                  Jo{\~{a}}o Carlos Furtado and
                  Marcos Fl{\^{o}}res Ferr{\~{a}}o and
                  Fernando Gehm Moraes},
  editor       = {Steve Trimberger and
                  Russell Tessier},
  title        = {Design of a fingerprint system using a hardware/software environment},
  booktitle    = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable
                  Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003},
  pages        = {240},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/611817.611860},
  doi          = {10.1145/611817.611860},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/BonatoMFFM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/BonatoMFFM03,
  author       = {Vanderlei Bonato and
                  Rolf Fredi Molz and
                  Jo{\~{a}}o Carlos Furtado and
                  Marcos Fl{\^{o}}res Ferr{\~{a}}o and
                  Fernando Gehm Moraes},
  editor       = {Peter Y. K. Cheung and
                  George A. Constantinides and
                  Jos{\'{e}} T. de Sousa},
  title        = {Propose of a Hardware Implementation for Fingerprint Systems},
  booktitle    = {Field Programmable Logic and Application, 13th International Conference,
                  {FPL} 2003, Lisbon, Portugal, September 1-3, 2003, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2778},
  pages        = {1158--1161},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/978-3-540-45234-8\_143},
  doi          = {10.1007/978-3-540-45234-8\_143},
  timestamp    = {Tue, 14 May 2019 10:00:48 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/BonatoMFFM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/MesquitaMPMC03,
  author       = {Daniel Mesquita and
                  Fernando Gehm Moraes and
                  Jos{\'{e}} Palma and
                  Leandro M{\"{o}}ller and
                  Ney Laert Vilar Calazans},
  title        = {Remote and Partial Reconfiguration of FPGAs: Tools and Trends},
  booktitle    = {17th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings},
  pages        = {177},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/IPDPS.2003.1213326},
  doi          = {10.1109/IPDPS.2003.1213326},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/MesquitaMPMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CarroCCMB03,
  author       = {Luigi Carro and
                  Edgard de Faria Corr{\^{e}}a and
                  R. Cardozo and
                  Fernando Moraes and
                  Sergio Bampi},
  title        = {Exploiting reconfigurability for low-power control of embedded processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {421--424},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206303},
  doi          = {10.1109/ISCAS.2003.1206303},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CarroCCMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraHPM03,
  author       = {Sandro Binsfeld Ferreira and
                  Jos{\'{e}} Felipe Haffner and
                  Lu{\'{\i}}s Fernando Alves Pereira and
                  Fernando Moraes},
  title        = {Design and Prototyping of Direct Torque Control of Induction Motors
                  in FPGAs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {105--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232814},
  doi          = {10.1109/SBCCI.2003.1232814},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraHPM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CalazansMHRMC03,
  author       = {Ney Laert Vilar Calazans and
                  Edson I. Moreno and
                  Fabiano Hessel and
                  Vitor M. da Rosa and
                  Fernando Moraes and
                  Everton Carara},
  title        = {From {VHDL} Register Transfer Level to SystemC Transaction Level Modeling:
                  {A} Comparative Case Study},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {355},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232853},
  doi          = {10.1109/SBCCI.2003.1232853},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CalazansMHRMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AmoryOM03a,
  author       = {Alexandre M. Amory and
                  Leandro A. Oliveira and
                  Fernando Gehm Moraes},
  editor       = {Manfred Glesner and
                  Ricardo Augusto da Luz Reis and
                  Leandro Soares Indrusiak and
                  Vincent John Mooney III and
                  Hans Eveking},
  title        = {Software-Based Test for Nonprogrammable Cores in Bus-Based System-On-Chip
                  Architectures},
  booktitle    = {{VLSI-SOC:} From Systems to Chips - {IFIP} {TC} 10/ {WG} 10.5 Twelfth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC 2003), December 1-3, 2003, Darmstadt, Germany},
  series       = {{IFIP}},
  volume       = {200},
  pages        = {165--179},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/0-387-33403-3\_11},
  doi          = {10.1007/0-387-33403-3\_11},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/AmoryOM03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AmoryOM03,
  author       = {Alexandre M. Amory and
                  Leandro A. Oliveira and
                  Fernando Gehm Moraes},
  editor       = {Manfred Glesner and
                  Ricardo Augusto da Luz Reis and
                  Hans Eveking and
                  Vincent John Mooney III and
                  Leandro Soares Indrusiak and
                  Peter Zipf},
  title        = {Software-Based Test for Non-Programmable Cores in Bus-Based System-on-Chip
                  Architectures},
  booktitle    = {{IFIP} VLSI-SoC 2003, {IFIP} {WG} 10.5 International Conference on
                  Very Large Scale Integration of System-on-Chip, Darmstadt, Germany,
                  1-3 December 2003},
  pages        = {174--179},
  publisher    = {Technische Universit{\"{a}}t Darmstadt, Insitute of Microelectronic
                  Systems},
  year         = {2003},
  timestamp    = {Thu, 07 Oct 2004 09:29:26 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/AmoryOM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MesquitaTMSR03,
  author       = {Daniel Mesquita and
                  Lionel Torres and
                  Fernando Gehm Moraes and
                  Gilles Sassatelli and
                  Michel Robert},
  editor       = {Manfred Glesner and
                  Ricardo Augusto da Luz Reis and
                  Hans Eveking and
                  Vincent John Mooney III and
                  Leandro Soares Indrusiak and
                  Peter Zipf},
  title        = {Are coarse grain reconfigurable architectures suitable for cryptography?},
  booktitle    = {{IFIP} VLSI-SoC 2003, {IFIP} {WG} 10.5 International Conference on
                  Very Large Scale Integration of System-on-Chip, Darmstadt, Germany,
                  1-3 December 2003},
  pages        = {276--281},
  publisher    = {Technische Universit{\"{a}}t Darmstadt, Insitute of Microelectronic
                  Systems},
  year         = {2003},
  timestamp    = {Thu, 22 Jan 2004 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/MesquitaTMSR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MoraesMMOC03,
  author       = {Fernando Gehm Moraes and
                  Aline Mello and
                  Leandro M{\"{o}}ller and
                  Luciano Ost and
                  Ney Laert Vilar Calazans},
  editor       = {Manfred Glesner and
                  Ricardo Augusto da Luz Reis and
                  Hans Eveking and
                  Vincent John Mooney III and
                  Leandro Soares Indrusiak and
                  Peter Zipf},
  title        = {A Low Area Overhead Packet-switched Network on Chip: Architecture
                  and Prototyping},
  booktitle    = {{IFIP} VLSI-SoC 2003, {IFIP} {WG} 10.5 International Conference on
                  Very Large Scale Integration of System-on-Chip, Darmstadt, Germany,
                  1-3 December 2003},
  pages        = {318--323},
  publisher    = {Technische Universit{\"{a}}t Darmstadt, Insitute of Microelectronic
                  Systems},
  year         = {2003},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/MoraesMMOC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/MarconHARMC02,
  author       = {C{\'{e}}sar A. M. Marcon and
                  Fabiano Hessel and
                  Alexandre M. Amory and
                  Luis H. L. Ries and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {Prototyping of embedded digital systems from {SDL} language: a case
                  study},
  booktitle    = {Seventh {IEEE} International High-Level Design Validation and Test
                  Workshop 2002, Cannes, France, October 27-29, 2002},
  pages        = {133--138},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/HLDVT.2002.1224442},
  doi          = {10.1109/HLDVT.2002.1224442},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/MarconHARMC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Amory0OCH02,
  author       = {Alexandre M. Amory and
                  Fernando Moraes and
                  Leandro A. Oliveira and
                  Ney Calazans and
                  Fabiano Hessel},
  title        = {A Heterogeneous and Distributed Co-Simulation Environment},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {115--120},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827374},
  doi          = {10.5555/827246.827374},
  timestamp    = {Fri, 10 Jun 2022 11:12:41 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Amory0OCH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PalmaMM0C02,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  Aline Vieira de Mello and
                  Leandro M{\"{o}}ller and
                  Fernando Moraes and
                  Ney Calazans},
  title        = {Core Communication Interface for FPGAs},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {183--190},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827400},
  doi          = {10.5555/827246.827400},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PalmaMM0C02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarconCM02,
  author       = {C{\'{e}}sar Augusto Missio Marcon and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Requirements, Primitives and Models for Systems Specification},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {323--330},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827355},
  doi          = {10.5555/827246.827355},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarconCM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/rita/CalazansMTA01,
  author       = {Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes and
                  Delfim Luiz Torok and
                  Andrey V. Andreoli},
  title        = {Projeto para Prototipa{\c{c}}{\~{a}}o de um {IP} Soft Core {MAC} Ethernet},
  journal      = {{RITA}},
  volume       = {8},
  number       = {1},
  pages        = {23--41},
  year         = {2001},
  timestamp    = {Mon, 24 May 2004 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/rita/CalazansMTA01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/te/CalazansM01,
  author       = {Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Integrating the teaching of computer organization and architecture
                  with digital hardware design early in undergraduate courses},
  journal      = {{IEEE} Trans. Educ.},
  volume       = {44},
  number       = {2},
  pages        = {109--119},
  year         = {2001},
  url          = {https://doi.org/10.1109/13.925805},
  doi          = {10.1109/13.925805},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/te/CalazansM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001AC0P01,
  author       = {Fernando Moraes and
                  Alexandre M. Amory and
                  Ney Calazans and
                  Eduardo Bezerra and
                  Juracy Petrini},
  title        = {Using the {CAN} Protocol and Reconfigurable Computing Technology for
                  Web-Based Smart House Auto},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {38--43},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883919},
  doi          = {10.5555/882483.883919},
  timestamp    = {Fri, 03 Jun 2022 10:49:44 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001AC0P01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Martins0001,
  author       = {Jo{\~{a}}o Baptista dos Santos Martins and
                  Fernando Moraes and
                  Ricardo Reis},
  title        = {Interconnection Length Estimation at Logic-Level},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {98--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883900},
  doi          = {10.5555/882483.883900},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Martins0001.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Fragoso0000,
  author       = {Jo{\~{a}}o Leonardo Fragoso and
                  Fernando Moraes and
                  Ricardo Reis},
  title        = {{WTROPIC:} {A} WWW-Based Macro-Cell Generator},
  booktitle    = {Proceedings of the 13th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2000, Manaus, Brazil, September 18-24, 2000},
  pages        = {133--138},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://dl.acm.org/doi/10.5555/827245.827330},
  doi          = {10.5555/827245.827330},
  timestamp    = {Fri, 03 Jun 2022 10:50:13 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Fragoso0000.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MolzEMTR00,
  author       = {Rolf Fredi Molz and
                  Paulo Martins Engel and
                  Fernando Gehm Moraes and
                  Lionel Torres and
                  Michel Robert},
  title        = {Design of a Classification System for Rectangular Shapes Using a Co-Design
                  Environment},
  booktitle    = {Proceedings of the 13th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2000, Manaus, Brazil, September 18-24, 2000},
  pages        = {281--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://dl.acm.org/doi/10.5555/827245.827324},
  doi          = {10.5555/827245.827324},
  timestamp    = {Thu, 02 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MolzEMTR00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ferreira0000,
  author       = {F. K. Ferreira and
                  Fernando Moraes and
                  Ricardo Reis},
  title        = {LASCA-Interconnect Parasitic Extraction Tool for Deep-Submicron {IC}
                  Design},
  booktitle    = {Proceedings of the 13th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2000, Manaus, Brazil, September 18-24, 2000},
  pages        = {327--332},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://dl.acm.org/doi/10.5555/827245.827294},
  doi          = {10.5555/827245.827294},
  timestamp    = {Thu, 02 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ferreira0000.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-5/MoraesRA99,
  author       = {Fernando Moraes and
                  Michel Robert and
                  Daniel Auvergne},
  editor       = {L. Miguel Silveira and
                  Srinivas Devadas and
                  Ricardo Augusto da Luz Reis},
  title        = {A Virtual {CMOS} Library Approach for East Layout Synthesis},
  booktitle    = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International
                  Conference on Very Large Scale Integration {(VLSI} '99), December
                  1-4, 1999, Lisbon, Portugal},
  series       = {{IFIP} Conference Proceedings},
  volume       = {162},
  pages        = {415--426},
  publisher    = {Kluwer},
  year         = {1999},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ifip10-5/MoraesRA99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/X98a,
  author       = {Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel and
                  Ana Cristina Medina Pinto and
                  Fernando Moraes and
                  Ricardo Reis},
  title        = {An Improved Path Enumeration Method Considering Different Fall and
                  Rise Gate Delays},
  booktitle    = {Proceedings of the 11th Annual Symposium on Integrated Circuits Design,
                  {SBCCI} 1998, Rio de Janiero, Brazil, September 30 - October 2, 1998},
  pages        = {208--212},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.ieeecomputersociety.org/10.1109/SBCCI.1998.715443},
  doi          = {10.1109/SBCCI.1998.715443},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/X98a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/RobertTMA94,
  author       = {Michel Robert and
                  Lionel Torres and
                  Fernando Moraes and
                  Daniel Auvergne},
  editor       = {Reiner W. Hartenstein and
                  Michal Serv{\'{\i}}t},
  title        = {Influence of Locig Block Layout Architecture on {FPGA} Performance},
  booktitle    = {Field-Programmable Logic, Architectures, Synthesis and Applications,
                  4th International Workshop on Field-Programmable Logic and Applications,
                  {FPL} '94, Prague, Czech Republic, September 7-9, 1994, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {849},
  pages        = {34--44},
  publisher    = {Springer},
  year         = {1994},
  url          = {https://doi.org/10.1007/3-540-58419-6\_67},
  doi          = {10.1007/3-540-58419-6\_67},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/RobertTMA94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics