Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Akash Kumar 0001
@article{DBLP:journals/access/KumarRK24, author = {Vikash Kumar and Behnaz Ranjbar and Akash Kumar}, title = {Utilizing Machine Learning Techniques for Worst-Case Execution Time Estimation on {GPU} Architectures}, journal = {{IEEE} Access}, volume = {12}, pages = {41464--41478}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3379018}, doi = {10.1109/ACCESS.2024.3379018}, timestamp = {Sat, 30 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KumarRK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AsharRTVK24, author = {Neha Ashar and Gopal Raut and Vasundhara Trivedi and Santosh Kumar Vishvakarma and Akash Kumar}, title = {QuantMAC: Enhancing Hardware Performance in DNNs With Quantize Enabled Multiply-Accumulate Unit}, journal = {{IEEE} Access}, volume = {12}, pages = {43600--43614}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3379906}, doi = {10.1109/ACCESS.2024.3379906}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AsharRTVK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/GohringerKK24, author = {Diana G{\"{o}}hringer and Georgios Keramidas and Akash Kumar}, title = {Introduction to the {FPL} 2021 Special Section}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {17}, number = {1}, pages = {13:1--13:2}, year = {2024}, url = {https://doi.org/10.1145/3635115}, doi = {10.1145/3635115}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/GohringerKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-07957, author = {Max Sponner and Lorenzo Servadei and Bernd Waschneck and Robert Wille and Akash Kumar}, title = {Efficient Post-Training Augmentation for Adaptive Inference in Heterogeneous and Distributed IoT Environments}, journal = {CoRR}, volume = {abs/2403.07957}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07957}, doi = {10.48550/ARXIV.2403.07957}, eprinttype = {arXiv}, eprint = {2403.07957}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07957.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-07958, author = {Max Sponner and Lorenzo Servadei and Bernd Waschneck and Robert Wille and Akash Kumar}, title = {Temporal Decisions: Leveraging Temporal Correlation for Efficient Decisions in Early Exit Neural Networks}, journal = {CoRR}, volume = {abs/2403.07958}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07958}, doi = {10.48550/ARXIV.2403.07958}, eprinttype = {arXiv}, eprint = {2403.07958}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07958.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AgrawalAMSBK23, author = {Rohit Agrawal and Kapil Ahuja and Dhaarna Maheshwari and Mohd Ubaid Shaikh and Mohamed Bouaziz and Akash Kumar}, title = {Parallel {FPGA} Routers With Lagrange Relaxation}, journal = {{IEEE} Access}, volume = {11}, pages = {121786--121799}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3328769}, doi = {10.1109/ACCESS.2023.3328769}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AgrawalAMSBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/ZhaoUSK23, author = {Yuankang Zhao and Salim Ullah and Siva Satyendra Sahoo and Akash Kumar}, title = {NvMISC: Toward an FPGA-Based Emulation Platform for {RISC-V} and Nonvolatile Memories}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {15}, number = {4}, pages = {170--173}, year = {2023}, url = {https://doi.org/10.1109/LES.2023.3299202}, doi = {10.1109/LES.2023.3299202}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esl/ZhaoUSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/LiuRUK23, author = {Yuhao Liu and Shubham Rai and Salim Ullah and Akash Kumar}, title = {High-Flexibility Designs of Quantized Runtime Reconfigurable Multi-Precision Multipliers}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {15}, number = {4}, pages = {194--197}, year = {2023}, url = {https://doi.org/10.1109/LES.2023.3298736}, doi = {10.1109/LES.2023.3298736}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esl/LiuRUK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/MousaviP0C23, author = {Mahsa Mousavi and Hamid Reza Pourshaghaghi and Akash Kumar and Henk Corporaal}, title = {{MTTR} reduction of {FPGA} scrubbing: Exploring {SEU} sensitivity}, journal = {Microprocess. Microsystems}, volume = {101}, pages = {104841}, year = {2023}, url = {https://doi.org/10.1016/j.micpro.2023.104841}, doi = {10.1016/J.MICPRO.2023.104841}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/MousaviP0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/EbrahimiZWK23, author = {Zahra Ebrahimi and Muhammad Zaid and Mark Wijtvliet and Akash Kumar}, title = {{RAPID:} Approximate Pipelined Soft Multipliers and Dividers for High Throughput and Energy Efficiency}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {3}, pages = {712--725}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3184928}, doi = {10.1109/TCAD.2022.3184928}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/EbrahimiZWK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RaiCRMK23, author = {Shubham Rai and Alessandro Tempia Calvino and Heinz Riener and Giovanni De Micheli and Akash Kumar}, title = {Utilizing XMG-Based Synthesis to Preserve Self-Duality for RFET-Based Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {3}, pages = {914--927}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3184633}, doi = {10.1109/TCAD.2022.3184633}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/RaiCRMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PotluriKKBA23, author = {Seetal Potluri and Shamik Kundu and Akash Kumar and Kanad Basu and Aydin Aysu}, title = {SeqL+: Secure Scan-Obfuscation With Theoretical and Empirical Validation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {5}, pages = {1406--1410}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3199153}, doi = {10.1109/TCAD.2022.3199153}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PotluriKKBA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KavandDRK23, author = {Nima Kavand and Armin Darjani and Shubham Rai and Akash Kumar}, title = {Design of Energy-Efficient RFET-Based Exact and Approximate 4:2 Compressors and Multipliers}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {9}, pages = {3644--3648}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3275983}, doi = {10.1109/TCSII.2023.3275983}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KavandDRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ShrivastavaC0023, author = {Aviral Shrivastava and Jian{-}Jia Chen and Akash Kumar and Anup Das}, title = {{ACM} {TECS} Special Issue on Embedded System Security Tutorials}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {3}, pages = {41:1--41:2}, year = {2023}, url = {https://doi.org/10.1145/3594872}, doi = {10.1145/3594872}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/ShrivastavaC0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SahooUK23, author = {Siva Satyendra Sahoo and Salim Ullah and Akash Kumar}, title = {\emph{AxOTreeS}: {A} Tree Search Approach to Synthesizing FPGA-based Approximate Operators}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {101:1--101:26}, year = {2023}, url = {https://doi.org/10.1145/3609096}, doi = {10.1145/3609096}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/SahooUK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/RanjanUS023, author = {Rohit Ranjan and Salim Ullah and Siva Satyendra Sahoo and Akash Kumar}, editor = {Atsushi Takahashi}, title = {SyFAxO-GeN: Synthesizing FPGA-Based Approximate Operators with Generative Networks}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {402--409}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567891}, doi = {10.1145/3566097.3567891}, timestamp = {Mon, 26 Jun 2023 20:46:40 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/RanjanUS023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codesisss/KavandDTGMMMFMWDHHPK23, author = {Nima Kavand and Armin Darjani and Jens Trommer and Giulio Galderisi and Thomas Mikolajick and Nicolai M{\"{u}}ller and Amir Moradi and Chongzhou Fang and Ning Miao and Han Wang and Sai Manoj P. D. and Houman Homayoun and Benjamin Hettwer and Luca Parrini and Akash Kumar}, title = {Special Session: Mitigating Side-Channel Attacks Through Circuit to Application Layer Approaches}, booktitle = {International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2023, Hamburg, Germany, September 17-22, 2023}, pages = {8--17}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1145/3607888.3608963}, doi = {10.1145/3607888.3608963}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codesisss/KavandDTGMMMFMWDHHPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DarjaniKRK23, author = {Armin Darjani and Nima Kavand and Shubham Rai and Akash Kumar}, title = {Discerning Limitations of GNN-based Attacks on Logic Locking}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247847}, doi = {10.1109/DAC56929.2023.10247847}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/DarjaniKRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RanjbarHK23, author = {Behnaz Ranjbar and Ali Hosseinghorban and Akash Kumar}, title = {{ADAPTIVE:} Agent-Based Learning for Bounding Time in Mixed-Criticality Systems}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10248007}, doi = {10.1109/DAC56929.2023.10248007}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RanjbarHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/damon/MoghaddamfarFL023, author = {Mehdi Moghaddamfar and Christian F{\"{a}}rber and Wolfgang Lehner and Akash Kumar}, editor = {Norman May and Nesime Tatbul}, title = {KeRRaS: Sort-Based Database Query Processing on Wide Tables Using FPGAs}, booktitle = {Proceedings of the 19th International Workshop on Data Management on New Hardware, DaMoN 2023, Seattle, WA, USA, June 18-23, 2023}, pages = {1--9}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3592980.3595300}, doi = {10.1145/3592980.3595300}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/damon/MoghaddamfarFL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RanjbarHK23, author = {Behnaz Ranjbar and Ali Hosseinghorban and Akash Kumar}, title = {Motivating Agent-Based Learning for Bounding Time in Mixed-Criticality Systems}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137189}, doi = {10.23919/DATE56975.2023.10137189}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RanjbarHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RanjbarKGAJDSLSLWMCBK23, author = {Behnaz Ranjbar and Florian Klemme and Paul R. Genssler and Hussam Amrouch and Jinhyo Jung and Shail Dave and Hwisoo So and Kyongwoo Lee and Aviral Shrivastava and Ji{-}Yung Lin and Pieter Weckx and Subrat Mishra and Francky Catthoor and Dwaipayan Biswas and Akash Kumar}, title = {Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137182}, doi = {10.23919/DATE56975.2023.10137182}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RanjbarKGAJDSLSLWMCBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TrommerBMHMDHDRKDKSDKW23, author = {Jens Trommer and N. Bhattacharjee and Thomas Mikolajick and Sebastian Huhn and Marcel Merten and M. E. Djeridane and Muhammad Hassan and Rolf Drechsler and Shubham Rai and Nima Kavand and Armin Darjani and Akash Kumar and V. Sessi and M. Drescher and S. Kolodinski and M. Wiatr}, title = {Design Enablement Flow for Circuits with Inherent Obfuscation based on Reconfigurable Transistors}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136918}, doi = {10.23919/DATE56975.2023.10136918}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TrommerBMHMDHDRKDKSDKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/TrommerWK23, author = {Elias Trommer and Bernd Waschneck and Akash Kumar}, editor = {Maksim Jenihhin and Hana Kub{\'{a}}tov{\'{a}} and Nele Metens and Jaan Raik and Foisal Ahmed and Jan Belohoubek}, title = {High-Throughput Approximate Multiplication Models in PyTorch}, booktitle = {26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2023, Tallinn, Estonia, May 3-5, 2023}, pages = {79--82}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DDECS57882.2023.10139366}, doi = {10.1109/DDECS57882.2023.10139366}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/ddecs/TrommerWK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/MoghaddamfarMFL23, author = {Mehdi Moghaddamfar and Norman May and Christian F{\"{a}}rber and Wolfgang Lehner and Akash Kumar}, editor = {Paolo Ienne and Zhiru Zhang}, title = {A Study of Early Aggregation in Database Query Processing on FPGAs}, booktitle = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February 12-14, 2023}, pages = {55--65}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543622.3573194}, doi = {10.1145/3543622.3573194}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/MoghaddamfarMFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/UllahS023, author = {Salim Ullah and Siva Satyendra Sahoo and Akash Kumar}, editor = {Himanshu Thapliyal and Ronald F. DeMara and Inna Partin{-}Vaisband and Srinivas Katkoori}, title = {CoOAx: Correlation-aware Synthesis of FPGA-based Approximate Operators}, booktitle = {Proceedings of the Great Lakes Symposium on {VLSI} 2023, {GLSVLSI} 2023, Knoxville, TN, USA, June 5-7, 2023}, pages = {671--677}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583781.3590222}, doi = {10.1145/3583781.3590222}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/UllahS023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LiuRUK23, author = {Yuhao Liu and Shubham Rai and Salim Ullah and Akash Kumar}, title = {NetPU-M: a Generic Reconfigurable Neural Network Accelerator Architecture for MLPs}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023 - Workshops, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {85--92}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPSW59300.2023.00026}, doi = {10.1109/IPDPSW59300.2023.00026}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/LiuRUK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaravananBR0D23, author = {Raghul Saravanan and Sathwika Bavikadi and Shubham Rai and Akash Kumar and Sai Manoj Pudukotai Dinakarrao}, title = {Reconfigurable {FET} Approximate Computing-based Accelerator for Deep Learning Applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181758}, doi = {10.1109/ISCAS46773.2023.10181758}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaravananBR0D23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/MarckerRRGMTK23, author = {Steffen M{\"{a}}rcker and Michael Raitza and Shubham Rai and Giulio Galderisi and Thomas Mikolajick and Jens Trommer and Akash Kumar}, title = {Formal Analysis of Camouflaged Reconfigurable Circuits}, booktitle = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh, United Kingdom, June 26-28, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NEWCAS57931.2023.10198196}, doi = {10.1109/NEWCAS57931.2023.10198196}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/MarckerRRGMTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-05686, author = {Max Sponner and Julius Ott and Lorenzo Servadei and Bernd Waschneck and Robert Wille and Akash Kumar}, title = {Temporal Patience: Efficient Adaptive Deep Learning for Embedded Radar Data Processing}, journal = {CoRR}, volume = {abs/2309.05686}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.05686}, doi = {10.48550/ARXIV.2309.05686}, eprinttype = {arXiv}, eprint = {2309.05686}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-05686.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-12830, author = {Siva Satyendra Sahoo and Salim Ullah and Soumyo Bhattacharjee and Akash Kumar}, title = {AxOCS: Scaling FPGA-based Approximate Operators using Configuration Supersampling}, journal = {CoRR}, volume = {abs/2309.12830}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.12830}, doi = {10.48550/ARXIV.2309.12830}, eprinttype = {arXiv}, eprint = {2309.12830}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-12830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13445, author = {Siva Satyendra Sahoo and Salim Ullah and Akash Kumar}, title = {AxOMaP: Designing FPGA-based Approximate Arithmetic Operators using Mathematical Programming}, journal = {CoRR}, volume = {abs/2309.13445}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13445}, doi = {10.48550/ARXIV.2309.13445}, eprinttype = {arXiv}, eprint = {2309.13445}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13445.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CordaVARJKBC22, author = {Stefano Corda and Bram Veenboer and Ahsan Javed Awan and John W. Romein and Roel Jordans and Akash Kumar and Albert{-}Jan Boonstra and Henk Corporaal}, title = {Reduced-Precision Acceleration of Radio-Astronomical Imaging on Reconfigurable Hardware}, journal = {{IEEE} Access}, volume = {10}, pages = {22819--22843}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3150861}, doi = {10.1109/ACCESS.2022.3150861}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CordaVARJKBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computers/RanjbarASEK22, author = {Behnaz Ranjbar and Hamidreza Alikhani and Bardia Safaei and Alireza Ejlali and Akash Kumar}, title = {Learning-Oriented QoS- and Drop-Aware Task Scheduling for Mixed-Criticality Systems}, journal = {Comput.}, volume = {11}, number = {7}, pages = {101}, year = {2022}, url = {https://doi.org/10.3390/computers11070101}, doi = {10.3390/COMPUTERS11070101}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computers/RanjbarASEK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LohanaRRK22, author = {Aditya Lohana and Ansh Rupani and Shubham Rai and Akash Kumar}, title = {Efficient Privacy-Aware Federated Learning by Elimination of Downstream Redundancy}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {73--81}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3063373}, doi = {10.1109/MDAT.2021.3063373}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LohanaRRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ParraSGKW22, author = {Cecilia De la Parra and Taha Soliman and Andre Guntoro and Akash Kumar and Norbert Wehn}, title = {Increasing Throughput of In-Memory {DNN} Accelerators by Flexible Layerwise {DNN} Approximation}, journal = {{IEEE} Micro}, volume = {42}, number = {6}, pages = {17--24}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3196865}, doi = {10.1109/MM.2022.3196865}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/ParraSGKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcands/NavardiRRE022, author = {Mozhgan Navardi and Behnaz Ranjbar and Nezam Rohbani and Alireza Ejlali and Akash Kumar}, title = {Peak-Power Aware Life-Time Reliability Improvement in Fault-Tolerant Mixed-Criticality Systems}, journal = {{IEEE} Open J. Circuits Syst.}, volume = {3}, pages = {199--215}, year = {2022}, url = {https://doi.org/10.1109/OJCAS.2022.3207598}, doi = {10.1109/OJCAS.2022.3207598}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ojcands/NavardiRRE022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/UllahRSK22, author = {Salim Ullah and Semeen Rehman and Muhammad Shafique and Akash Kumar}, title = {High-Performance Accurate and Approximate Multipliers for FPGA-Based Hardware Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {2}, pages = {211--224}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3056337}, doi = {10.1109/TCAD.2021.3056337}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/UllahRSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RanjbarHSEK22, author = {Behnaz Ranjbar and Ali Hosseinghorban and Mohammad Salehi and Alireza Ejlali and Akash Kumar}, title = {Toward the Design of Fault-Tolerance-Aware and Peak-Power-Aware Multicore Mixed-Criticality Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {5}, pages = {1509--1522}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3082495}, doi = {10.1109/TCAD.2021.3082495}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RanjbarHSEK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WijtvlietKC22, author = {Mark Wijtvliet and Akash Kumar and Henk Corporaal}, title = {Blocks: Challenging SIMDs and VLIWs With a Reconfigurable Architecture}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {9}, pages = {2915--2928}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3120541}, doi = {10.1109/TCAD.2021.3120541}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WijtvlietKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RanjbarHSEK22a, author = {Behnaz Ranjbar and Ali Hosseinghorban and Siva Satyendra Sahoo and Alireza Ejlali and Akash Kumar}, title = {{BOT-MICS:} Bounding Time Using Analytics in Mixed-Criticality Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {10}, pages = {3239--3251}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3127867}, doi = {10.1109/TCAD.2021.3127867}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RanjbarHSEK22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/UllahSACK22, author = {Salim Ullah and Siva Satyendra Sahoo and Nemath Ahmed and Debabrata Chaudhury and Akash Kumar}, title = {\emph{AppAxO}: Designing Application-specific Approximate Operators for FPGA-based Embedded Systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {3}, pages = {29:1--29:31}, year = {2022}, url = {https://doi.org/10.1145/3513262}, doi = {10.1145/3513262}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/UllahSACK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/RaiPRKSK22, author = {Shubham Rai and Satwik Patnaik and Ansh Rupani and Johann Knechtel and Ozgur Sinanoglu and Akash Kumar}, title = {Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {2}, pages = {763--778}, year = {2022}, url = {https://doi.org/10.1109/TETC.2020.3039375}, doi = {10.1109/TETC.2020.3039375}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/RaiPRKSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/EbrahimiKEK22, author = {Zahra Ebrahimi and Dennis Klar and Mohammad Aasim Ekhtiyar and Akash Kumar}, title = {Plasticine: {A} Cross-layer Approximation Methodology for Multi-kernel Applications through Minimally Biased, High-throughput, and Energy-efficient {SIMD} Soft Multiplier-divider}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {27}, number = {2}, pages = {16:1--16:33}, year = {2022}, url = {https://doi.org/10.1145/3486616}, doi = {10.1145/3486616}, timestamp = {Sat, 26 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/EbrahimiKEK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CalvinoRR0M22, author = {Alessandro Tempia Calvino and Heinz Riener and Shubham Rai and Akash Kumar and Giovanni De Micheli}, title = {A Versatile Mapping Approach for Technology Mapping and Graph Optimization}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {410--416}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712552}, doi = {10.1109/ASP-DAC52403.2022.9712552}, timestamp = {Fri, 04 Mar 2022 13:11:07 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/CalvinoRR0M22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NedaUGMM022, author = {Negar Neda and Salim Ullah and Azam Ghanbari and Hoda Mahdiani and Mehdi Modarressi and Akash Kumar}, title = {Multi-Precision Deep Neural Network Acceleration on FPGAs}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {454--459}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712485}, doi = {10.1109/ASP-DAC52403.2022.9712485}, timestamp = {Fri, 04 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/NedaUGMM022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaDWR022, author = {Nishant Gupta and Mohil Sandip Desai and Mark Wijtvliet and Shubham Rai and Akash Kumar}, editor = {Rob Oshana}, title = {{DELTA:} DEsigning a stealthy trigger mechanism for analog hardware trojans and its detection analysis}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {787--792}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530666}, doi = {10.1145/3489517.3530666}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuptaDWR022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaitzaMRK22, author = {Michael Raitza and Steffen M{\"{a}}rcker and Shubham Rai and Akash Kumar}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Exploring Standard-Cell Designs for Reconfigurable Nanotechnologies: {A} Formal Approach}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {23--28}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774620}, doi = {10.23919/DATE54114.2022.9774620}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/RaitzaMRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThummlerRK22, author = {Martin Th{\"{u}}mmler and Shubham Rai and Akash Kumar}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Improving Technology Mapping for And-Inverter-Cones}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {274--279}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774544}, doi = {10.23919/DATE54114.2022.9774544}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ThummlerRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BawatnaRK22, author = {Mohammad Bawatna and Behnaz Ranjbar and Akash Kumar}, title = {A Hybrid Scheduling Mechanism for Multi-programming in Mixed-Criticality Systems}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {181--188}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00033}, doi = {10.1109/DSD57027.2022.00033}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/BawatnaRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/ImmaneniUNSK22, author = {Amritha Immaneni and Salim Ullah and Suresh Nambi and Siva Satyendra Sahoo and Akash Kumar}, title = {PosAx-O: Exploring Operator-level Approximations for Posit Arithmetic in Embedded {AI/ML}}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {214--223}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00037}, doi = {10.1109/DSD57027.2022.00037}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/ImmaneniUNSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/MoghaddamfarFML22, author = {Mehdi Moghaddamfar and Christian F{\"{a}}rber and Norman May and Wolfgang Lehner and Akash Kumar}, title = {FPGA-Based Database Query Processing on Arbitrarily Wide Tables}, booktitle = {30th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2022, New York City, NY, USA, May 15-18, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FCCM53951.2022.9786091}, doi = {10.1109/FCCM53951.2022.9786091}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/MoghaddamfarFML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SpagnoloUC022, author = {Fanny Spagnolo and Salim Ullah and Pasquale Corsonello and Akash Kumar}, title = {{ERMES:} Efficient Racetrack Memory Emulation System based on {FPGA}}, booktitle = {32nd International Conference on Field-Programmable Logic and Applications, {FPL} 2022, Belfast, United Kingdom, August 29 - Sept. 2, 2022}, pages = {342--349}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FPL57034.2022.00059}, doi = {10.1109/FPL57034.2022.00059}, timestamp = {Mon, 20 Feb 2023 17:38:16 +0100}, biburl = {https://dblp.org/rec/conf/fpl/SpagnoloUC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuRU022, author = {Yuhao Liu and Shubham Rai and Salim Ullah and Akash Kumar}, title = {NetPU: Prototyping a Generic Reconfigurable Neural Network Accelerator Architecture}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974206}, doi = {10.1109/ICFPT56656.2022.9974206}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuRU022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DarjaniKRW022, author = {Armin Darjani and Nima Kavand and Shubham Rai and Mark Wijtvliet and Akash Kumar}, editor = {Ioannis Savidis and Avesta Sasan and Himanshu Thapliyal and Ronald F. DeMara}, title = {{ENTANGLE:} An Enhanced Logic-locking Technique for Thwarting {SAT} and Structural Attacks}, booktitle = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA, June 6 - 8, 2022}, pages = {147--151}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526241.3530371}, doi = {10.1145/3526241.3530371}, timestamp = {Fri, 03 Jun 2022 08:45:20 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/DarjaniKRW022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KavandDR022, author = {Nima Kavand and Armin Darjani and Shubham Rai and Akash Kumar}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Securing Hardware through Reconfigurable Nano-Structures}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {130:1--130:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3561116}, doi = {10.1145/3508352.3561116}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KavandDR022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TrommerWK22, author = {Elias Trommer and Bernd Waschneck and Akash Kumar}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Combining Gradients and Probabilities for Heterogeneous Approximation of Neural Networks}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {150:1--150:8}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549329}, doi = {10.1145/3508352.3549329}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TrommerWK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RangarajanPNARR22, author = {Nikhil Rangarajan and Satwik Patnaik and Mohammed Nabeel and Mohammed Ashraf and Shubham Rai and Gopal Raut and Heba Abunahla and Baker Mohammad and Santosh Kumar Vishvakarma and Akash Kumar and Johann Knechtel and Ozgur Sinanoglu}, title = {{SCRAMBLE:} {A} Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2022, Nicosia, Cyprus, July 4-6, 2022}, pages = {308--313}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISVLSI54635.2022.00067}, doi = {10.1109/ISVLSI54635.2022.00067}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/RangarajanPNARR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-13970, author = {Zahra Ebrahimi and Muhammad Zaid and Mark Wijtvliet and Akash Kumar}, title = {{RAPID:} AppRoximAte Pipelined Soft Multipliers and Dividers for High-Throughput and Energy-Efficiency}, journal = {CoRR}, volume = {abs/2206.13970}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.13970}, doi = {10.48550/ARXIV.2206.13970}, eprinttype = {arXiv}, eprint = {2206.13970}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-13970.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-07265, author = {Elias Trommer and Bernd Waschneck and Akash Kumar}, title = {Combining Gradients and Probabilities for Heterogeneous Approximation of Neural Networks}, journal = {CoRR}, volume = {abs/2208.07265}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.07265}, doi = {10.48550/ARXIV.2208.07265}, eprinttype = {arXiv}, eprint = {2208.07265}, timestamp = {Wed, 17 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-07265.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RaiNRVK21, author = {Shubham Rai and Pallab Nath and Ansh Rupani and Santosh Kumar Vishvakarma and Akash Kumar}, title = {A Survey of {FPGA} Logic Cell Designs in the Light of Emerging Technologies}, journal = {{IEEE} Access}, volume = {9}, pages = {91564--91574}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3092167}, doi = {10.1109/ACCESS.2021.3092167}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RaiNRVK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NambiUSLMK21, author = {Suresh Nambi and Salim Ullah and Siva Satyendra Sahoo and Aditya Lohana and Farhad Merchant and Akash Kumar}, title = {ExPAN(N)D: Exploring Posits for Efficient Artificial Neural Network Design in FPGA-Based Systems}, journal = {{IEEE} Access}, volume = {9}, pages = {103691--103708}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098730}, doi = {10.1109/ACCESS.2021.3098730}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NambiUSLMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/UllahNK21, author = {Salim Ullah and Tuan Duy Anh Nguyen and Akash Kumar}, title = {Energy-Efficient Low-Latency Signed Multiplier for FPGA-Based Hardware Accelerators}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {13}, number = {2}, pages = {41--44}, year = {2021}, url = {https://doi.org/10.1109/LES.2020.2995053}, doi = {10.1109/LES.2020.2995053}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/UllahNK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcands/RautRVK21, author = {Gopal Raut and Shubham Rai and Santosh Kumar Vishvakarma and Akash Kumar}, title = {{RECON:} Resource-Efficient CORDIC-Based Neuron Architecture}, journal = {{IEEE} Open J. Circuits Syst.}, volume = {2}, pages = {170--181}, year = {2021}, url = {https://doi.org/10.1109/OJCAS.2020.3042743}, doi = {10.1109/OJCAS.2020.3042743}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcands/RautRVK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcands/RautRVK21a, author = {Gopal Raut and Shubham Rai and Santosh Kumar Vishvakarma and Akash Kumar}, title = {Correction to "RECON: Resource-Efficient CORDIC-Based Neuron Architecture"}, journal = {{IEEE} Open J. Circuits Syst.}, volume = {2}, pages = {292}, year = {2021}, url = {https://doi.org/10.1109/OJCAS.2021.3056731}, doi = {10.1109/OJCAS.2021.3056731}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcands/RautRVK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/UllahSSRK21, author = {Salim Ullah and Hendrik Schmidl and Siva Satyendra Sahoo and Semeen Rehman and Akash Kumar}, title = {Area-Optimized Accurate and Approximate Softcore Signed Multiplier Architectures}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {3}, pages = {384--392}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.2988404}, doi = {10.1109/TC.2020.2988404}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/UllahSSRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BaranwalUSK21, author = {Akhil Raj Baranwal and Salim Ullah and Siva Satyendra Sahoo and Akash Kumar}, title = {ReLAccS: {A} Multilevel Approach to Accelerator Design for Reinforcement Learning on FPGA-Based Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {9}, pages = {1754--1767}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3028350}, doi = {10.1109/TCAD.2020.3028350}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BaranwalUSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RanjbarNEK21, author = {Behnaz Ranjbar and Tuan D. A. Nguyen and Alireza Ejlali and Akash Kumar}, title = {Power-Aware Runtime Scheduler for Mixed-Criticality Systems on Multicore Platform}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {10}, pages = {2009--2023}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3033374}, doi = {10.1109/TCAD.2020.3033374}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RanjbarNEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/WijtvlietCK21, author = {Mark Wijtvliet and Henk Corporaal and Akash Kumar}, title = {{CGRA-EAM} - Rapid Energy and Area Estimation for Coarse-grained Reconfigurable Architectures}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {14}, number = {4}, pages = {19:1--19:28}, year = {2021}, url = {https://doi.org/10.1145/3468874}, doi = {10.1145/3468874}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/WijtvlietCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ParraG021, author = {Cecilia De la Parra and Andre Guntoro and Akash Kumar}, title = {Efficient Accuracy Recovery in Approximate Neural Networks by Systematic Error Modelling}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {365--371}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431533}, doi = {10.1145/3394885.3431533}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ParraG021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/Sahoo0DWMZWW021, author = {Siva Satyendra Sahoo and Akash Kumar and Martin Decky and Samuel Chang Bing Wong and Geoff V. Merrett and Yinyuan Zhao and Jiachen Wang and Xiaohang Wang and Amit Kumar Singh}, editor = {Jason Xue and Chengmo Yang}, title = {Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives}, booktitle = {{CODES/ISSS} 2021, International Conference on Hardware/Software Codesign and System Synthesis, Virtual Event, October 10-13, 2021}, pages = {1--10}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3478684.3479246}, doi = {10.1145/3478684.3479246}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/Sahoo0DWMZWW021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/UllahS021, author = {Salim Ullah and Siva Satyendra Sahoo and Akash Kumar}, title = {CLAppED: {A} Design Framework for Implementing Cross-Layer Approximation in FPGA-based Embedded Systems}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {475--480}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586260}, doi = {10.1109/DAC18074.2021.9586260}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/UllahS021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/damon/MoghaddamfarFLM21, author = {Mehdi Moghaddamfar and Christian F{\"{a}}rber and Wolfgang Lehner and Norman May and Akash Kumar}, editor = {Danica Porobic and Spyros Blanas}, title = {Resource-Efficient Database Query Processing on FPGAs}, booktitle = {Proceedings of the 17th International Workshop on Data Management on New Hardware, DaMoN 2021, 21 June 2021, Virtual Event, China}, pages = {4:1--4:8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3465998.3466006}, doi = {10.1145/3465998.3466006}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/damon/MoghaddamfarFLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RanjbarHSE021, author = {Behnaz Ranjbar and Ali Hoseinghorban and Siva Satyendra Sahoo and Alireza Ejlali and Akash Kumar}, title = {Improving the Timing Behaviour of Mixed-Criticality Systems Using Chebyshev's Theorem}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {264--269}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474263}, doi = {10.23919/DATE51398.2021.9474263}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RanjbarHSE021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiRMK21, author = {Shubham Rai and Heinz Riener and Giovanni De Micheli and Akash Kumar}, title = {Preserving Self-Duality During Logic Synthesis for Emerging Reconfigurable Nanotechnologies}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {354--359}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474112}, doi = {10.23919/DATE51398.2021.9474112}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaiRMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParraWG021, author = {Cecilia De la Parra and Xuyi Wu and Andre Guntoro and Akash Kumar}, title = {Knowledge Distillation and Gradient Estimation for Active Error Compensation in Approximate Neural Networks}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {679--684}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473990}, doi = {10.23919/DATE51398.2021.9473990}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParraWG021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiNMZYYFMPRABC21, author = {Shubham Rai and Walter Lau Neto and Yukio Miyasaka and Xinpei Zhang and Mingfei Yu and Qingyang Yi and Masahiro Fujita and Guilherme B. Manske and Matheus F. Pontes and Leomar S. da Rosa and Marilton S. de Aguiar and Paulo F. Butzen and Po{-}Chun Chien and Yu{-}Shan Huang and Hoa{-}Ren Wang and Jie{-}Hong R. Jiang and Jiaqi Gu and Zheng Zhao and Zixuan Jiang and David Z. Pan and Brunno A. Abreu and Isac de Souza Campos and Augusto Andre Souza Berndt and Cristina Meinhardt and J{\^{o}}nata Tyska Carvalho and Mateus Grellert and Sergio Bampi and Aditya Lohana and Akash Kumar and Wei Zeng and Azadeh Davoodi and Rasit Onur Topaloglu and Yuan Zhou and Jordan Dotzel and Yichi Zhang and Hanyu Wang and Zhiru Zhang and Valerio Tenace and Pierre{-}Emmanuel Gaillardon and Alan Mishchenko and Satrajit Chatterjee}, title = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1026--1031}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473972}, doi = {10.23919/DATE51398.2021.9473972}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaiNMZYYFMPRABC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PolianAABBDDD0G21, author = {Ilia Polian and Frank Altmann and Tolga Arul and Christian Boit and Ralf Brederlow and Lucas Davi and Rolf Drechsler and Nan Du and Thomas Eisenbarth and Tim G{\"{u}}neysu and Sascha Hermann and Matthias Hiller and Rainer Leupers and Farhad Merchant and Thomas Mussenbrock and Stefan Katzenbeisser and Akash Kumar and Wolfgang Kunz and Thomas Mikolajick and Vivek Pachauri and Jean{-}Pierre Seifert and Frank Sill Torres and Jens Trommer}, title = {Nano Security: From Nano-Electronics to Secure Systems}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1334--1339}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474187}, doi = {10.23919/DATE51398.2021.9474187}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PolianAABBDDD0G21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiGPHMSKDM021, author = {Shubham Rai and Siddharth Garg and Christian Pilato and Vladimir Herdt and Elmira Moussavi and Dominik Sisejkovic and Ramesh Karri and Rolf Drechsler and Farhad Merchant and Akash Kumar}, title = {Vertical {IP} Protection of the Next-Generation Devices: Quo Vadis?}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1905--1914}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474132}, doi = {10.23919/DATE51398.2021.9474132}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaiGPHMSKDM021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiLGBCHCT021, author = {Shubham Rai and Mengyun Liu and Anteneh Gebregiorgis and Debjyoti Bhattacharjee and Krishnendu Chakrabarty and Said Hamdioui and Anupam Chattopadhyay and Jens Trommer and Akash Kumar}, title = {Perspectives on Emerging Computation-in-Memory Paradigms}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1925--1934}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473976}, doi = {10.23919/DATE51398.2021.9473976}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaiLGBCHCT021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/CordaKAJKC21, author = {Stefano Corda and Madhurya Kumaraswamy and Ahsan Javed Awan and Roel Jordans and Akash Kumar and Henk Corporaal}, editor = {Francesco Leporati and Salvatore Vitabile and Amund Skavhaug}, title = {{NMPO:} Near-Memory Computing Profiling and Offloading}, booktitle = {24th Euromicro Conference on Digital System Design, {DSD} 2021, Virtual Event / Palermo, Sicily, Italy, September 1-3, 2021}, pages = {259--267}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSD53832.2021.00048}, doi = {10.1109/DSD53832.2021.00048}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/CordaKAJKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CharafTRKG21, author = {Najdet Charaf and Christoph Tietz and Michael Raitza and Akash Kumar and Diana G{\"{o}}hringer}, title = {AMAH-Flex: {A} Modular and Highly Flexible Tool for Generating Relocatable Systems on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609948}, doi = {10.1109/ICFPT52863.2021.9609948}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CharafTRKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SahooBU021, author = {Siva Satyendra Sahoo and Akhil Raj Baranwal and Salim Ullah and Akash Kumar}, editor = {Yiran Chen and Victor V. Zhirnov and Avesta Sasan and Ioannis Savidis}, title = {\emph{MemOReL}: {A} Memory-oriented Optimization Approach to Reinforcement Learning on FPGA-based Embedded Systems}, booktitle = {{GLSVLSI} '21: Great Lakes Symposium on {VLSI} 2021, Virtual Event, USA, June 22-25, 2021}, pages = {339--346}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3453688.3461533}, doi = {10.1145/3453688.3461533}, timestamp = {Mon, 04 Jul 2022 14:19:34 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SahooBU021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KrinkeR0L21, author = {Andreas Krinke and Shubham Rai and Akash Kumar and Jens Lienig}, title = {Exploring Physical Synthesis for Circuits based on Emerging Reconfigurable Nanotechnologies}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643439}, doi = {10.1109/ICCAD51958.2021.9643439}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KrinkeR0L21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PeruvembaRA021, author = {Yasasvi V. Peruvemba and Shubham Rai and Kapil Ahuja and Akash Kumar}, title = {RL-Guided Runtime-Constrained Heuristic Exploration for Logic Synthesis}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643530}, doi = {10.1109/ICCAD51958.2021.9643530}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PeruvembaRA021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TrommerW021, author = {Elias Trommer and Bernd Waschneck and Akash Kumar}, title = {dCSR: {A} Memory-Efficient Sparse Matrix Representation for Parallel Neural Network Inference}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643506}, doi = {10.1109/ICCAD51958.2021.9643506}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TrommerW021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ebrahimi021, author = {Zahra Ebrahimi and Akash Kumar}, title = {BioCare: An Energy-Efficient {CGRA} for Bio-Signal Processing at the Edge}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401461}, doi = {10.1109/ISCAS51556.2021.9401461}, timestamp = {Fri, 02 Jul 2021 12:26:54 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ebrahimi021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParraES0WG21, author = {Cecilia De la Parra and Ahmed El{-}Yamany and Taha Soliman and Akash Kumar and Norbert Wehn and Andre Guntoro}, title = {Exploiting Resiliency for Kernel-Wise {CNN} Approximation Enabled by Adaptive Hardware Design}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401517}, doi = {10.1109/ISCAS51556.2021.9401517}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParraES0WG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/BhattacharjeeRR21, author = {Abhiroop Bhattacharjee and Shubham Rai and Ansh Rupani and Michael Raitza and Akash Kumar}, title = {Metastability with Emerging Reconfigurable Transistors: Exploiting Ambipolarity for Throughput}, booktitle = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VLSI-SoC53125.2021.9607015}, doi = {10.1109/VLSI-SOC53125.2021.9607015}, timestamp = {Fri, 19 Nov 2021 16:08:44 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/BhattacharjeeRR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SahooK21, author = {Siva Satyendra Sahoo and Akash Kumar}, title = {CLEO-CoDe: Exploiting Constrained Decoding for Cross-Layer Energy Optimization in Heterogeneous Embedded Systems}, booktitle = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VLSI-SoC53125.2021.9606983}, doi = {10.1109/VLSI-SOC53125.2021.9606983}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/SahooK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SahooK21a, author = {Siva Satyendra Sahoo and Akash Kumar}, title = {Using Monte Carlo Tree Search for {EDA} - {A} Case-study with Designing Cross-layer Reliability for Heterogeneous Embedded Systems}, booktitle = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VLSI-SoC53125.2021.9606987}, doi = {10.1109/VLSI-SOC53125.2021.9606987}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/SahooK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/RaiGBRRTM021, author = {Shubham Rai and Nishant Gupta and Abhiroop Bhattacharjee and Ansh Rupani and Michael Raitza and Jens Trommer and Thomas Mikolajick and Akash Kumar}, editor = {Victor Grimblatt and Chip{-}Hong Chang and Ricardo Reis and Anupam Chattopadhyay and Andrea Calimera}, title = {{END-TRUE:} Emerging Nanotechnology-Based Double-Throughput True Random Number Generator}, booktitle = {VLSI-SoC: Technology Advancement on SoC Design - 29th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, October 4-8, 2021, Revised and Extended Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {661}, pages = {175--203}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-16818-5\_9}, doi = {10.1007/978-3-031-16818-5\_9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/RaiGBRRTM021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-04576, author = {Max Sponner and Bernd Waschneck and Akash Kumar}, title = {Compiler Toolchains for Deep Learning Workloads on Embedded Platforms}, journal = {CoRR}, volume = {abs/2104.04576}, year = {2021}, url = {https://arxiv.org/abs/2104.04576}, eprinttype = {arXiv}, eprint = {2104.04576}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-04576.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07739, author = {Behnaz Ranjbar and Ali Hoseinghorban and Mohammad Salehi and Alireza Ejlali and Akash Kumar}, title = {Toward the Design of Fault-Tolerance- and Peak- Power-Aware Multi-Core Mixed-Criticality Systems}, journal = {CoRR}, volume = {abs/2105.07739}, year = {2021}, url = {https://arxiv.org/abs/2105.07739}, eprinttype = {arXiv}, eprint = {2105.07739}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07739.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-15284, author = {Stefano Corda and Madhurya Kumaraswamy and Ahsan Javed Awan and Roel Jordans and Akash Kumar and Henk Corporaal}, title = {{NMPO:} Near-Memory Computing Profiling and Offloading}, journal = {CoRR}, volume = {abs/2106.15284}, year = {2021}, url = {https://arxiv.org/abs/2106.15284}, eprinttype = {arXiv}, eprint = {2106.15284}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-15284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-12345, author = {Elias Trommer and Bernd Waschneck and Akash Kumar}, title = {dCSR: {A} Memory-Efficient Sparse Matrix Representation for Parallel Neural Network Inference}, journal = {CoRR}, volume = {abs/2111.12345}, year = {2021}, url = {https://arxiv.org/abs/2111.12345}, eprinttype = {arXiv}, eprint = {2111.12345}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-12345.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PotluriKKBA21, author = {Seetal Potluri and Shamik Kundu and Akash Kumar and Kanad Basu and Aydin Aysu}, title = {SeqL+: Secure Scan-Obfuscation with Theoretical and Empirical Validation}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1245}, year = {2021}, url = {https://eprint.iacr.org/2021/1245}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PotluriKKBA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RaitzaMTHKBK20, author = {Michael Raitza and Steffen M{\"{a}}rcker and Jens Trommer and Andre Heinzig and Sascha Kl{\"{u}}ppelholz and Christel Baier and Akash Kumar}, title = {Quantitative Characterization of Reconfigurable Transistor Logic Gates}, journal = {{IEEE} Access}, volume = {8}, pages = {112598--112614}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3001352}, doi = {10.1109/ACCESS.2020.3001352}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RaitzaMTHKBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GuptaUAT020, author = {Siddharth Gupta and Salim Ullah and Kapil Ahuja and Aruna Tiwari and Akash Kumar}, title = {ALigN: {A} Highly Accurate Adaptive Layerwise Log{\_}2{\_}Lead Quantization of Pre-Trained Neural Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {118899--118911}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3005286}, doi = {10.1109/ACCESS.2020.3005286}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GuptaUAT020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RanjbarSEK20, author = {Behnaz Ranjbar and Bardia Safaei and Alireza Ejlali and Akash Kumar}, title = {{FANTOM:} Fault Tolerant Task-Drop Aware Scheduling for Mixed-Criticality Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {187232--187248}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3031039}, doi = {10.1109/ACCESS.2020.3031039}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RanjbarSEK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ParraGK20, author = {Cecilia De la Parra and Andre Guntoro and Akash Kumar}, title = {Improving approximate neural networks for perception tasks through specialized optimization}, journal = {Future Gener. Comput. Syst.}, volume = {113}, pages = {597--606}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.07.031}, doi = {10.1016/J.FUTURE.2020.07.031}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/ParraGK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/EbrahimiU020, author = {Zahra Ebrahimi and Salim Ullah and Akash Kumar}, title = {LeAp: Leading-one Detection-based Softcore Approximate Multipliers with Tunable Accuracy}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {605--610}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045171}, doi = {10.1109/ASP-DAC47756.2020.9045171}, timestamp = {Mon, 30 Mar 2020 12:39:40 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/EbrahimiU020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SahooV020, author = {Siva Satyendra Sahoo and Bharadwaj Veeravalli and Akash Kumar}, title = {CL(R)Early: An Early-stage {DSE} Methodology for Cross-Layer Reliability-aware Heterogeneous Embedded Systems}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218747}, doi = {10.1109/DAC18072.2020.9218747}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SahooV020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiRS020, author = {Shubham Rai and Michael Raitza and Siva Satyendra Sahoo and Akash Kumar}, title = {DiSCERN: Distilling Standard-Cells for Emerging Reconfigurable Nanotechnologies}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {674--677}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116216}, doi = {10.23919/DATE48585.2020.9116216}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaiRS020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UllahGAT020, author = {Salim Ullah and Siddharth Gupta and Kapil Ahuja and Aruna Tiwari and Akash Kumar}, title = {{L2L:} {A} Highly Accurate Log{\_}2{\_}Lead Quantization of Pre-trained Neural Networks}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {979--982}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116373}, doi = {10.23919/DATE48585.2020.9116373}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/UllahGAT020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParraGK20, author = {Cecilia De la Parra and Andre Guntoro and Akash Kumar}, title = {ProxSim: GPU-based Simulation Framework for Cross-Layer Approximate {DNN} Optimization}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1193--1198}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116476}, doi = {10.23919/DATE48585.2020.9116476}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParraGK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/SahooV020, author = {Siva Satyendra Sahoo and Bharadwaj Veeravalli and Akash Kumar}, editor = {Luigi Dilillo and Mihalis Psarakis and Taniya Siddiqua}, title = {Markov Chain-based Modeling and Analysis of Checkpointing with Rollback Recovery for Efficient {DSE} in Soft Real-time Systems}, booktitle = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2020, Frascati, Italy, October 19-21, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DFT50435.2020.9250892}, doi = {10.1109/DFT50435.2020.9250892}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/SahooV020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/PanickerKJ20, author = {Rajesh C. Panicker and Akash Kumar and Deepu John}, title = {Introducing FPGA-based Machine Learning on the Edge to Undergraduate Students}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2020, Uppsala, Sweden, October 21-24, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FIE44824.2020.9274007}, doi = {10.1109/FIE44824.2020.9274007}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/PanickerKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/Nguyen020, author = {Tuan D. A. Nguyen and Akash Kumar}, editor = {Stephen Neuendorffer and Lesley Shannon}, title = {Maximizing the Serviceability of Partially Reconfigurable {FPGA} Systems in Multi-tenant Environment}, booktitle = {{FPGA} '20: The 2020 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Seaside, CA, USA, February 23-25, 2020}, pages = {29--39}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373087.3375305}, doi = {10.1145/3373087.3375305}, timestamp = {Wed, 04 Mar 2020 13:49:01 +0100}, biburl = {https://dblp.org/rec/conf/fpga/Nguyen020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/EbrahimiU020, author = {Zahra Ebrahimi and Salim Ullah and Akash Kumar}, editor = {Tinoosh Mohsenin and Weisheng Zhao and Yiran Chen and Onur Mutlu}, title = {SIMDive: Approximate {SIMD} Soft Multiplier-Divider for FPGAs with Tunable Accuracy}, booktitle = {{GLSVLSI} '20: Great Lakes Symposium on {VLSI} 2020, Virtual Event, China, September 7-9, 2020}, pages = {151--156}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3386263.3406907}, doi = {10.1145/3386263.3406907}, timestamp = {Mon, 04 Jul 2022 14:19:34 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/EbrahimiU020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/JohnU0CJ20, author = {Arlene John and Salim Ullah and Akash Kumar and Barry Cardiff and Deepu John}, title = {An Approximate Binary Classifier for Data Integrity Assessment in IoT Sensors}, booktitle = {27th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICECS49266.2020.9294859}, doi = {10.1109/ICECS49266.2020.9294859}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/JohnU0CJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParraG020, author = {Cecilia De la Parra and Andre Guntoro and Akash Kumar}, title = {Full Approximation of Deep Neural Networks through Efficient Optimization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9181236}, doi = {10.1109/ISCAS45731.2020.9181236}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParraG020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/PotluriA020, author = {Seetal Potluri and Aydin Aysu and Akash Kumar}, title = {SeqL: Secure Scan-Locking for {IP} Protection}, booktitle = {21st International Symposium on Quality Electronic Design, {ISQED} 2020, Santa Clara, CA, USA, March 25-26, 2020}, pages = {7--13}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISQED48828.2020.9136991}, doi = {10.1109/ISQED48828.2020.9136991}, timestamp = {Wed, 22 Jul 2020 15:06:46 +0200}, biburl = {https://dblp.org/rec/conf/isqed/PotluriA020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RautRV020, author = {Gopal Raut and Shubham Rai and Santosh Kumar Vishvakarma and Akash Kumar}, title = {A {CORDIC} Based Configurable Activation Function for {ANN} Applications}, booktitle = {2020 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2020, Limassol, Cyprus, July 6-8, 2020}, pages = {78--83}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISVLSI49217.2020.00024}, doi = {10.1109/ISVLSI49217.2020.00024}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/RautRV020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/CordaVA0JC20, author = {Stefano Corda and Bram Veenboer and Ahsan Javed Awan and Akash Kumar and Roel Jordans and Henk Corporaal}, title = {Near Memory Acceleration on High Resolution Radio Astronomy Imaging}, booktitle = {9th Mediterranean Conference on Embedded Computing, {MECO} 2020, Budva, Montenegro, June 8-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MECO49872.2020.9134089}, doi = {10.1109/MECO49872.2020.9134089}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/meco/CordaVA0JC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04098, author = {Stefano Corda and Bram Veenboer and Ahsan Javed Awan and Akash Kumar and Roel Jordans and Henk Corporaal}, title = {Near Memory Acceleration on High Resolution Radio Astronomy Imaging}, journal = {CoRR}, volume = {abs/2005.04098}, year = {2020}, url = {https://arxiv.org/abs/2005.04098}, eprinttype = {arXiv}, eprint = {2005.04098}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04098.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-13032, author = {Seetal Potluri and Aydin Aysu and Akash Kumar}, title = {SeqL: Secure Scan-Locking for {IP} Protection}, journal = {CoRR}, volume = {abs/2005.13032}, year = {2020}, url = {https://arxiv.org/abs/2005.13032}, eprinttype = {arXiv}, eprint = {2005.13032}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-13032.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11893, author = {Rohit Agrawal and Kapil Ahuja and Dhaarna Maheshwari and Akash Kumar}, title = {ParaLarH: Parallel {FPGA} Router based upon Lagrange Heuristics}, journal = {CoRR}, volume = {abs/2010.11893}, year = {2020}, url = {https://arxiv.org/abs/2010.11893}, eprinttype = {arXiv}, eprint = {2010.11893}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11893.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12869, author = {Suresh Nambi and Salim Ullah and Aditya Lohana and Siva Satyendra Sahoo and Farhad Merchant and Akash Kumar}, title = {ExPAN(N)D: Exploring Posits for Efficient Artificial Neural Network Design in FPGA-based Systems}, journal = {CoRR}, volume = {abs/2010.12869}, year = {2020}, url = {https://arxiv.org/abs/2010.12869}, eprinttype = {arXiv}, eprint = {2010.12869}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-01148, author = {Zahra Ebrahimi and Salim Ullah and Akash Kumar}, title = {SIMDive: Approximate {SIMD} Soft Multiplier-Divider for FPGAs with Tunable Accuracy}, journal = {CoRR}, volume = {abs/2011.01148}, year = {2020}, url = {https://arxiv.org/abs/2011.01148}, eprinttype = {arXiv}, eprint = {2011.01148}, timestamp = {Fri, 06 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-01148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-03262, author = {Behnaz Ranjbar and Tuan D. A. Nguyen and Alireza Ejlali and Akash Kumar}, title = {Power-Aware Run-Time Scheduler for Mixed-Criticality Systems on Multi-Core Platform}, journal = {CoRR}, volume = {abs/2011.03262}, year = {2020}, url = {https://arxiv.org/abs/2011.03262}, eprinttype = {arXiv}, eprint = {2011.03262}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-03262.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02530, author = {Shubham Rai and Walter Lau Neto and Yukio Miyasaka and Xinpei Zhang and Mingfei Yu and Qingyang Yi and Masahiro Fujita and Guilherme B. Manske and Matheus F. Pontes and Leomar S. da Rosa Jr. and Marilton S. de Aguiar and Paulo F. Butzen and Po{-}Chun Chien and Yu{-}Shan Huang and Hoa{-}Ren Wang and Jie{-}Hong R. Jiang and Jiaqi Gu and Zheng Zhao and Zixuan Jiang and David Z. Pan and Brunno A. Abreu and Isac de Souza Campos and Augusto Andre Souza Berndt and Cristina Meinhardt and J{\^{o}}nata Tyska Carvalho and Mateus Grellert and Sergio Bampi and Aditya Lohana and Akash Kumar and Wei Zeng and Azadeh Davoodi and Rasit Onur Topaloglu and Yuan Zhou and Jordan Dotzel and Yichi Zhang and Hanyu Wang and Zhiru Zhang and Valerio Tenace and Pierre{-}Emmanuel Gaillardon and Alan Mishchenko and Satrajit Chatterjee}, title = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization}, journal = {CoRR}, volume = {abs/2012.02530}, year = {2020}, url = {https://arxiv.org/abs/2012.02530}, eprinttype = {arXiv}, eprint = {2012.02530}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02530.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/AlserHKMA19, author = {Mohammed Alser and Hasan Hassan and Akash Kumar and Onur Mutlu and Can Alkan}, title = {Shouji: a fast and efficient pre-alignment filter for sequence alignment}, journal = {Bioinform.}, volume = {35}, number = {21}, pages = {4255--4263}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/btz234}, doi = {10.1093/BIOINFORMATICS/BTZ234}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/AlserHKMA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/SahooNVK19, author = {Siva Satyendra Sahoo and Tuan D. A. Nguyen and Bharadwaj Veeravalli and Akash Kumar}, title = {Multi-objective design space exploration for system partitioning of FPGA-based Dynamic Partially Reconfigurable Systems}, journal = {Integr.}, volume = {67}, pages = {95--107}, year = {2019}, url = {https://doi.org/10.1016/j.vlsi.2018.10.006}, doi = {10.1016/J.VLSI.2018.10.006}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/SahooNVK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/FettweisDCKBBEF19, author = {Gerhard P. Fettweis and Meik Dorpinghaus and Jer{\'{o}}nimo Castrill{\'{o}}n and Akash Kumar and Christel Baier and Karlheinz Bock and Frank Ellinger and Andreas Fery and Frank H. P. Fitzek and Hermann H{\"{a}}rtig and Kambiz Jamshidi and Thomas Kissinger and Wolfgang Lehner and Michael Mertig and Wolfgang E. Nagel and Giang T. Nguyen and Dirk Plettemeier and Michael Schr{\"{o}}ter and Thorsten Strufe}, title = {Architecture and Advanced Electronics Pathways Toward Highly Adaptive Energy- Efficient Computing}, journal = {Proc. {IEEE}}, volume = {107}, number = {1}, pages = {204--231}, year = {2019}, url = {https://doi.org/10.1109/JPROC.2018.2874895}, doi = {10.1109/JPROC.2018.2874895}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/FettweisDCKBBEF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RaiTRMWK19, author = {Shubham Rai and Jens Trommer and Michael Raitza and Thomas Mikolajick and Walter M. Weber and Akash Kumar}, title = {Designing Efficient Circuits Based on Runtime-Reconfigurable Field-Effect Transistors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {3}, pages = {560--572}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2884646}, doi = {10.1109/TVLSI.2018.2884646}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RaiTRMWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SahooV019, author = {Siva Satyendra Sahoo and Bharadwaj Veeravalli and Akash Kumar}, title = {A Hybrid Agent-based Design Methodology for Dynamic Cross-layer Reliability in Heterogeneous Embedded Systems}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {38}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317746}, doi = {10.1145/3316781.3317746}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SahooV019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/MousaviPC019, author = {Mahsa Mousavi and Hamid Reza Pourshaghaghi and Henk Corporaal and Akash Kumar}, title = {Scatter Scrubbing: {A} Method to Reduce {SEU} Repair Time in {FPGA} Configuration Memory}, booktitle = {2019 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2019, Noordwijk, Netherlands, October 2-4, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DFT.2019.8875431}, doi = {10.1109/DFT.2019.8875431}, timestamp = {Tue, 22 Oct 2019 15:42:19 +0200}, biburl = {https://dblp.org/rec/conf/dft/MousaviPC019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RanjbarNE019, author = {Behnaz Ranjbar and Tuan D. A. Nguyen and Alireza Ejlali and Akash Kumar}, title = {Online Peak Power and Maximum Temperature Management in Multi-core Mixed-Criticality Embedded Systems}, booktitle = {22nd Euromicro Conference on Digital System Design, {DSD} 2019, Kallithea, Greece, August 28-30, 2019}, pages = {546--553}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSD.2019.00084}, doi = {10.1109/DSD.2019.00084}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/RanjbarNE019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LisaNH0L19, author = {Nusrat Jahan Lisa and Tuan Duy Anh Nguyen and Dirk Habich and Akash Kumar and Wolfgang Lehner}, title = {High-Throughput BitPacking Compression}, booktitle = {22nd Euromicro Conference on Digital System Design, {DSD} 2019, Kallithea, Greece, August 28-30, 2019}, pages = {643--646}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSD.2019.00101}, doi = {10.1109/DSD.2019.00101}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/LisaNH0L19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RupaniR019, author = {Ansh Rupani and Shubham Rai and Akash Kumar}, title = {Exploiting Emerging Reconfigurable Technologies for Secure Devices}, booktitle = {22nd Euromicro Conference on Digital System Design, {DSD} 2019, Kallithea, Greece, August 28-30, 2019}, pages = {668--671}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSD.2019.00107}, doi = {10.1109/DSD.2019.00107}, timestamp = {Wed, 23 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/RupaniR019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/epew/SardarDKBK19, author = {Muhammad Usama Sardar and Clemens Dubslaff and Sascha Kl{\"{u}}ppelholz and Christel Baier and Akash Kumar}, editor = {Marco Gribaudo and Mauro Iacono and Tuan Phung{-}Duc and Rostislav Razumchik}, title = {Performance Evaluation of Thermal-Constrained Scheduling Strategies in Multi-core Systems}, booktitle = {Computer Performance Engineering - 16th European Workshop, {EPEW} 2019, Milan, Italy, November 28-29, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12039}, pages = {133--147}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-44411-2\_9}, doi = {10.1007/978-3-030-44411-2\_9}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/epew/SardarDKBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BalajiU0019, author = {Adarsha Balaji and Salim Ullah and Anup Das and Akash Kumar}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {Design Methodology for Embedded Approximate Artificial Neural Networks}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {489--494}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3319490}, doi = {10.1145/3299874.3319490}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BalajiU0019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RaiRN019, author = {Shubham Rai and Ansh Rupani and Pallab Nath and Akash Kumar}, title = {Hardware Watermarking Using Polymorphic Inverter Designs Based On Reconfigurable Nanotechnologies}, booktitle = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019, Miami, FL, USA, July 15-17, 2019}, pages = {663--669}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISVLSI.2019.00123}, doi = {10.1109/ISVLSI.2019.00123}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/RaiRN019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/CastrillonLKVAA18, author = {Jer{\'{o}}nimo Castrill{\'{o}}n and Matthias Lieber and Sascha Kl{\"{u}}ppelholz and Marcus V{\"{o}}lp and Nils Asmussen and Uwe A{\ss}mann and Franz Baader and Christel Baier and Gerhard P. Fettweis and Jochen Fr{\"{o}}hlich and Andr{\'{e}}s Goens and Sebastian Haas and Dirk Habich and Hermann H{\"{a}}rtig and Mattis Hasler and Immo Huismann and Tomas Karnagel and Sven Karol and Akash Kumar and Wolfgang Lehner and Linda Leuschner and Siqi Ling and Steffen M{\"{a}}rcker and Christian Menard and Johannes Mey and Wolfgang E. Nagel and Benedikt N{\"{o}}then and Rafael Pe{\~{n}}aloza and Michael Raitza and J{\"{o}}rg Stiller and Annett Ungeth{\"{u}}m and Axel Voigt and Sascha Wunderlich}, title = {A Hardware/Software Stack for Heterogeneous Systems}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {3}, pages = {243--259}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2017.2771750}, doi = {10.1109/TMSCS.2017.2771750}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/CastrillonLKVAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SahooNV018, author = {Siva Satyendra Sahoo and Tuan D. A. Nguyen and Bharadwaj Veeravalli and Akash Kumar}, editor = {Youngsoo Shin}, title = {Lifetime-aware design methodology for dynamic partially reconfigurable systems}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {393--398}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297355}, doi = {10.1109/ASPDAC.2018.8297355}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SahooNV018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/UllahM018, author = {Salim Ullah and Sanjeev Sripadraj Murthy and Akash Kumar}, title = {\emph{SMApproxlib}: library of FPGA-based approximate multipliers}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {157:1--157:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3196115}, doi = {10.1145/3195970.3196115}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/dac/UllahM018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/UllahRPKH0018, author = {Salim Ullah and Semeen Rehman and Bharath Srinivas Prabakaran and Florian Kriebel and Muhammad Abdullah Hanif and Muhammad Shafique and Akash Kumar}, title = {Area-optimized low-latency approximate multipliers for FPGA-based hardware accelerators}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {159:1--159:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3195996}, doi = {10.1145/3195970.3195996}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/UllahRPKH0018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/data/LisaUHLT018, author = {Nusrat Jahan Lisa and Annett Ungeth{\"{u}}m and Dirk Habich and Wolfgang Lehner and Tuan Duy Anh Nguyen and Akash Kumar}, editor = {Christoph Quix and Jorge Bernardino}, title = {{FPGA} vs. {SIMD:} Comparison for Main Memory-Based Fast Column Scan}, booktitle = {Data Management Technologies and Applications - 7th International Conference, {DATA} 2018, Porto, Portugal, July 26-28, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {862}, pages = {116--140}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-26636-3\_6}, doi = {10.1007/978-3-030-26636-3\_6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/data/LisaUHLT018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/data/LisaUHN0L18, author = {Nusrat Jahan Lisa and Annett Ungeth{\"{u}}m and Dirk Habich and Tuan D. A. Nguyen and Akash Kumar and Wolfgang Lehner}, editor = {Jorge Bernardino and Christoph Quix}, title = {Column Scan Optimization by Increasing Intra-Instruction Parallelism}, booktitle = {Proceedings of the 7th International Conference on Data Science, Technology and Applications, {DATA} 2018, Porto, Portugal, July 26-28, 2018}, pages = {344--353}, publisher = {SciTePress}, year = {2018}, url = {https://doi.org/10.5220/0006897003440353}, doi = {10.5220/0006897003440353}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/data/LisaUHN0L18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiRWRHBTMW018, author = {Shubham Rai and Ansh Rupani and Dennis Walter and Michael Raitza and Andre Heinzig and Tim Baldauf and Jens Trommer and Christian Mayr and Walter M. Weber and Akash Kumar}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A physical synthesis flow for early technology evaluation of silicon nanowire based reconfigurable FETs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {605--608}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342080}, doi = {10.23919/DATE.2018.8342080}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaiRWRHBTMW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiR018, author = {Shubham Rai and Michael Raitza and Akash Kumar}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Technology mapping flow for emerging reconfigurable silicon nanowire transistors}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {767--772}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342110}, doi = {10.23919/DATE.2018.8342110}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaiR018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PrabakaranRHUM018, author = {Bharath Srinivas Prabakaran and Semeen Rehman and Muhammad Abdullah Hanif and Salim Ullah and Ghazal Mazaheri and Akash Kumar and Muhammad Shafique}, editor = {Jan Madsen and Ayse K. Coskun}, title = {DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {917--920}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342140}, doi = {10.23919/DATE.2018.8342140}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PrabakaranRHUM018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/GottschallPK18, author = {Bj{\"{o}}rn Gottschall and Thomas PreuBer and Akash Kumar}, title = {Reloc - An Open-Source Vivado Workflow for Generating Relocatable End-User Configuration Tiles}, booktitle = {26th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2018, Boulder, CO, USA, April 29 - May 1, 2018}, pages = {211}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/FCCM.2018.00045}, doi = {10.1109/FCCM.2018.00045}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/GottschallPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/Hoo018, author = {Chin Hau Hoo and Akash Kumar}, editor = {Jason Helge Anderson and Kia Bazargan}, title = {ParaDRo: {A} Parallel Deterministic Router Based on Spatial Partitioning and Scheduling}, booktitle = {Proceedings of the 2018 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2018, Monterey, CA, USA, February 25-27, 2018}, pages = {67--76}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3174243.3174246}, doi = {10.1145/3174243.3174246}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/Hoo018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SahooNV018, author = {Siva Satyendra Sahoo and Tuan D. A. Nguyen and Bharadwaj Veeravalli and Akash Kumar}, title = {QoS-Aware Cross-Layer Reliability-Integrated FPGA-Based Dynamic Partially Reconfigurable System Partitioning}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {230--233}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00041}, doi = {10.1109/FPT.2018.00041}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SahooNV018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MoriamFWKSF18, author = {Sadia Moriam and Elke Franz and Paul Walther and Akash Kumar and Thorsten Strufe and Gerhard P. Fettweis}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {Protecting Communication in Many-Core Systems against Active Attackers}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {45--50}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194582}, doi = {10.1145/3194554.3194582}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MoriamFWKSF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DasK18a, author = {Anup Das and Akash Kumar}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {419--422}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194627}, doi = {10.1145/3194554.3194627}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/DasK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaiSCYHGN018, author = {Shubham Rai and Srivatsa Rangachar Srinivasa and Patsy Cadareanu and Xunzhao Yin and Xiaobo Sharon Hu and Pierre{-}Emmanuel Gaillardon and Vijaykrishnan Narayanan and Akash Kumar}, editor = {Iris Bahar}, title = {Emerging reconfigurable nanotechnologies: can they support future electronics?}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {13}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243472}, doi = {10.1145/3240765.3243472}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RaiSCYHGN018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/HaqueVNEKT18, author = {Mohammad Shihabul Haque and Sriram Vasudevan and Alamuri Sriram Nihar and Arvind Easwaran and Akash Kumar and Y. C. Tay}, title = {A Self-Reconfiguring Cache Architecture to Improve Control Quality in Cyber-Physical Systems}, booktitle = {21st {IEEE} International Symposium on Real-Time Distributed Computing, {ISORC} 2018, Singapore, Singapore, May 29-31, 2018}, pages = {116--123}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISORC.2018.00024}, doi = {10.1109/ISORC.2018.00024}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/HaqueVNEKT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/PanickerKSJ18, author = {Rajesh C. Panicker and Akash Kumar and Dipti Srinivasan and Deepu John}, title = {Adaptive Learning and Analytics in Engineering Education}, booktitle = {{IEEE} International Conference on Teaching, Assessment, and Learning for Engineering, {TALE} 2018, Wollongong, Australia, December 4-7, 2018}, pages = {1193--1196}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TALE.2018.8615200}, doi = {10.1109/TALE.2018.8615200}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/tale/PanickerKSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/LisaUHLN018, author = {Nusrat Jahan Lisa and Annett Ungeth{\"{u}}m and Dirk Habich and Wolfgang Lehner and Tuan D. A. Nguyen and Akash Kumar}, editor = {Rajesh Bordawekar and Tirthankar Lahiri}, title = {Column Scan Acceleration in Hybrid {CPU-FPGA} Systems}, booktitle = {International Workshop on Accelerating Analytics and Data Management Systems Using Modern Processor and Storage Architectures, ADMS@VLDB 2018, Rio de Janeiro, Brazil, August 27, 2018}, pages = {22--33}, year = {2018}, url = {http://www.adms-conf.org/2018-camera-ready/habich\_adms2018.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vldb/LisaUHLN018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SahooV018, author = {Siva Satyendra Sahoo and Bharadwaj Veeravalli and Akash Kumar}, title = {CLRFrame: An Analysis Framework for Designing Cross-Layer Reliability in Embedded Systems}, booktitle = {31st International Conference on {VLSI} Design and 17th International Conference on Embedded Systems, {VLSID} 2018, Pune, India, January 6-10, 2018}, pages = {307--312}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/VLSID.2018.81}, doi = {10.1109/VLSID.2018.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SahooV018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cases/2018, editor = {Tulika Mitra and Akash Kumar}, title = {Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2018, Torino, Italy, September 30 - October 05, 2018}, publisher = {{ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3283552}, isbn = {978-1-5386-5564-1}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-03885, author = {Rohit Agrawal and Chin Hao Hoo and Kapil Ahuja and Akash Kumar}, title = {Parallel {FPGA} Router using Sub-Gradient method and Steiner tree}, journal = {CoRR}, volume = {abs/1803.03885}, year = {2018}, url = {http://arxiv.org/abs/1803.03885}, eprinttype = {arXiv}, eprint = {1803.03885}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-03885.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-07858, author = {Mohammed Alser and Hasan Hassan and Akash Kumar and Onur Mutlu and Can Alkan}, title = {{SLIDER:} Fast and Efficient Computation of Banded Sequence Alignment}, journal = {CoRR}, volume = {abs/1809.07858}, year = {2018}, url = {http://arxiv.org/abs/1809.07858}, eprinttype = {arXiv}, eprint = {1809.07858}, timestamp = {Fri, 18 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-07858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SantosVK17, author = {Rui Santos and Shyamsundar Venkataraman and Akash Kumar}, title = {Scrubbing Mechanism for Heterogeneous Applications in Reconfigurable Devices}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {2}, pages = {33:1--33:26}, year = {2017}, url = {https://doi.org/10.1145/2997646}, doi = {10.1145/2997646}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/SantosVK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LiS0L0C17, author = {Ang Li and Shuaiwen Leon Song and Weifeng Liu and Xu Liu and Akash Kumar and Henk Corporaal}, editor = {Yunji Chen and Olivier Temam and John Carter}, title = {Locality-Aware {CTA} Clustering for Modern GPUs}, booktitle = {Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2017, Xi'an, China, April 8-12, 2017}, pages = {297--311}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3037697.3037709}, doi = {10.1145/3037697.3037709}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/LiS0L0C17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/0001RS0H17, author = {Arun Subramaniyan and Semeen Rehman and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel}, editor = {David Atienza and Giorgio Di Natale}, title = {Soft error-aware architectural exploration for designing reliability adaptive cache hierarchies in multi-cores}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {37--42}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7926955}, doi = {10.23919/DATE.2017.7926955}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/0001RS0H17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BruestelK17, author = {Martin Bruestel and Akash Kumar}, editor = {David Atienza and Giorgio Di Natale}, title = {Accounting for systematic errors in approximate computing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {298--301}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927003}, doi = {10.23919/DATE.2017.7927003}, timestamp = {Mon, 14 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BruestelK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaitzaKVWTMW17, author = {Michael Raitza and Akash Kumar and Marcus V{\"{o}}lp and Dennis Walter and Jens Trommer and Thomas Mikolajick and Walter M. Weber}, editor = {David Atienza and Giorgio Di Natale}, title = {Exploiting transistor-level reconfiguration to optimize combinational circuits}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {338--343}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927013}, doi = {10.23919/DATE.2017.7927013}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaitzaKVWTMW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/El-HarouniRP0HS17, author = {Walaa El{-}Harouni and Semeen Rehman and Bharath Srinivas Prabakaran and Akash Kumar and Rehan Hafiz and Muhammad Shafique}, editor = {David Atienza and Giorgio Di Natale}, title = {Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1384--1389}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927209}, doi = {10.23919/DATE.2017.7927209}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/El-HarouniRP0HS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/Hoo017, author = {Chin Hau Hoo and Akash Kumar}, title = {ParaDiMe: {A} Distributed Memory {FPGA} Router Based on Speculative Parallelism and Path Encoding}, booktitle = {25th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2017, Napa, CA, USA, April 30 - May 2, 2017}, pages = {172--179}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FCCM.2017.34}, doi = {10.1109/FCCM.2017.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/Hoo017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/estimedia/2017, editor = {Sander Stuijk and Akash Kumar}, title = {Proceedings of the 15th {IEEE/ACM} Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2017, Seoul, Republic of Korea, October 15 - 20, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3139315}, doi = {10.1145/3139315}, isbn = {978-1-4503-5117-1}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/KhanhKSA16, author = {Pham Nam Khanh and Akash Kumar and Amit Kumar Singh and Khin Mi Mi Aung}, title = {Leakage aware resource management approach with machine learning optimization framework for partially reconfigurable architectures}, journal = {Microprocess. Microsystems}, volume = {47}, pages = {231--243}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.09.012}, doi = {10.1016/J.MICPRO.2016.09.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/KhanhKSA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SinghSKH16, author = {Amit Kumar Singh and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel}, title = {Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {1}, pages = {72--85}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2446938}, doi = {10.1109/TCAD.2015.2446938}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SinghSKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/0001KV16, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, title = {Reliability and Energy-Aware Mapping and Scheduling of Multimedia Applications on Multiprocessor Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {3}, pages = {869--884}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2412137}, doi = {10.1109/TPDS.2015.2412137}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/0001KV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SinghS0H16, author = {Amit Kumar Singh and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel}, title = {Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {8}, pages = {2745--2758}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2016.2517025}, doi = {10.1109/TVLSI.2016.2517025}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SinghS0H16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SahooKV16, author = {Siva Satyendra Sahoo and Akash Kumar and Bharadwaj Veeravalli}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Design and evaluation of reliability-oriented task re-mapping in MPSoCs using time-series analysis of intermittent faults}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {798--803}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459415/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SahooKV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkataramanSK16, author = {Shyamsundar Venkataraman and Rui Santos and Akash Kumar}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {A flexible inexact {TMR} technique for SRAM-based FPGAs}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {810--813}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459417/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkataramanSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiSKZCC16, author = {Ang Li and Shuaiwen Leon Song and Akash Kumar and Eddy Z. Zhang and Daniel G. Chavarr{\'{\i}}a{-}Miranda and Henk Corporaal}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Critical points based register-concurrency autotuning for GPUs}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1273--1278}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459506/}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiSKZCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/SahooV016, author = {Siva Satyendra Sahoo and Bharadwaj Veeravalli and Akash Kumar}, title = {Cross-layer fault-tolerant design of real-time systems}, booktitle = {2016 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2016, Storrs, CT, USA, September 19-20, 2016}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DFT.2016.7684071}, doi = {10.1109/DFT.2016.7684071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/SahooV016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/NguyenK16, author = {Tuan D. A. Nguyen and Akash Kumar}, editor = {Deming Chen and Jonathan W. Greene}, title = {PRFloor: An Automatic Floorplanner for Partially Reconfigurable {FPGA} Systems}, booktitle = {Proceedings of the 2016 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 21-23, 2016}, pages = {149--158}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2847263.2847270}, doi = {10.1145/2847263.2847270}, timestamp = {Tue, 06 Nov 2018 16:58:23 +0100}, biburl = {https://dblp.org/rec/conf/fpga/NguyenK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/HooH016, author = {Chin Hau Hoo and Yajun Ha and Akash Kumar}, editor = {Paolo Ienne and Walid A. Najjar and Jason Helge Anderson and Philip Brisk and Walter Stechele}, title = {ParaFRo: {A} hybrid parallel {FPGA} router using fine grained synchronization and partitioning}, booktitle = {26th International Conference on Field Programmable Logic and Applications, {FPL} 2016, Lausanne, Switzerland, August 29 - September 2, 2016}, pages = {1--11}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPL.2016.7577299}, doi = {10.1109/FPL.2016.7577299}, timestamp = {Fri, 17 Jan 2020 17:11:15 +0100}, biburl = {https://dblp.org/rec/conf/fpl/HooH016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/Nguyen016, author = {Tuan D. A. Nguyen and Akash Kumar}, editor = {Paolo Ienne and Walid A. Najjar and Jason Helge Anderson and Philip Brisk and Walter Stechele}, title = {XNoC: {A} non-intrusive {TDM} circuit-switched Network-on-Chip}, booktitle = {26th International Conference on Field Programmable Logic and Applications, {FPL} 2016, Lausanne, Switzerland, August 29 - September 2, 2016}, pages = {1--11}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPL.2016.7577378}, doi = {10.1109/FPL.2016.7577378}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/Nguyen016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RehmanES0H16, author = {Semeen Rehman and Walaa El{-}Harouni and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel}, editor = {Frank Liu}, title = {Architectural-space exploration of approximate multipliers}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {80}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967005}, doi = {10.1145/2966986.2967005}, timestamp = {Fri, 23 Jun 2023 22:29:48 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RehmanES0H16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiSWKC16, author = {Ang Li and Shuaiwen Leon Song and Mark Wijtvliet and Akash Kumar and Henk Corporaal}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {SFU-Driven Transparent Approximation Acceleration on GPUs}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {15:1--15:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926255}, doi = {10.1145/2925426.2926255}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiSWKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LiSB0CC16, author = {Ang Li and Shuaiwen Leon Song and Eric Brugel and Akash Kumar and Daniel G. Chavarr{\'{\i}}a{-}Miranda and Henk Corporaal}, title = {{X:} {A} Comprehensive Analytic Model for Parallel Machines}, booktitle = {2016 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2016, Chicago, IL, USA, May 23-27, 2016}, pages = {242--252}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IPDPS.2016.89}, doi = {10.1109/IPDPS.2016.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LiSB0CC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/KhanhA016, author = {Pham Nam Khanh and Khin Mi Mi Aung and Akash Kumar}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Automatic framework to generate reconfigurable accelerators for option pricing applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857157}, doi = {10.1109/RECONFIG.2016.7857157}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/KhanhA016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/KhanhKA16, author = {Pham Nam Khanh and Akash Kumar and Khin Mi Mi Aung}, editor = {Sander Stuijk}, title = {Machine Learning Approach to Generate Pareto Front for List-scheduling Algorithms}, booktitle = {Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, {SCOPES} 2016, Sankt Goar, Germany, May 23-25, 2016}, pages = {127--134}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2906363.2906380}, doi = {10.1145/2906363.2906380}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/KhanhKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LiKHC15, author = {Ang Li and Akash Kumar and Yajun Ha and Henk Corporaal}, title = {Correlation ratio based volume image registration on GPUs}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {998--1011}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.04.002}, doi = {10.1016/J.MICPRO.2015.04.002}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LiKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/PangKGL15, author = {Chee Khiang Pang and Akash Kumar and Cher{-}Hiang Goh and Cao Vinh Le}, title = {Nano-satellite swarm for {SAR} applications: design and robust scheduling}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {51}, number = {2}, pages = {853--865}, year = {2015}, url = {https://doi.org/10.1109/TAES.2014.140077}, doi = {10.1109/TAES.2014.140077}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/PangKGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/0001VK15, author = {Anup Das and Shyamsundar Venkataraman and Akash Kumar}, title = {Autonomous Soft-Error Tolerance of {FPGA} Configuration Bits}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {8}, number = {2}, pages = {12:1--12:17}, year = {2015}, url = {https://doi.org/10.1145/2629580}, doi = {10.1145/2629580}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/0001VK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/0001SK15, author = {Anup Das and Amit Kumar Singh and Akash Kumar}, title = {Execution Trace-Driven Energy-Reliability Optimization for Multimedia MPSoCs}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {8}, number = {3}, pages = {18:1--18:19}, year = {2015}, url = {https://doi.org/10.1145/2665071}, doi = {10.1145/2665071}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/0001SK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HaqueLKW15, author = {Mohammad Shihabul Haque and Ang Li and Akash Kumar and Qingsong Wei}, title = {Accelerating non-volatile/hybrid processor cache design space exploration for application specific embedded systems}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {435--440}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7059045}, doi = {10.1109/ASPDAC.2015.7059045}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HaqueLKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SantosVK15, author = {Rui Santos and Shyamsundar Venkataraman and Akash Kumar}, title = {Dynamically adaptive scrubbing mechanism for improved reliability in reconfigurable embedded systems}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {156:1--156:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744827}, doi = {10.1145/2744769.2744827}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SantosVK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/0001KVSMA15, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli and Rishad Ahmed Shafik and Geoff V. Merrett and Bashir M. Al{-}Hashimi}, editor = {Wolfgang Nebel and David Atienza}, title = {Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {43--48}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755764}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/0001KVSMA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhanhSKA15, author = {Pham Nam Khanh and Amit Kumar Singh and Akash Kumar and Khin Mi Mi Aung}, editor = {Wolfgang Nebel and David Atienza}, title = {Exploiting loop-array dependencies to accelerate the design space exploration with high level synthesis}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {157--162}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755788}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhanhSKA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FernandoWNKC15, author = {Shakith Fernando and Mark Wijtvliet and Cedric Nugteren and Akash Kumar and Henk Corporaal}, editor = {Wolfgang Nebel and David Atienza}, title = {(AS)\({}^{\mbox{2}}\): accelerator synthesis using algorithmic skeletons for rapid design space exploration}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {305--308}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755821}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FernandoWNKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkataramanKSE15, author = {Shyamsundar Venkataraman and Akash Kumar and Jeremy Schlachter and Christian C. Enz}, editor = {Wolfgang Nebel and David Atienza}, title = {Designing inexact systems efficiently using elimination heuristics}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {758--763}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755926}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkataramanKSE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TangAKP15, author = {Liang Tang and Jude Angelo Ambrose and Akash Kumar and Sri Parameswaran}, editor = {Wolfgang Nebel and David Atienza}, title = {Dynamic reconfigurable puncturing for secure wireless communication}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {888--891}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757018}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TangAKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/HooKH15, author = {Chin Hau Hoo and Akash Kumar and Yajun Ha}, title = {ParaLaR: {A} parallel {FPGA} router based on Lagrangian relaxation}, booktitle = {25th International Conference on Field Programmable Logic and Applications, {FPL} 2015, London, United Kingdom, September 2-4, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPL.2015.7294012}, doi = {10.1109/FPL.2015.7294012}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/HooKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/OomenNKC15, author = {Roel Oomen and Tuan D. A. Nguyen and Akash Kumar and Henk Corporaal}, title = {An automated technique to generate relocatable partial bitstreams for Xilinx FPGAs}, booktitle = {25th International Conference on Field Programmable Logic and Applications, {FPL} 2015, London, United Kingdom, September 2-4, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPL.2015.7293980}, doi = {10.1109/FPL.2015.7293980}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/OomenNKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/LiTKC15, author = {Ang Li and Y. C. Tay and Akash Kumar and Henk Corporaal}, editor = {Thilo Kielmann and Dean Hildebrand and Michela Taufer}, title = {Transit: {A} Visual Analytical Model for Multithreaded Machines}, booktitle = {Proceedings of the 24th International Symposium on High-Performance Parallel and Distributed Computing, {HPDC} 2015, Portland, OR, USA, June 15-19, 2015}, pages = {101--106}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749246.2749265}, doi = {10.1145/2749246.2749265}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/LiTKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiBCK15, author = {Ang Li and Gert{-}Jan van den Braak and Henk Corporaal and Akash Kumar}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Fine-Grained Synchronizations and Dataflow Programming on GPUs}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {109--118}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751232}, doi = {10.1145/2751205.2751232}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiBCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/SantosVK15, author = {Rui Santos and Shyamsundar Venkataraman and Akash Kumar}, title = {Generic scrubbing-based architecture for custom error correction algorithms}, booktitle = {2015 International Symposium on Rapid System Prototyping, {RSP} 2015, Amsterdam, The Netherlands, October 8-9, 2015}, pages = {112--118}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/RSP.2015.7416555}, doi = {10.1109/RSP.2015.7416555}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/rsp/SantosVK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/VenkataramanSKK15, author = {Shyamsundar Venkataraman and Rui Santos and Akash Kumar and Jasper Kuijsten}, editor = {Dimitrios Soudris and Luigi Carro}, title = {Hardware task migration module for improved fault tolerance and predictability}, booktitle = {2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2015, Samos, Greece, July 19-23, 2015}, pages = {197--202}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SAMOS.2015.7363676}, doi = {10.1109/SAMOS.2015.7363676}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/VenkataramanSKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/LiBKC15, author = {Ang Li and Gert{-}Jan van den Braak and Akash Kumar and Henk Corporaal}, editor = {Jackie Kern and Jeffrey S. Vetter}, title = {Adaptive and transparent cache bypassing for GPUs}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2015, Austin, TX, USA, November 15-20, 2015}, pages = {17:1--17:12}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2807591.2807606}, doi = {10.1145/2807591.2807606}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/LiBKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HaqueKHWL15, author = {Mohammad Shihabul Haque and Akash Kumar and Yajun Ha and Qiang Wu and Shaobo Luo}, title = {{TRISHUL:} {A} Single-pass Optimal Two-level Inclusive Data Cache Hierarchy Selection Process for Real-time MPSoCs}, journal = {CoRR}, volume = {abs/1506.03182}, year = {2015}, url = {http://arxiv.org/abs/1506.03182}, eprinttype = {arXiv}, eprint = {1506.03182}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HaqueKHWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HaqueLKW15, author = {Mohammad Shihabul Haque and Ang Li and Akash Kumar and Qingsong Wei}, title = {Accelerating Non-volatile/Hybrid Processor Cache Design Space Exploration for Application Specific Embedded Systems}, journal = {CoRR}, volume = {abs/1506.03193}, year = {2015}, url = {http://arxiv.org/abs/1506.03193}, eprinttype = {arXiv}, eprint = {1506.03193}, timestamp = {Thu, 01 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/HaqueLKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/0001KV14, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, title = {Communication and migration energy aware task mapping for reliable multiprocessor systems}, journal = {Future Gener. Comput. Syst.}, volume = {30}, pages = {216--228}, year = {2014}, url = {https://doi.org/10.1016/j.future.2013.06.016}, doi = {10.1016/J.FUTURE.2013.06.016}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/0001KV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HuangHZKL14, author = {Kejie Huang and Yajun Ha and Rong Zhao and Akash Kumar and Yong Lian}, title = {A Low Active Leakage and High Reliability Phase Change Memory {(PCM)} Based Non-Volatile {FPGA} Storage Element}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {9}, pages = {2605--2613}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2312499}, doi = {10.1109/TCSI.2014.2312499}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HuangHZKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/DasKV14, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, title = {Energy-aware task mapping and scheduling for reliable embedded computing systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {2s}, pages = {72:1--72:27}, year = {2014}, url = {https://doi.org/10.1145/2544375.2544392}, doi = {10.1145/2544375.2544392}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/DasKV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/0001SMAKV14, author = {Anup Das and Rishad A. Shafik and Geoff V. Merrett and Bashir M. Al{-}Hashimi and Akash Kumar and Bharadwaj Veeravalli}, title = {Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {170:1--170:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593199}, doi = {10.1145/2593069.2593199}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/0001SMAKV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasKV14, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.115}, doi = {10.7873/DATE.2014.115}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/DasKV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasKVBM14, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli and Cristiana Bolchini and Antonio Miele}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Combined {DVFS} and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.074}, doi = {10.7873/DATE.2014.074}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DasKVBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/0001KV14, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, title = {Artificial intelligence based task mapping and pipelined scheduling for checkpointing on real time systems with imperfect fault detection}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {134--140}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962066}, doi = {10.1109/DFT.2014.6962066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/0001KV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LiK14, author = {Ang Li and Akash Kumar}, title = {Accelerating Volume Image Registration through Correlation Ratio Based Methods on GPUs}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {82--89}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.29}, doi = {10.1109/DSD.2014.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LiK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/KhanhSKA14, author = {Pham Nam Khanh and Amit Kumar Singh and Akash Kumar and Khin Mi Mi Aung}, title = {Design Space Exploration to Accelerate Nelder-Mead Algorithm Using {FPGA}}, booktitle = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014}, pages = {100}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FCCM.2014.33}, doi = {10.1109/FCCM.2014.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/KhanhSKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/NguyenK14, author = {Tuan D. A. Nguyen and Akash Kumar}, title = {PR-HMPSoC: {A} versatile partially reconfigurable heterogeneous Multiprocessor System-on-Chip for dynamic FPGA-based embedded systems}, booktitle = {24th International Conference on Field Programmable Logic and Applications, {FPL} 2014, Munich, Germany, 2-4 September, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPL.2014.6927492}, doi = {10.1109/FPL.2014.6927492}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/NguyenK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SantosV0K14, author = {Rui Santos and Shyamsundar Venkataraman and Anup Das and Akash Kumar}, title = {Criticality-aware scrubbing mechanism for SRAM-based FPGAs}, booktitle = {24th International Conference on Field Programmable Logic and Applications, {FPL} 2014, Munich, Germany, 2-4 September, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPL.2014.6927476}, doi = {10.1109/FPL.2014.6927476}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/SantosV0K14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/VenkataramanS0K14, author = {Shyamsundar Venkataraman and Rui Santos and Anup Das and Akash Kumar}, title = {A bit-interleaved embedded hamming scheme to correct single-bit and multi-bit upsets for SRAM-based FPGAs}, booktitle = {24th International Conference on Field Programmable Logic and Applications, {FPL} 2014, Munich, Germany, 2-4 September, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPL.2014.6927385}, doi = {10.1109/FPL.2014.6927385}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/VenkataramanS0K14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/VenkataramanSMK14, author = {Shyamsundar Venkataraman and Rui Santos and Sidharth Maheshwari and Akash Kumar}, title = {Multi-directional error correction schemes for SRAM-based FPGAs}, booktitle = {24th International Conference on Field Programmable Logic and Applications, {FPL} 2014, Munich, Germany, 2-4 September, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPL.2014.6927448}, doi = {10.1109/FPL.2014.6927448}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/VenkataramanSMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/WangKSK14, author = {Siqi Wang and Pham Nam Khanh and Amit Kumar Singh and Akash Kumar}, title = {Leakage and performance aware resource management for 2D dynamically reconfigurable {FPGA} architectures}, booktitle = {24th International Conference on Field Programmable Logic and Applications, {FPL} 2014, Munich, Germany, 2-4 September, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPL.2014.6927420}, doi = {10.1109/FPL.2014.6927420}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/WangKSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangKH14, author = {Yi Wang and Akash Kumar and Yajun Ha}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {FPGA-based high throughput {XTS-AES} encryption/decryption for storage area network}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {268--271}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082791}, doi = {10.1109/FPT.2014.7082791}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/KhanhSK14, author = {Pham Nam Khanh and Amit Kumar Singh and Akash Kumar}, editor = {Joseph R. Cavallaro and Tong Zhang and Alex K. Jones and Hai (Helen) Li}, title = {A multi-stage leakage aware resource management technique for reconfigurable architectures}, booktitle = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX, {USA} - May 21 - 23, 2014}, pages = {63--68}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591513.2591526}, doi = {10.1145/2591513.2591526}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/KhanhSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/PangKGL14, author = {Chee Khiang Pang and Akash Kumar and Cher{-}Hiang Goh and Cao Vinh Le}, title = {Design and robust scheduling of nano-satellite swarm for synthetic aperture radar applications}, booktitle = {13th International Conference on Control Automation Robotics {\&} Vision, {ICARCV} 2014, Singapore, December 10-12, 2014}, pages = {705--710}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICARCV.2014.7064390}, doi = {10.1109/ICARCV.2014.7064390}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/PangKGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isicir/WuH0LLM14, author = {Qiang Wu and Yajun Ha and Akash Kumar and Shaobo Luo and Ang Li and Shihab Mohamed}, title = {A heterogeneous platform with {GPU} and {FPGA} for power efficient high performance computing}, booktitle = {2014 International Symposium on Integrated Circuits (ISIC), Singapore, December 10-12, 2014}, pages = {220--223}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISICIR.2014.7029447}, doi = {10.1109/ISICIR.2014.7029447}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isicir/WuH0LLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/XingWK14, author = {Yihuan Xing and Ford{-}Long Wong and Akash Kumar}, title = {Lightweight Bare-Metal Stateful Firewall}, booktitle = {20th {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2014, Singapore, November 18-21, 2014}, pages = {53--58}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PRDC.2014.15}, doi = {10.1109/PRDC.2014.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/XingWK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/SureshSK14, author = {Dipika Suresh and Amit Kumar Singh and Akash Kumar}, title = {A multi-stage thermal management strategy for 3D multicores}, booktitle = {25nd {IEEE} International Symposium on Rapid System Prototyping, {RSP} 2014, New Delhi, India, October 16-17, 2014}, pages = {78--84}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/RSP.2014.6966896}, doi = {10.1109/RSP.2014.6966896}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/SureshSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcsc/SinghKWS13, author = {Amit Kumar Singh and Akash Kumar and Jigang Wu and Thambipillai Srikanthan}, title = {{CADSE:} communication aware design space exploration for efficient run-time MPSoC management}, journal = {Frontiers Comput. Sci.}, volume = {7}, number = {3}, pages = {416--430}, year = {2013}, url = {https://doi.org/10.1007/s11704-013-2196-1}, doi = {10.1007/S11704-013-2196-1}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fcsc/SinghKWS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/netmahib/DwivediAKM13, author = {Vivek Dhar Dwivedi and Shikha Arora and Akash Kumar and Sarad Kumar Mishra}, title = {Computational analysis of xanthine dehydrogenase enzyme from different source organisms}, journal = {Netw. Model. Anal. Health Informatics Bioinform.}, volume = {2}, number = {4}, pages = {185--189}, year = {2013}, url = {https://doi.org/10.1007/s13721-013-0029-7}, doi = {10.1007/S13721-013-0029-7}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/netmahib/DwivediAKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/KumarFP13, author = {Akash Kumar and Shakith Fernando and Rajesh Chandrasekhara Panicker}, title = {Project-Based Learning in Embedded Systems Education Using an {FPGA} Platform}, journal = {{IEEE} Trans. Educ.}, volume = {56}, number = {4}, pages = {407--415}, year = {2013}, url = {https://doi.org/10.1109/TE.2013.2246568}, doi = {10.1109/TE.2013.2246568}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/KumarFP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HaqueKHWL13, author = {Mohammad Shihabul Haque and Akash Kumar and Yajun Ha and Qiang Wu and Shaobo Luo}, title = {{TRISHUL:} {A} single-pass optimal two-level inclusive data cache hierarchy selection process for real-time MPSoCs}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {320--325}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509615}, doi = {10.1109/ASPDAC.2013.6509615}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HaqueKHWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/DasKV13, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, title = {Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems}, booktitle = {International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2013, Montreal, QC, Canada, September 29 - October 4, 2013}, pages = {1:1--1:10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CASES.2013.6662505}, doi = {10.1109/CASES.2013.6662505}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/cases/DasKV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghSKH13, author = {Amit Kumar Singh and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel}, title = {Mapping on multi/many-core systems: survey of current and emerging trends}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {1:1--1:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488734}, doi = {10.1145/2463209.2488734}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghSKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghDK13, author = {Amit Kumar Singh and Anup Das and Akash Kumar}, title = {Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {115:1--115:7}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488875}, doi = {10.1145/2463209.2488875}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghDK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasKV13, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, editor = {Enrico Macii}, title = {Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {689--694}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.149}, doi = {10.7873/DATE.2013.149}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/DasKV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasKV13a, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, editor = {Enrico Macii}, title = {Communication and migration energy aware design space exploration for multicore systems with intermittent faults}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1631--1636}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.331}, doi = {10.7873/DATE.2013.331}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DasKV13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BolchiniCMDKV13, author = {Cristiana Bolchini and Matteo Carminati and Antonio Miele and Anup Das and Akash Kumar and Bharadwaj Veeravalli}, title = {Run-time mapping for reliable many-cores based on energy/performance trade-offs}, booktitle = {2013 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2013, New York City, NY, USA, October 2-4, 2013}, pages = {58--64}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DFT.2013.6653583}, doi = {10.1109/DFT.2013.6653583}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BolchiniCMDKV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KhanhSKA13, author = {Pham Nam Khanh and Amit Kumar Singh and Akash Kumar and Khin Mi Mi Aung}, title = {Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-Time Mapping for Heterogeneous MPSoCs}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {513--521}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.61}, doi = {10.1109/DSD.2013.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/KhanhSKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/SinghDK13, author = {Amit Kumar Singh and Anup Das and Akash Kumar}, title = {{RAPIDITAS:} RAPId Design-Space-Exploration Incorporating Trace-Based Analysis and Simulation}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {836--843}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.93}, doi = {10.1109/DSD.2013.93}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/SinghDK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/CoxSKC13, author = {Marco Cox and Amit Kumar Singh and Akash Kumar and Henk Corporaal}, title = {Thermal-aware mapping of streaming applications on 3D Multi-Processor Systems}, booktitle = {The 11th {IEEE} Symposium on Embedded Systems for Real-time Multimedia, Montreal, QC, Canada, October 3-4, 2013}, pages = {11--20}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ESTIMedia.2013.6704498}, doi = {10.1109/ESTIMEDIA.2013.6704498}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/CoxSKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/AngKH13, author = {Zhi Ping Ang and Akash Kumar and Yajun Ha}, title = {High Speed Video Processing Using Fine-Grained Processing on {FPGA} Platform}, booktitle = {21st {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2013, Seattle, WA, USA, April 28-30, 2013}, pages = {85--88}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/FCCM.2013.32}, doi = {10.1109/FCCM.2013.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/AngKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/DasVK13, author = {Anup Das and Shyamsundar Venkataraman and Akash Kumar}, title = {Improving autonomous soft-error tolerance of {FPGA} through {LUT} configuration bit manipulation}, booktitle = {23rd International Conference on Field programmable Logic and Applications, {FPL} 2013, Porto, Portugal, September 2-4, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPL.2013.6645498}, doi = {10.1109/FPL.2013.6645498}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/DasVK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/FernandoWSHSKC13, author = {Shakith Fernando and Mark Wijtvliet and Firew Siyoum and Yifan He and Sander Stuijk and Akash Kumar and Henk Corporaal}, title = {{MAMPSX:} {A} demonstration of rapid, predictable {HMPSOC} synthesis}, booktitle = {23rd International Conference on Field programmable Logic and Applications, {FPL} 2013, Porto, Portugal, September 2-4, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPL.2013.6645623}, doi = {10.1109/FPL.2013.6645623}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/FernandoWSHSKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/HooHK13, author = {Chin Hau Hoo and Yajun Ha and Akash Kumar}, title = {A directional coarse-grained power gated {FPGA} switch box and power gating aware routing algorithm}, booktitle = {23rd International Conference on Field programmable Logic and Applications, {FPL} 2013, Porto, Portugal, September 2-4, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPL.2013.6645548}, doi = {10.1109/FPL.2013.6645548}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/HooHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AngK13, author = {Zhi Ping Ang and Akash Kumar}, title = {Real-time and low power embedded {\(\mathscr{l}\)}1-optimization solver design}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {168--175}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718348}, doi = {10.1109/FPT.2013.6718348}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AngK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/DasSK13, author = {Anup Das and Amit Kumar Singh and Akash Kumar}, title = {Energy-aware dynamic reconfiguration of communication-centric applications for reliable MPSoCs}, booktitle = {2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), Darmstadt, Germany, July 10-12, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReCoSoC.2013.6581540}, doi = {10.1109/RECOSOC.2013.6581540}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/recosoc/DasSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/FernandoSHKC13, author = {Shakith Fernando and Firew Siyoum and Yifan He and Akash Kumar and Henk Corporaal}, title = {MAMPSx: {A} design framework for rapid synthesis of predictable heterogeneous MPSoCs}, booktitle = {Proceedings of the 24th {IEEE} International Symposium on Rapid System Prototyping, {RSP} 2013, Montreal, QC, Canada, October 3-4, 2013}, pages = {136--142}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/RSP.2013.6683970}, doi = {10.1109/RSP.2013.6683970}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/rsp/FernandoSHKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigact/Kumar12, author = {Akash Kumar}, title = {Review of polynomia and related realms by Dan Kalman}, journal = {{SIGACT} News}, volume = {43}, number = {2}, pages = {15--20}, year = {2012}, url = {https://doi.org/10.1145/2261417.2261422}, doi = {10.1145/2261417.2261422}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigact/Kumar12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SinghKS12, author = {Amit Kumar Singh and Akash Kumar and Thambipillai Srikanthan}, title = {Accelerating throughput-aware runtime mapping for heterogeneous MPSoCs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {1}, pages = {9:1--9:29}, year = {2012}, url = {https://doi.org/10.1145/2390191.2390200}, doi = {10.1145/2390191.2390200}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/SinghKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/WangDKC12, author = {Sheng Hao Wang and Anup Das and Akash Kumar and Henk Corporaal}, title = {Minimizing Power Consumption of Spatial Division Based Networks-on-Chip Using Multi-path and Frequency Reduction}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {576--583}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.85}, doi = {10.1109/DSD.2012.85}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/WangDKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/AllugunduPLK12, author = {Izaan Allugundu and Pranay Puranik and Yat Piu Lo and Akash Kumar}, editor = {Dirk Koch and Satnam Singh and Jim T{\o}rresen}, title = {Acceleration of distance-to-default with hardware-software co-design}, booktitle = {22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, August 29-31, 2012}, pages = {338--344}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPL.2012.6339227}, doi = {10.1109/FPL.2012.6339227}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/AllugunduPLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/HooK12, author = {Chin Hau Hoo and Akash Kumar}, editor = {Dirk Koch and Satnam Singh and Jim T{\o}rresen}, title = {An area-efficient partially reconfigurable crossbar switch with low reconfiguration delay}, booktitle = {22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, August 29-31, 2012}, pages = {400--406}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPL.2012.6339136}, doi = {10.1109/FPL.2012.6339136}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/HooK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/KhuranaGPK12, author = {Kanav Khurana and Pooja Gupta and Rajesh Chandrasekhara Panicker and Akash Kumar}, editor = {Dirk Koch and Satnam Singh and Jim T{\o}rresen}, title = {Development of an FPGA-based real-time {P300} speller}, booktitle = {22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, August 29-31, 2012}, pages = {551--554}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPL.2012.6339377}, doi = {10.1109/FPL.2012.6339377}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/KhuranaGPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/DasKV12, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, title = {Energy-Aware Communication and Remapping of Tasks for Reliable Multimedia Multiprocessor Systems}, booktitle = {18th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2012, Singapore, December 17-19, 2012}, pages = {564--571}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPADS.2012.82}, doi = {10.1109/ICPADS.2012.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/DasKV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/DasKV12, author = {Anup Das and Akash Kumar and Bharadwaj Veeravalli}, editor = {Leandro Soares Indrusiak and Guy Gogniat and Nikolaos S. Voros}, title = {Fault-tolerant network interface for spatial division multiplexing based Network-on-Chip}, booktitle = {7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), York, United Kingdom, July 9-11, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReCoSoC.2012.6322894}, doi = {10.1109/RECOSOC.2012.6322894}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/recosoc/DasKV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/DasK12, author = {Anup Das and Akash Kumar}, title = {Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs}, booktitle = {Proceedings of the 23rd {IEEE} International Symposium on Rapid System Prototyping, {RSP} 2012, Tampere, Finland, October 11-12, 2012}, pages = {149--155}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/RSP.2012.6380704}, doi = {10.1109/RSP.2012.6380704}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/rsp/DasK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/JiashuDK12, author = {Jiashu Li and Anup Das and Akash Kumar}, title = {A design flow for partially reconfigurable heterogeneous multi-processor platforms}, booktitle = {Proceedings of the 23rd {IEEE} International Symposium on Rapid System Prototyping, {RSP} 2012, Tampere, Finland, October 11-12, 2012}, pages = {170--176}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/RSP.2012.6380707}, doi = {10.1109/RSP.2012.6380707}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/JiashuDK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/SinghKS11, author = {Amit Kumar Singh and Akash Kumar and Thambipillai Srikanthan}, editor = {Rajesh K. Gupta and Vincent John Mooney}, title = {A hybrid strategy for mapping multiple throughput-constrained applications on MPSoCs}, booktitle = {Proceedings of the 14th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2011, part of the Seventh Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14, 2011}, pages = {175--184}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2038698.2038726}, doi = {10.1145/2038698.2038726}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/SinghKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ShabbirSKCM11, author = {Ahsan Shabbir and Sander Stuijk and Akash Kumar and Henk Corporaal and Bart Mesman}, editor = {Calin Cascaval and Pedro Trancoso and Viktor K. Prasanna}, title = {An MPSoC design approach for multiple use-cases of throughput constrainted applications}, booktitle = {Proceedings of the 8th Conference on Computing Frontiers, 2011, Ischia, Italy, May 3-5, 2011}, pages = {20}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2016604.2016628}, doi = {10.1145/2016604.2016628}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/ShabbirSKCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JordansSSKC11, author = {Roel Jordans and Firew Siyoum and Sander Stuijk and Akash Kumar and Henk Corporaal}, editor = {Philipp Lucas and Lothar Thiele and Benoit Triquet and Theo Ungerer and Reinhard Wilhelm}, title = {An Automated Flow to Map Throughput Constrained Applications to a MPSoC}, booktitle = {Bringing Theory to Practice: Predictability and Performance in Embedded Systems, {DATE} Workshop {PPES} 2011, March 18, 2011, Grenoble, France}, series = {OASIcs}, volume = {18}, pages = {47--58}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum fuer Informatik, Germany}, year = {2011}, url = {https://doi.org/10.4230/OASIcs.PPES.2011.47}, doi = {10.4230/OASICS.PPES.2011.47}, timestamp = {Wed, 02 Aug 2023 16:29:12 +0200}, biburl = {https://dblp.org/rec/conf/date/JordansSSKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esweek/KumarFM11, author = {Akash Kumar and Shakith Fernando and Manmohan Manoharan}, editor = {Peter Marwedel and David Jeff Jackson and Kenneth G. Ricks}, title = {Bringing soccer to the field of real-time embedded systems education}, booktitle = {Proceedings of the 6th Workshop on Embedded Systems Education, {WESE} 2011, Taipei, Taiwan, October 13, 2011}, pages = {46--52}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2077370.2077377}, doi = {10.1145/2077370.2077377}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esweek/KumarFM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/SinghKS11, author = {Amit Kumar Singh and Akash Kumar and Thambipillai Srikanthan}, title = {A Design Space Exploration Methodology for Application Specific MPSoC Design}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2011, 4-6 July 2011, Chennai, India}, pages = {339--340}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISVLSI.2011.44}, doi = {10.1109/ISVLSI.2011.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/SinghKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paap/SinghKJS11, author = {Amit Kumar Singh and Akash Kumar and Wu Jigang and Thambipillai Srikanthan}, editor = {Jigang Wu and Guozhi Song and Hong Shen and Guoliang Chen}, title = {Communication-Aware Design Space Exploration for Efficient Run-Time MPSoC Management}, booktitle = {Fourth International Symposium on Parallel Architectures, Algorithms and Programming, {PAAP} 2011, Tianjin, China, December 9-11, 2011}, pages = {72--76}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PAAP.2011.18}, doi = {10.1109/PAAP.2011.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/paap/SinghKJS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/ShabbirKMC11, author = {Ahsan Shabbir and Akash Kumar and Bart Mesman and Henk Corporaal}, editor = {Luigi Carro and Andy D. Pimentel}, title = {Distributed resource management for concurrent execution of multimedia applications on MPSoC platforms}, booktitle = {2011 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} XI, Samos, Greece, July 18-21, 2011}, pages = {132--139}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SAMOS.2011.6045454}, doi = {10.1109/SAMOS.2011.6045454}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/ShabbirKMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/SinghSKJ10, author = {Amit Kumar Singh and Thambipillai Srikanthan and Akash Kumar and Wu Jigang}, title = {Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms}, journal = {J. Syst. Archit.}, volume = {56}, number = {7}, pages = {242--255}, year = {2010}, url = {https://doi.org/10.1016/j.sysarc.2010.04.007}, doi = {10.1016/J.SYSARC.2010.04.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/SinghSKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ShabbirKSMC10, author = {Ahsan Shabbir and Akash Kumar and Sander Stuijk and Bart Mesman and Henk Corporaal}, title = {CA-MPSoC: An automated design flow for predictable multi-processor architectures for multiple applications}, journal = {J. Syst. Archit.}, volume = {56}, number = {7}, pages = {265--277}, year = {2010}, url = {https://doi.org/10.1016/j.sysarc.2010.03.007}, doi = {10.1016/J.SYSARC.2010.03.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ShabbirKSMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KumarMCH10, author = {Akash Kumar and Bart Mesman and Henk Corporaal and Yajun Ha}, title = {Iterative Probabilistic Performance Prediction for Multi-Application Multiprocessor Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {4}, pages = {538--551}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2042887}, doi = {10.1109/TCAD.2010.2042887}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KumarMCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ShabbirSKTMC10, author = {Ahsan Shabbir and Sander Stuijk and Akash Kumar and Bart D. Theelen and Bart Mesman and Henk Corporaal}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {A predictable communication assist}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {97--98}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787301}, doi = {10.1145/1787275.1787301}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/ShabbirSKTMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SinghKSH10, author = {Amit Kumar Singh and Akash Kumar and Thambipillai Srikanthan and Yajun Ha}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on {FPGA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {365--368}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681427}, doi = {10.1109/FPT.2010.5681427}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SinghKSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangKH10, author = {Zhiyao Joseph Yang and Akash Kumar and Yajun Ha}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {An area-efficient dynamically reconfigurable Spatial Division Multiplexing network-on-chip with static throughput guarantee}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {389--392}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681443}, doi = {10.1109/FPT.2010.5681443}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YangKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/procedia/SinghJKS10, author = {Amit Kumar Singh and Wu Jigang and Akash Kumar and Thambipillai Srikanthan}, editor = {Peter M. A. Sloot and G. Dick van Albada and Jack J. Dongarra}, title = {Run-time mapping of multiple communicating tasks on MPSoC platforms}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2010, University of Amsterdam, The Netherlands, May 31 - June 2, 2010}, series = {Procedia Computer Science}, volume = {1}, number = {1}, pages = {1019--1026}, publisher = {Elsevier}, year = {2010}, url = {https://doi.org/10.1016/j.procs.2010.04.113}, doi = {10.1016/J.PROCS.2010.04.113}, timestamp = {Thu, 08 Jul 2021 14:29:22 +0200}, biburl = {https://dblp.org/rec/journals/procedia/SinghJKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/ShabbirKMC09, author = {Ahsan Shabbir and Akash Kumar and Bart Mesman and Henk Corporaal}, editor = {Walid A. Najjar and Michael J. Schulte}, title = {Performance evaluation of concurrently executing parallel applications on multi-processor systems}, booktitle = {Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2009), Samos, Greece, July 20-23, 2009}, pages = {100--107}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSAMOS.2009.5289220}, doi = {10.1109/ICSAMOS.2009.5289220}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/ShabbirKMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KumarMTCH08, author = {Akash Kumar and Bart Mesman and Bart D. Theelen and Henk Corporaal and Yajun Ha}, title = {Analyzing composability of applications on MPSoC platforms}, journal = {J. Syst. Archit.}, volume = {54}, number = {3-4}, pages = {369--383}, year = {2008}, url = {https://doi.org/10.1016/j.sysarc.2007.10.002}, doi = {10.1016/J.SYSARC.2007.10.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/KumarMTCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KumarFHMC08, author = {Akash Kumar and Shakith Fernando and Yajun Ha and Bart Mesman and Henk Corporaal}, title = {Multiprocessor systems synthesis for multiple use-cases of multiple applications on {FPGA}}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {3}, pages = {40:1--40:27}, year = {2008}, url = {https://doi.org/10.1145/1367045.1367049}, doi = {10.1145/1367045.1367049}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/KumarFHMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarB08, author = {Akash Kumar and Kees van Berkel}, editor = {Donatella Sciuto}, title = {Vectorization of Reed Solomon Decoding and Mapping on the {EVP}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {450--455}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484722}, doi = {10.1109/DATE.2008.4484722}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KumarB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imtic/ShabbirKMC08, author = {Ahsan Shabbir and Akash Kumar and Bart Mesman and Henk Corporaal}, editor = {Dil Muhammad Akbar Hussain and Abdul Qadeer Khan Rajput and Bhawani Shankar Chowdhry and Quintin Gee}, title = {Enabling MPSoC Design Space Exploration on FPGAs}, booktitle = {Wireless Networks, Information Processing and Systems, International Multi Topic Conference, {IMTIC} 2008, Jamshoro, Pakistan, April 11-12, 2008, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {20}, pages = {412--421}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89853-5\_44}, doi = {10.1007/978-3-540-89853-5\_44}, timestamp = {Fri, 19 May 2017 01:26:03 +0200}, biburl = {https://dblp.org/rec/conf/imtic/ShabbirKMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KumarMCTH07, author = {Akash Kumar and Bart Mesman and Henk Corporaal and Bart D. Theelen and Yajun Ha}, title = {A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {726--731}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278662}, doi = {10.1145/1278480.1278662}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KumarMCTH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarHHC07, author = {Akash Kumar and Andreas Hansson and Jos Huisken and Henk Corporaal}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An {FPGA} design flow for reconfigurable network-based multi-processor systems on chip}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {117--122}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364577}, doi = {10.1109/DATE.2007.364577}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KumarHHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/KumarFHMC07, author = {Akash Kumar and Shakith Fernando and Yajun Ha and Bart Mesman and Henk Corporaal}, editor = {Koen Bertels and Walid A. Najjar and Arjan J. van Genderen and Stamatis Vassiliadis}, title = {Multi-processor System-level Synthesis for Multiple Applications on Platform {FPGA}}, booktitle = {{FPL} 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007}, pages = {92--97}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPL.2007.4380631}, doi = {10.1109/FPL.2007.4380631}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/KumarFHMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KumarMCMY06, author = {Akash Kumar and Bart Mesman and Henk Corporaal and Jef L. van Meerbergen and Yajun Ha}, title = {Global Analysis of Resource Arbitration for MPSoC}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {71--78}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.57}, doi = {10.1109/DSD.2006.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/KumarMCMY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/KumarMTCY06, author = {Akash Kumar and Bart Mesman and Bart D. Theelen and Henk Corporaal and Yajun Ha}, editor = {Soonhoi Ha and Samarjit Chakraborty}, title = {Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip}, booktitle = {Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2006, October 26-27, 2006, Seoul, Korea, conjunction with {CODES+ISSS} 2006}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ESTMED.2006.321271}, doi = {10.1109/ESTMED.2006.321271}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/KumarMTCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/MohanAA05, author = {Gurusamy Mohan and Akash Kumar and M. Ashish}, title = {Efficient techniques for improved QoS performance in {WDM} optical burst switched networks}, journal = {Comput. Commun.}, volume = {28}, number = {7}, pages = {754--764}, year = {2005}, url = {https://doi.org/10.1016/j.comcom.2004.10.007}, doi = {10.1016/J.COMCOM.2004.10.007}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/MohanAA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmp/CiobanuDK02, author = {Gabriel Ciobanu and Rahul Desai and Akash Kumar}, editor = {Gheorghe Paun and Grzegorz Rozenberg and Arto Salomaa and Claudio Zandron}, title = {Membrane Systems and Distributed Computing}, booktitle = {Membrane Computing, International Workshop, WMC-CdeA 2002, Curtea de Arges, Romania, August 19-23, 2002, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2597}, pages = {187--202}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36490-0\_12}, doi = {10.1007/3-540-36490-0\_12}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmp/CiobanuDK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.