BibTeX records: C. V. Krishna

download as .bib file

@article{DBLP:journals/todaes/KrishnaJT04,
  author       = {C. V. Krishna and
                  Abhijit Jas and
                  Nur A. Touba},
  title        = {Achieving high encoding efficiency with partial dynamic {LFSR} reseeding},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {9},
  number       = {4},
  pages        = {500--516},
  year         = {2004},
  url          = {https://doi.org/10.1145/1027084.1027089},
  doi          = {10.1145/1027084.1027089},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/KrishnaJT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JasKT04,
  author       = {Abhijit Jas and
                  C. V. Krishna and
                  Nur A. Touba},
  title        = {Weighted pseudorandom hybrid {BIST}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {12},
  number       = {12},
  pages        = {1277--1283},
  year         = {2004},
  url          = {https://doi.org/10.1109/TVLSI.2004.837985},
  doi          = {10.1109/TVLSI.2004.837985},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JasKT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KrishnaT04,
  author       = {C. V. Krishna and
                  Nur A. Touba},
  title        = {3-Stage Variable Length Continuous-Flow Scan Vector Decompression
                  Scheme},
  booktitle    = {22nd {IEEE} {VLSI} Test Symposium {(VTS} 2004), 25-29 April 2004,
                  Napa Valley, CA, {USA}},
  pages        = {79--86},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/VTEST.2004.1299229},
  doi          = {10.1109/VTEST.2004.1299229},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KrishnaT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/KrishnaT03,
  author       = {C. V. Krishna and
                  Nur A. Touba},
  title        = {Hybrid {BIST} Using an Incrementally Guided {LFSR}},
  booktitle    = {18th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2003), 3-5 November 2003, Boston, MA, USA,
                  Proceedings},
  pages        = {217--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DFTVS.2003.1250115},
  doi          = {10.1109/DFTVS.2003.1250115},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/KrishnaT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KrishnaT03,
  author       = {C. V. Krishna and
                  Nur A. Touba},
  title        = {Adjustable Width Linear Combinational Scan Vector Decompression},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {863--866},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257909},
  doi          = {10.1109/ICCAD.2003.1257909},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KrishnaT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohanramKT02,
  author       = {Kartik Mohanram and
                  C. V. Krishna and
                  Nur A. Touba},
  title        = {A methodology for automated insertion of concurrent error detection
                  hardware in synthesizable Verilog {RTL}},
  booktitle    = {Proceedings of the 2002 International Symposium on Circuits and Systems,
                  {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002},
  pages        = {577--580},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/ISCAS.2002.1009906},
  doi          = {10.1109/ISCAS.2002.1009906},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohanramKT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KrishnaT02,
  author       = {C. V. Krishna and
                  Nur A. Touba},
  title        = {Reducing Test Dat Volume Using {LFSR} Reseeding with Seed Compression},
  booktitle    = {Proceedings {IEEE} International Test Conference 2002, Baltimore,
                  MD, USA, October 7-10, 2002},
  pages        = {321--330},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/TEST.2002.1041775},
  doi          = {10.1109/TEST.2002.1041775},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KrishnaT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KrishnaJT01,
  author       = {C. V. Krishna and
                  Abhijit Jas and
                  Nur A. Touba},
  title        = {Test vector encoding using partial {LFSR} reseeding},
  booktitle    = {Proceedings {IEEE} International Test Conference 2001, Baltimore,
                  MD, USA, 30 October - 1 November 2001},
  pages        = {885--893},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/TEST.2001.966711},
  doi          = {10.1109/TEST.2001.966711},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KrishnaJT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/JasKT01,
  author       = {Abhijit Jas and
                  C. V. Krishna and
                  Nur A. Touba},
  title        = {Hybrid {BIST} Based on Weighted Pseudo-Random Testing: {A} New Test
                  Resource Partitioning Scheme},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {2--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923409},
  doi          = {10.1109/VTS.2001.923409},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/JasKT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}