BibTeX records: Taehwan Kim 0007

download as .bib file

@article{DBLP:journals/tvlsi/KimPK21,
  author       = {Taehwan Kim and
                  Heechun Park and
                  Taewhan Kim},
  title        = {Allocation of Always-On State Retention Storage for Power Gated Circuits
                  - Steady-State- Driven Approach},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {29},
  number       = {3},
  pages        = {499--511},
  year         = {2021},
  url          = {https://doi.org/10.1109/TVLSI.2020.3047056},
  doi          = {10.1109/TVLSI.2020.3047056},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KimPK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/KimJCKC20,
  author       = {Taehwan Kim and
                  Kwangok Jeong and
                  Jungyun Choi and
                  Taewhan Kim and
                  Kyu{-}Myung Choi},
  title        = {{SRAM} on-chip monitoring methodology for high yield and energy efficient
                  memory operation at near threshold voltage},
  journal      = {Integr.},
  volume       = {74},
  pages        = {81--92},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.vlsi.2020.04.005},
  doi          = {10.1016/J.VLSI.2020.04.005},
  timestamp    = {Thu, 25 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/KimJCKC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/0007HK20,
  author       = {Taehwan Kim and
                  Gyoung{-}Hwan Hyun and
                  Taewhan Kim},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Steady state driven power gating for lightening always-on state retention
                  storage},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406556},
  doi          = {10.1145/3370748.3406556},
  timestamp    = {Tue, 05 Jul 2022 15:18:16 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/0007HK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/KimJKC19,
  author       = {Taehwan Kim and
                  Kwangok Jeong and
                  Taewhan Kim and
                  Kyu{-}Myung Choi},
  title        = {{SRAM} On-Chip Monitoring Methodology for Energy Efficient Memory
                  Operation at Near Threshold Voltage},
  booktitle    = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019,
                  Miami, FL, USA, July 15-17, 2019},
  pages        = {146--151},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISVLSI.2019.00035},
  doi          = {10.1109/ISVLSI.2019.00035},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/KimJKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/KimLSKKJY13,
  author       = {Jaeha Kim and
                  Jiho Lee and
                  Do{-}Gyoon Song and
                  Taehwan Kim and
                  Kyung{-}Hoon Kim and
                  Seobin Jung and
                  Sangho Youn},
  title        = {Discretization and discrimination methods for design, verification,
                  and testing of analog/mixed-signal circuits},
  booktitle    = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference,
                  {CICC} 2013, San Jose, CA, USA, September 22-25, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CICC.2013.6658488},
  doi          = {10.1109/CICC.2013.6658488},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/KimLSKKJY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KimSYPPK13,
  author       = {Taehwan Kim and
                  Do{-}Gyoon Song and
                  Sangho Youn and
                  Jaejin Park and
                  Hojin Park and
                  Jaeha Kim},
  editor       = {J{\"{o}}rg Henkel},
  title        = {Verifying start-up failures in coupled ring oscillators in presence
                  of variability using predictive global optimization},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  ICCAD'13, San Jose, CA, USA, November 18-21, 2013},
  pages        = {486--493},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCAD.2013.6691161},
  doi          = {10.1109/ICCAD.2013.6691161},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KimSYPPK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HongYBCEK08,
  author       = {Sungpack Hong and
                  Sungjoo Yoo and
                  Byeong Bin and
                  Kyu{-}Myung Choi and
                  Soo{-}Kwan Eo and
                  Taehwan Kim},
  editor       = {Donatella Sciuto},
  title        = {Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software
                  Runtime Distribution},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {242--247},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484693},
  doi          = {10.1109/DATE.2008.4484693},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HongYBCEK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/spe/PaekACK07,
  author       = {Yunheung Paek and
                  Minwook Ahn and
                  Doosan Cho and
                  Taehwan Kim},
  title        = {Efficient embedded code generation with multiple load/store instructions},
  journal      = {Softw. Pract. Exp.},
  volume       = {37},
  number       = {11},
  pages        = {1133--1159},
  year         = {2007},
  url          = {https://doi.org/10.1002/spe.801},
  doi          = {10.1002/SPE.801},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/spe/PaekACK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics