BibTeX records: Euiseok Kim

download as .bib file

@inproceedings{DBLP:conf/hpca/SimAALRKSMKP24,
  author       = {Joonseop Sim and
                  Soohong Ahn and
                  Taeyoung Ahn and
                  Seungyong Lee and
                  Myunghyun Rhee and
                  Jooyoung Kim and
                  Kwangsik Shin and
                  Donguk Moon and
                  Euiseok Kim and
                  Kyoung Park},
  title        = {Computational CXL-Memory Solution for Accelerating Memory-Intensive
                  Applications},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},
  pages        = {615},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/HPCA57654.2024.00053},
  doi          = {10.1109/HPCA57654.2024.00053},
  timestamp    = {Wed, 17 Apr 2024 17:17:11 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/SimAALRKSMKP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/SimAALRKSMKP23,
  author       = {Joonseop Sim and
                  Soohong Ahn and
                  Taeyoung Ahn and
                  Seungyong Lee and
                  Myunghyun Rhee and
                  Jooyoung Kim and
                  Kwangsik Shin and
                  Donguk Moon and
                  Euiseok Kim and
                  Kyoung Park},
  title        = {Computational CXL-Memory Solution for Accelerating Memory-Intensive
                  Applications},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {22},
  number       = {1},
  pages        = {5--8},
  year         = {2023},
  url          = {https://doi.org/10.1109/LCA.2022.3226482},
  doi          = {10.1109/LCA.2022.3226482},
  timestamp    = {Fri, 10 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/SimAALRKSMKP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iot/KimSH23,
  author       = {Euiseok Kim and
                  Taehyeong Son and
                  Soonhoi Ha},
  title        = {A novel hierarchical edge-based architecture for service oriented
                  IoT},
  journal      = {Internet Things},
  volume       = {24},
  pages        = {100939},
  year         = {2023},
  url          = {https://doi.org/10.1016/j.iot.2023.100939},
  doi          = {10.1016/J.IOT.2023.100939},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iot/KimSH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/imw2/ParkLKSLJKLRKKL22,
  author       = {Sangsu Park and
                  Gyonhui Lee and
                  Youngjae Kwon and
                  Dong Ik Suh and
                  Hanwool Lee and
                  Sangeun Je and
                  Dabin Kim and
                  Dohan Lee and
                  Seungwook Ryu and
                  Seungbum Kim and
                  Euiseok Kim and
                  Sunghoon Lee and
                  Kyoung Park and
                  Seho Lee and
                  Myung{-}Hee Na and
                  Seonyong Cha},
  title        = {Recognition Accuracy Enhancement using Interface Control with Weight
                  Variation-Lowering in Analog Computation-in-Memory},
  booktitle    = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany,
                  May 15-18, 2022},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IMW52921.2022.9779296},
  doi          = {10.1109/IMW52921.2022.9779296},
  timestamp    = {Fri, 16 Jun 2023 10:03:33 +0200},
  biburl       = {https://dblp.org/rec/conf/imw2/ParkLKSLJKLRKKL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HarrisMKBKMCKEH18,
  author       = {Barend Harris and
                  Mansureh S. Moghaddam and
                  Duseok Kang and
                  Inpyo Bae and
                  Euiseok Kim and
                  Hyemi Min and
                  Hansu Cho and
                  Sukjin Kim and
                  Bernhard Egger and
                  Soonhoi Ha and
                  Kiyoung Choi},
  editor       = {Youngsoo Shin},
  title        = {Architectures and algorithms for user customization of CNNs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {540--547},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297379},
  doi          = {10.1109/ASPDAC.2018.8297379},
  timestamp    = {Sun, 05 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HarrisMKBKMCKEH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KangKBEH18,
  author       = {Duseok Kang and
                  Euiseok Kim and
                  Inpyo Bae and
                  Bernhard Egger and
                  Soonhoi Ha},
  editor       = {Iris Bahar},
  title        = {{C-GOOD:} C-code generation framework for optimized on-device deep
                  learning},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018},
  pages        = {105},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3240765.3240786},
  doi          = {10.1145/3240765.3240786},
  timestamp    = {Sun, 05 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KangKBEH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/MoghaddamHKBKMC17,
  author       = {Mansureh S. Moghaddam and
                  Barend Harris and
                  Duseok Kang and
                  Inpyo Bae and
                  Euiseok Kim and
                  Hyemi Min and
                  Hansu Cho and
                  Sukjin Kim and
                  Bernhard Egger and
                  Soonhoi Ha and
                  Kiyoung Choi},
  title        = {Incremental training of CNNs for user customization: work-in-progress},
  booktitle    = {Proceedings of the 2017 International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2017, Seoul, Republic
                  of Korea, October 15-20, 2017},
  pages        = {9:1--9:2},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3125501.3125519},
  doi          = {10.1145/3125501.3125519},
  timestamp    = {Sun, 05 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/MoghaddamHKBKMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/KimKH16,
  author       = {Euiseok Kim and
                  Youngsub Ko and
                  Soonhoi Ha},
  title        = {An Adaptive Frames Per Second-Based {CPU-GPU} Cooperative Dynamic
                  Voltage and Frequency Scaling Governing Technique for Mobile Games},
  journal      = {J. Low Power Electron.},
  volume       = {12},
  number       = {4},
  pages        = {309--322},
  year         = {2016},
  url          = {https://doi.org/10.1166/jolpe.2016.1451},
  doi          = {10.1166/JOLPE.2016.1451},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/KimKH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijtm/ChoKK15,
  author       = {Yongrae Cho and
                  Euiseok Kim and
                  Wonjoon Kim},
  title        = {Strategy transformation under technological convergence: evidence
                  from the printed electronics industry},
  journal      = {Int. J. Technol. Manag.},
  volume       = {67},
  number       = {2/3/4},
  pages        = {106--131},
  year         = {2015},
  url          = {https://doi.org/10.1504/IJTM.2015.068222},
  doi          = {10.1504/IJTM.2015.068222},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijtm/ChoKK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/scientometrics/KimCK14,
  author       = {Euiseok Kim and
                  Yongrae Cho and
                  Wonjoon Kim},
  title        = {Dynamic patterns of technological convergence in printed electronics
                  technologies: patent citation network},
  journal      = {Scientometrics},
  volume       = {98},
  number       = {2},
  pages        = {975--998},
  year         = {2014},
  url          = {https://doi.org/10.1007/s11192-013-1104-7},
  doi          = {10.1007/S11192-013-1104-7},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/scientometrics/KimCK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tce/KimJYS14,
  author       = {Euiseok Kim and
                  Hyunmi Jeong and
                  Jinwoo Yang and
                  Minseok Song},
  title        = {Balancing energy use against video quality in mobile devices},
  journal      = {{IEEE} Trans. Consumer Electron.},
  volume       = {60},
  number       = {3},
  pages        = {517--524},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCE.2014.6937338},
  doi          = {10.1109/TCE.2014.6937338},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tce/KimJYS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tomccap/SongLK14,
  author       = {Minseok Song and
                  Yeongju Lee and
                  Euiseok Kim},
  title        = {Saving disk energy in video servers by combining caching and prefetching},
  journal      = {{ACM} Trans. Multim. Comput. Commun. Appl.},
  volume       = {10},
  number       = {1s},
  pages        = {15:1--15:21},
  year         = {2014},
  url          = {https://doi.org/10.1145/2537856},
  doi          = {10.1145/2537856},
  timestamp    = {Fri, 15 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tomccap/SongLK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nossdav/SongLK13,
  author       = {Minseok Song and
                  Yeongju Lee and
                  Euiseok Kim},
  editor       = {L{\'{a}}szl{\'{o}} B{\"{o}}sz{\"{o}}rm{\'{e}}nyi and
                  P{\aa}l Halvorsen},
  title        = {Data prefetching to reduce energy use by heterogeneous disk arrays
                  in video servers},
  booktitle    = {Proceeding of the 23rd {ACM} Workshop on Network and Operating Systems
                  Support for Digital Audio and Video, {NOSSDAV} 1013, Oslo, Norway,
                  February 27, 2013},
  pages        = {1--6},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2460782.2460783},
  doi          = {10.1145/2460782.2460783},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nossdav/SongLK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/YangLLCLCHCSYKCPPSKYCPH12,
  author       = {Se{-}Hyun Yang and
                  Seogjun Lee and
                  Jae Young Lee and
                  Jeonglae Cho and
                  Hoi{-}Jin Lee and
                  Dongsik Cho and
                  Junghun Heo and
                  Sunghoon Cho and
                  Youngmin Shin and
                  Sunghee Yun and
                  Euiseok Kim and
                  Ukrae Cho and
                  Edward Pyo and
                  Man Hyuk Park and
                  Jae{-}Cheol Son and
                  Chinhyun Kim and
                  Jeongnam Youn and
                  Youngki Chung and
                  Sungho Park and
                  Seung Ho Hwang},
  title        = {A 32nm high-k metal gate application processor with GHz multi-core
                  {CPU}},
  booktitle    = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2012, San Francisco, CA, USA, February 19-23, 2012},
  pages        = {214--216},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSCC.2012.6176980},
  doi          = {10.1109/ISSCC.2012.6176980},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/YangLLCLCHCSYKCPPSKYCPH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/LeeKL05,
  author       = {Jeong{-}Gun Lee and
                  Euiseok Kim and
                  Dong{-}Ik Lee},
  title        = {Instruction level redundant number computations for fast data intensive
                  processing in asynchronous processors},
  journal      = {J. Syst. Archit.},
  volume       = {51},
  number       = {3},
  pages        = {151--164},
  year         = {2005},
  url          = {https://doi.org/10.1016/j.sysarc.2004.09.005},
  doi          = {10.1016/J.SYSARC.2004.09.005},
  timestamp    = {Tue, 19 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/LeeKL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aPcsac/LeeKLP04,
  author       = {Jeong{-}Gun Lee and
                  Euiseok Kim and
                  Jeong{-}A Lee and
                  Eunok Paek},
  editor       = {Pen{-}Chung Yew and
                  Jingling Xue},
  title        = {Efficient Buffer Allocation for Asynchronous Linear Pipelines by Design
                  Space Localization},
  booktitle    = {Advances in Computer Systems Architecture, 9th Asia-Pacific Conference,
                  {ACSAC} 2004, Beijing, China, September 7-9, 2004, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3189},
  pages        = {582--595},
  publisher    = {Springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/978-3-540-30102-8\_48},
  doi          = {10.1007/978-3-540-30102-8\_48},
  timestamp    = {Tue, 14 May 2019 10:00:42 +0200},
  biburl       = {https://dblp.org/rec/conf/aPcsac/LeeKLP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceta/SretasereekulSK03,
  author       = {Nattha Sretasereekul and
                  Hiroshi Saito and
                  Euiseok Kim and
                  Metehan {\"{O}}zcan and
                  Masashi Imai and
                  Hiroshi Nakamura and
                  Takashi Nanya},
  title        = {Synthesis of Serial Local Clock Controllers for Asynchronous Circuit
                  Design},
  journal      = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.},
  volume       = {86-A},
  number       = {12},
  pages        = {3028--3037},
  year         = {2003},
  url          = {http://search.ieice.org/bin/summary.php?id=e86-a\_12\_3028},
  timestamp    = {Tue, 08 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieiceta/SretasereekulSK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimLSNLN03,
  author       = {Euiseok Kim and
                  Dong{-}Ik Lee and
                  Hiroshi Saito and
                  Hiroshi Nakamura and
                  Jeong{-}Gun Lee and
                  Takashi Nanya},
  editor       = {Hiroto Yasuura},
  title        = {Performance optimization of synchronous control units for datapaths
                  with variable delay arithmetic units},
  booktitle    = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003},
  pages        = {816--819},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/1119772.1119952},
  doi          = {10.1145/1119772.1119952},
  timestamp    = {Thu, 11 Mar 2021 17:04:51 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimLSNLN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/async/SaitoKSINN03,
  author       = {Hiroshi Saito and
                  Euiseok Kim and
                  Nattha Sretasereekul and
                  Masashi Imai and
                  Hiroshi Nakamura and
                  Takashi Nanya},
  title        = {Control Signal Sharing Using Data-Path Delay Information at Control
                  Data Flow Graph Descriptions},
  booktitle    = {9th International Symposium on Advanced Research in Asynchronous Circuits
                  and Systems {(ASYNC} 2003), 12-16 May 2003, Vancouver, BC, Canada},
  pages        = {184--195},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ASYNC.2003.1199178},
  doi          = {10.1109/ASYNC.2003.1199178},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/async/SaitoKSINN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KimSLLNN03,
  author       = {Euiseok Kim and
                  Hiroshi Saito and
                  Jeong{-}Gun Lee and
                  Dong{-}Ik Lee and
                  Hiroshi Nakamura and
                  Takashi Nanya},
  title        = {Distributed Synchronous Control Units for Dataflow Graphs under Allocation
                  of Telescopic Arithmetic Units},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10276--10281},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10141},
  doi          = {10.1109/DATE.2003.10141},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/KimSLLNN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SretasereekulSIKOTNN03,
  author       = {Nattha Sretasereekul and
                  Hiroshi Saito and
                  Masashi Imai and
                  Euiseok Kim and
                  Metehan {\"{O}}zcan and
                  K. Thongnoo and
                  Hiroshi Nakamura and
                  Takashi Nanya},
  title        = {A zero-time-overhead asynchronous four-phase controller},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206232},
  doi          = {10.1109/ISCAS.2003.1206232},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SretasereekulSIKOTNN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaitoKISNN03,
  author       = {Hiroshi Saito and
                  Euiseok Kim and
                  Masashi Imai and
                  Nattha Sretasereekul and
                  Hiroshi Nakamura and
                  Takashi Nanya},
  title        = {Control signal sharing of asynchronous circuits using datapath delay
                  information},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {617--620},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206388},
  doi          = {10.1109/ISCAS.2003.1206388},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaitoKISNN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arvlsi/KimLL01,
  author       = {Euiseok Kim and
                  Jeong{-}Gun Lee and
                  Dong{-}Ik Lee},
  title        = {Building a Distributed Asynchronous Control Unit through Automatic
                  Derivation of Hierarchically Decomposed AFSMs from a {CDFG}},
  booktitle    = {19th Conference on Advanced Research in {VLSI} {(ARVLSI} 2001), 14-16
                  March 2001, Salt Lake City, UT, {USA}},
  pages        = {2--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ARVLSI.2001.915546},
  doi          = {10.1109/ARVLSI.2001.915546},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arvlsi/KimLL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeKL01,
  author       = {Jeong{-}Gun Lee and
                  Euiseok Kim and
                  Dong{-}Ik Lee},
  editor       = {Satoshi Goto},
  title        = {Imprecise data computation for high performance asynchronous processors},
  booktitle    = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation
                  Conference 2001, January 30-February 2, 2001, Yokohama, Japan},
  pages        = {261--266},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/370155.370342},
  doi          = {10.1145/370155.370342},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeKL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimL01,
  author       = {Euiseok Kim and
                  Dong{-}Ik Lee},
  title        = {A new resource constrained asynchronous scheduling method through
                  transformation of dataflow graphs},
  booktitle    = {Proceedings of the 2001 International Symposium on Circuits and Systems,
                  {ISCAS} 2001, Sydney, Australia, May 6-9, 2001},
  pages        = {41--44},
  publisher    = {{IEEE}},
  year         = {2001},
  url          = {https://doi.org/10.1109/ISCAS.2001.921979},
  doi          = {10.1109/ISCAS.2001.921979},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/async/KimLL00,
  author       = {Euiseok Kim and
                  Jeong{-}Gun Lee and
                  Dong{-}Ik Lee},
  title        = {Automatic Process-Oriented Control Circuit Generation for Asynchronous
                  High-Level Synthesis},
  booktitle    = {6th International Symposium on Advanced Research in Asynchronous Circuits
                  and Systems {(ASYNC} 2000), 2-6 April 2000, Eilat, Israel},
  pages        = {104--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ASYNC.2000.836976},
  doi          = {10.1109/ASYNC.2000.836976},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/async/KimLL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimLL00,
  author       = {Euiseok Kim and
                  Jeong{-}Gun Lee and
                  Dong{-}Ik Lee},
  title        = {Automatic distributed asynchronous control circuit generation from
                  data flow graph for asynchronous high-level synthesis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000,
                  Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31
                  May 2000, Proceedings},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2000},
  url          = {https://doi.org/10.1109/ISCAS.2000.856255},
  doi          = {10.1109/ISCAS.2000.856255},
  timestamp    = {Fri, 13 Aug 2021 09:26:01 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimLL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics