Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Stefanos Kaxiras
@article{DBLP:journals/jpdc/FeliuRAK23, author = {Josu{\'{e}} Feliu and Alberto Ros and Manuel E. Acacio and Stefanos Kaxiras}, title = {Speculative inter-thread store-to-load forwarding in {SMT} architectures}, journal = {J. Parallel Distributed Comput.}, volume = {173}, pages = {94--106}, year = {2023}, url = {https://doi.org/10.1016/j.jpdc.2022.11.007}, doi = {10.1016/J.JPDC.2022.11.007}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/FeliuRAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SakalisKS23, author = {Christos Sakalis and Stefanos Kaxiras and Magnus Sj{\"{a}}lander}, title = {Delay-on-Squash: Stopping Microarchitectural Replay Attacks in Their Tracks}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {20}, number = {1}, pages = {9:1--9:24}, year = {2023}, url = {https://doi.org/10.1145/3563695}, doi = {10.1145/3563695}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/SakalisKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenAK23, author = {Xiaoyue Chen and Pavlos Aimoniotis and Stefanos Kaxiras}, title = {How addresses are made}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {223--225}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00031}, doi = {10.1109/IISWC59245.2023.00031}, timestamp = {Sat, 11 Nov 2023 13:55:39 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KvalsvikAKS23, author = {Amund Bergland Kvalsvik and Pavlos Aimoniotis and Stefanos Kaxiras and Magnus Sj{\"{a}}lander}, editor = {Yan Solihin and Mark A. Heinrich}, title = {Doppelganger Loads: {A} Safe, Complexity-Effective Optimization for Secure Speculation Schemes}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {53:1--53:13}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589088}, doi = {10.1145/3579371.3589088}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/KvalsvikAKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/AimoniotisKCSK23, author = {Pavlos Aimoniotis and Amund Bergland Kvalsvik and Xiaoyue Chen and Magnus Sj{\"{a}}lander and Stefanos Kaxiras}, title = {ReCon: Efficient Detection, Management, and Use of Non-Speculative Information Leakage}, booktitle = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October 2023 - 1 November 2023}, pages = {828--842}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3613424.3623770}, doi = {10.1145/3613424.3623770}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/AimoniotisKCSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-10618, author = {Xiaoyue Chen and Pavlos Aimoniotis and Stefanos Kaxiras}, title = {Clueless: {A} Tool Characterising Values Leaking as Addresses}, journal = {CoRR}, volume = {abs/2301.10618}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.10618}, doi = {10.48550/ARXIV.2301.10618}, eprinttype = {arXiv}, eprint = {2301.10618}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-10618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ShimchenkoGPAKR22, author = {Marina Shimchenko and J. Rub{\'{e}}n Titos Gil and Ricardo Fern{\'{a}}ndez Pascual and Manuel E. Acacio and Stefanos Kaxiras and Alberto Ros and Alexandra Jimborean}, title = {Analysing software prefetching opportunities in hardware transactional memory}, journal = {J. Supercomput.}, volume = {78}, number = {1}, pages = {919--944}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-03897-z}, doi = {10.1007/S11227-021-03897-Z}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/ShimchenkoGPAKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hasp/ChenAK22, author = {Xiaoyue Chen and Pavlos Aimoniotis and Stefanos Kaxiras}, title = {Clueless: {A} Tool Characterising Values Leaking as Addresses}, booktitle = {Proceedings of the 11th International Workshop on Hardware and Architectural Support for Security and Privacy, {HASP} 2022, Chicago, IL, USA, 1 October 2022}, pages = {27--34}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3569562.3569566}, doi = {10.1145/3569562.3569566}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hasp/ChenAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/Gomez-Hernandez22, author = {Eduardo Jos{\'{e}} G{\'{o}}mez{-}Hern{\'{a}}ndez and Juan M. Cebrian and Stefanos Kaxiras and Alberto Ros}, title = {Splash-4: {A} Modern Benchmark Suite with Lock-Free Constructs}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {51--64}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00015}, doi = {10.1109/IISWC55918.2022.00015}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/Gomez-Hernandez22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AsgharzadehCPKR22, author = {Ashkan Asgharzadeh and Juan M. Cebrian and Arthur Perais and Stefanos Kaxiras and Alberto Ros}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {Free atomics: hardware atomic operations without fences}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {14--26}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527385}, doi = {10.1145/3470496.3527385}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/AsgharzadehCPKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seed/AimoniotisKSK22, author = {Pavlos Aimoniotis and Amund Bergland Kvalsvik and Magnus Sj{\"{a}}lander and Stefanos Kaxiras}, title = {Data-Out Instruction-In (DOIN!): Leveraging Inclusive Caches to Attack Speculative Delay Schemes}, booktitle = {2022 {IEEE} International Symposium on Secure and Private Execution Environment Design (SEED), Storrs, CT, USA, September 26-27, 2022}, pages = {49--60}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SEED55351.2022.00012}, doi = {10.1109/SEED55351.2022.00012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seed/AimoniotisKSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/AimoniotisSSK21, author = {Pavlos Aimoniotis and Christos Sakalis and Magnus Sj{\"{a}}lander and Stefanos Kaxiras}, title = {Reorder Buffer Contention: {A} Forward Speculative Interference Attack for Speculation Invariant Instructions}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {2}, pages = {162--165}, year = {2021}, url = {https://doi.org/10.1109/LCA.2021.3123408}, doi = {10.1109/LCA.2021.3123408}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/AimoniotisSSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/AlvesKB21, author = {Ricardo Alves and Stefanos Kaxiras and David Black{-}Schaffer}, title = {Early Address Prediction: Efficient Pipeline Prefetch and Reuse}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {18}, number = {3}, pages = {39:1--39:22}, year = {2021}, url = {https://doi.org/10.1145/3458883}, doi = {10.1145/3458883}, timestamp = {Thu, 15 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/AlvesKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/EkemarkYRSK21, author = {Per Ekemark and Yuan Yao and Alberto Ros and Konstantinos Sagonas and Stefanos Kaxiras}, title = {{TSOPER:} Efficient Coherence-Based Strict Persistency}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {125--138}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCA51647.2021.00021}, doi = {10.1109/HPCA51647.2021.00021}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/EkemarkYRSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/Gomez-Hernandez21, author = {Eduardo Jos{\'{e}} G{\'{o}}mez{-}Hern{\'{a}}ndez and Ruixiang Shao and Christos Sakalis and Stefanos Kaxiras and Alberto Ros}, title = {Splash-4: Improving Scalability with Lock-Free Constructs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {235--236}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00044}, doi = {10.1109/ISPASS51385.2021.00044}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/Gomez-Hernandez21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/Gomez-Hernandez21, author = {Eduardo Jos{\'{e}} G{\'{o}}mez{-}Hern{\'{a}}ndez and Juan M. Cebrian and J. Rub{\'{e}}n Titos Gil and Stefanos Kaxiras and Alberto Ros}, title = {Efficient, Distributed, and Non-Speculative Multi-Address Atomic Operations}, booktitle = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021}, pages = {337--349}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3466752.3480073}, doi = {10.1145/3466752.3480073}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/Gomez-Hernandez21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FeliuRAK21, author = {Josu{\'{e}} Feliu and Alberto Ros and Manuel E. Acacio and Stefanos Kaxiras}, title = {{ITSLF:} Inter-Thread Store-to-Load Forwardingin Simultaneous Multithreading}, booktitle = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021}, pages = {1296--1308}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3466752.3480086}, doi = {10.1145/3466752.3480086}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/FeliuRAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seed/SakalisCWARSKK21, author = {Christos Sakalis and Zamshed I. Chowdhury and Shayne Wadle and Ismail Akturk and Alberto Ros and Magnus Sj{\"{a}}lander and Stefanos Kaxiras and Ulya R. Karpuzcu}, title = {Do Not Predict - Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation}, booktitle = {2021 International Symposium on Secure and Private Execution Environment Design (SEED), Washington, DC, USA, September 20-21, 2021}, pages = {89--100}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SEED51797.2021.00021}, doi = {10.1109/SEED51797.2021.00021}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seed/SakalisCWARSKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seed/SakalisSK21, author = {Christos Sakalis and Magnus Sj{\"{a}}lander and Stefanos Kaxiras}, title = {Seeds of {SEED:} Preventing Priority Inversion in Instruction Scheduling to Disrupt Speculative Interference}, booktitle = {2021 International Symposium on Secure and Private Execution Environment Design (SEED), Washington, DC, USA, September 20-21, 2021}, pages = {101--107}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SEED51797.2021.00022}, doi = {10.1109/SEED51797.2021.00022}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seed/SakalisSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-10932, author = {Christos Sakalis and Zamshed I. Chowdhury and Shayne Wadle and Ismail Akturk and Alberto Ros and Magnus Sj{\"{a}}lander and Stefanos Kaxiras and Ulya R. Karpuzcu}, title = {On Value Recomputation to Accelerate Invisible Speculation}, journal = {CoRR}, volume = {abs/2102.10932}, year = {2021}, url = {https://arxiv.org/abs/2102.10932}, eprinttype = {arXiv}, eprint = {2102.10932}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-10932.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-10692, author = {Christos Sakalis and Stefanos Kaxiras and Magnus Sj{\"{a}}lander}, title = {Selectively Delaying Instructions to Prevent Microarchitectural Replay Attacks}, journal = {CoRR}, volume = {abs/2103.10692}, year = {2021}, url = {https://arxiv.org/abs/2103.10692}, eprinttype = {arXiv}, eprint = {2103.10692}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-10692.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-10774, author = {Pavlos Aimoniotis and Christos Sakalis and Magnus Sj{\"{a}}lander and Stefanos Kaxiras}, title = {"It's a Trap!"-How Speculation Invariance Can Be Abused with Forward Speculative Interference}, journal = {CoRR}, volume = {abs/2109.10774}, year = {2021}, url = {https://arxiv.org/abs/2109.10774}, eprinttype = {arXiv}, eprint = {2109.10774}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-10774.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/SakalisJKS20, author = {Christos Sakalis and Alexandra Jimborean and Stefanos Kaxiras and Magnus Sj{\"{a}}lander}, title = {Evaluating the Potential Applications of Quaternary Logic for Approximate Computing}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {16}, number = {1}, pages = {5:1--5:25}, year = {2020}, url = {https://doi.org/10.1145/3359620}, doi = {10.1145/3359620}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/SakalisJKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SakalisKRJS20, author = {Christos Sakalis and Stefanos Kaxiras and Alberto Ros and Alexandra Jimborean and Magnus Sj{\"{a}}lander}, title = {Understanding Selective Delay as a Method for Efficient Secure Speculative Execution}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {11}, pages = {1584--1595}, year = {2020}, url = {https://doi.org/10.1109/TC.2020.3014456}, doi = {10.1109/TC.2020.3014456}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/SakalisKRJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/TranSSRKJ20, author = {Kim{-}Anh Tran and Christos Sakalis and Magnus Sj{\"{a}}lander and Alberto Ros and Stefanos Kaxiras and Alexandra Jimborean}, editor = {Vivek Sarkar and Hyesoon Kim}, title = {Clearing the Shadows: Recovering Lost Performance for Invisible Speculative Execution through {HW/SW} Co-Design}, booktitle = {{PACT} '20: International Conference on Parallel Architectures and Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020}, pages = {241--254}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410463.3414640}, doi = {10.1145/3410463.3414640}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/TranSSRKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/AlipourKB020, author = {Mehdi Alipour and Stefanos Kaxiras and David Black{-}Schaffer and Rakesh Kumar}, title = {Delay and Bypass: Ready and Criticality Aware Instruction Scheduling in Out-of-Order Processors}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {424--434}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00042}, doi = {10.1109/HPCA47549.2020.00042}, timestamp = {Wed, 29 Apr 2020 10:45:35 +0200}, biburl = {https://dblp.org/rec/conf/hpca/AlipourKB020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/RosK20, author = {Alberto Ros and Stefanos Kaxiras}, title = {Speculative Enforcement of Store Atomicity}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {555--567}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00053}, doi = {10.1109/MICRO50266.2020.00053}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/RosK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/CebrianKR20, author = {Juan M. Cebrian and Stefanos Kaxiras and Alberto Ros}, title = {Boosting Store Buffer Efficiency with Store-Prefetch Bursts}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {568--580}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00054}, doi = {10.1109/MICRO50266.2020.00054}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/CebrianKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/AlipourCBK19, author = {Mehdi Alipour and Trevor E. Carlson and David Black{-}Schaffer and Stefanos Kaxiras}, title = {Maximizing Limited Resources: a Limit-Based Study and Taxonomy of Out-of-Order Commit}, journal = {J. Signal Process. Syst.}, volume = {91}, number = {3-4}, pages = {379--397}, year = {2019}, url = {https://doi.org/10.1007/s11265-018-1369-4}, doi = {10.1007/S11265-018-1369-4}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/AlipourCBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/SakalisARJKS19, author = {Christos Sakalis and Mehdi Alipour and Alberto Ros and Alexandra Jimborean and Stefanos Kaxiras and Magnus Sj{\"{a}}lander}, editor = {Francesca Palumbo and Michela Becchi and Martin Schulz and Kento Sato}, title = {Ghost loads: what is the cost of invisible speculation?}, booktitle = {Proceedings of the 16th {ACM} International Conference on Computing Frontiers, {CF} 2019, Alghero, Italy, April 30 - May 2, 2019}, pages = {153--163}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3310273.3321558}, doi = {10.1145/3310273.3321558}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/SakalisARJKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Alipour0KB19, author = {Mehdi Alipour and Rakesh Kumar and Stefanos Kaxiras and David Black{-}Schaffer}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {FIFOrder MicroArchitecture: Ready-Aware Instruction Scheduling for OoO Processors}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {716--721}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715034}, doi = {10.23919/DATE.2019.8715034}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/Alipour0KB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AlvesRBK19, author = {Ricardo Alves and Alberto Ros and David Black{-}Schaffer and Stefanos Kaxiras}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {Filter caching for free: the untapped potential of the store-buffer}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {436--448}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322269}, doi = {10.1145/3307650.3322269}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/AlvesRBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SakalisKRJS19, author = {Christos Sakalis and Stefanos Kaxiras and Alberto Ros and Alexandra Jimborean and Magnus Sj{\"{a}}lander}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {Efficient invisible speculative execution through selective delay and value prediction}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {723--735}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322216}, doi = {10.1145/3307650.3322216}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SakalisKRJS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lmcs/AbdullaAKLRZ18, author = {Parosh Aziz Abdulla and Mohamed Faouzi Atig and Stefanos Kaxiras and Carl Leonardsson and Alberto Ros and Yunyun Zhu}, title = {Mending Fences with Self-Invalidation and Self-Downgrade}, journal = {Log. Methods Comput. Sci.}, volume = {14}, number = {1}, year = {2018}, url = {https://doi.org/10.23638/LMCS-14(1:6)2018}, doi = {10.23638/LMCS-14(1:6)2018}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lmcs/AbdullaAKLRZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KaxirasCAR18, author = {Stefanos Kaxiras and Trevor E. Carlson and Mehdi Alipour and Alberto Ros}, title = {Non-Speculative Load Reordering in Total Store Ordering}, journal = {{IEEE} Micro}, volume = {38}, number = {3}, pages = {48--57}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.032271061}, doi = {10.1109/MM.2018.032271061}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KaxirasCAR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/TranCKSSKJ18, author = {Kim{-}Anh Tran and Trevor E. Carlson and Konstantinos Koukos and Magnus Sj{\"{a}}lander and Vasileios Spiliopoulos and Stefanos Kaxiras and Alexandra Jimborean}, title = {Static Instruction Scheduling for High Performance on Limited Hardware}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {4}, pages = {513--527}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2769641}, doi = {10.1109/TC.2017.2769641}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/TranCKSSKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/JimboreanEWKR18, author = {Alexandra Jimborean and Per Ekemark and Jonatan Waern and Stefanos Kaxiras and Alberto Ros}, title = {Automatic Detection of Large Extended Data-Race-Free Regions with Conflict Isolation}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {3}, pages = {527--541}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2017.2771509}, doi = {10.1109/TPDS.2017.2771509}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/JimboreanEWKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AlvesKB18, author = {Ricardo Alves and Stefanos Kaxiras and David Black{-}Schaffer}, title = {Dynamically Disabling Way-prediction to Reduce Instruction Replay}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {140--143}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00029}, doi = {10.1109/ICCD.2018.00029}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AlvesKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/RosK18, author = {Alberto Ros and Stefanos Kaxiras}, editor = {Murali Annavaram and Timothy Mark Pinkston and Babak Falsafi}, title = {Non-Speculative Store Coalescing in Total Store Order}, booktitle = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018}, pages = {221--234}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISCA.2018.00028}, doi = {10.1109/ISCA.2018.00028}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/RosK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/RosK18, author = {Alberto Ros and Stefanos Kaxiras}, title = {The Superfluous Load Queue}, booktitle = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018}, pages = {95--107}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MICRO.2018.00017}, doi = {10.1109/MICRO.2018.00017}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/RosK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/TranJCKSK18, author = {Kim{-}Anh Tran and Alexandra Jimborean and Trevor E. Carlson and Konstantinos Koukos and Magnus Sj{\"{a}}lander and Stefanos Kaxiras}, editor = {Jeffrey S. Foster and Dan Grossman}, title = {{SWOOP:} software-hardware co-design for non-speculative, execute-ahead, in-order cores}, booktitle = {Proceedings of the 39th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2018, Philadelphia, PA, USA, June 18-22, 2018}, pages = {328--343}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3192366.3192393}, doi = {10.1145/3192366.3192393}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pldi/TranJCKSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/CarlsonTJKSK17, author = {Trevor E. Carlson and Kim{-}Anh Tran and Alexandra Jimborean and Konstantinos Koukos and Magnus Sj{\"{a}}lander and Stefanos Kaxiras}, title = {Transcending Hardware Limits with Software Out-of-Order Processing}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {16}, number = {2}, pages = {162--165}, year = {2017}, url = {https://doi.org/10.1109/LCA.2017.2672559}, doi = {10.1109/LCA.2017.2672559}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/CarlsonTJKSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/RosLSK17, author = {Alberto Ros and Carl Leonardsson and Christos Sakalis and Stefanos Kaxiras}, title = {Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {12}, pages = {3413--3425}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2017.2720744}, doi = {10.1109/TPDS.2017.2720744}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/RosLSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/AlipourCK17, author = {Mehdi Alipour and Trevor E. Carlson and Stefanos Kaxiras}, title = {Exploring the Performance Limits of Out-of-order Commit}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'17, Siena, Italy, May 15-17, 2017}, pages = {211--220}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3075564.3075581}, doi = {10.1145/3075564.3075581}, timestamp = {Tue, 06 Nov 2018 11:07:32 +0100}, biburl = {https://dblp.org/rec/conf/cf/AlipourCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/JimboreanWEKR17, author = {Alexandra Jimborean and Jonatan Waern and Per Ekemark and Stefanos Kaxiras and Alberto Ros}, editor = {Vijay Janapa Reddi and Aaron Smith and Lingjia Tang}, title = {Automatic detection of extended data-race-free regions}, booktitle = {Proceedings of the 2017 International Symposium on Code Generation and Optimization, {CGO} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {14--26}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3049835}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/JimboreanWEKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/TranCKSSKJ17, author = {Kim{-}Anh Tran and Trevor E. Carlson and Konstantinos Koukos and Magnus Sj{\"{a}}lander and Vasileios Spiliopoulos and Stefanos Kaxiras and Alexandra Jimborean}, editor = {Vijay Janapa Reddi and Aaron Smith and Lingjia Tang}, title = {Clairvoyance: look-ahead compile-time scheduling}, booktitle = {Proceedings of the 2017 International Symposium on Code Generation and Optimization, {CGO} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {171--184}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3049852}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/TranCKSSKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/RosCAK17, author = {Alberto Ros and Trevor E. Carlson and Mehdi Alipour and Stefanos Kaxiras}, title = {Non-Speculative Load-Load Reordering in {TSO}}, booktitle = {Proceedings of the 44th Annual International Symposium on Computer Architecture, {ISCA} 2017, Toronto, ON, Canada, June 24-28, 2017}, pages = {187--200}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079856.3080220}, doi = {10.1145/3079856.3080220}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/RosCAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AlipourCK17, author = {Mehdi Alipour and Trevor E. Carlson and Stefanos Kaxiras}, title = {A taxonomy of out-of-order instruction commit}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {135--136}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975283}, doi = {10.1109/ISPASS.2017.7975283}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AlipourCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/AlvesNKB17, author = {Ricardo Alves and Nikos Nikoleris and Stefanos Kaxiras and David Black{-}Schaffer}, title = {Addressing Energy Challenges in Filter Caches}, booktitle = {29th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2017, Campinas, Brazil, October 17-20, 2017}, pages = {49--56}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SBAC-PAD.2017.14}, doi = {10.1109/SBAC-PAD.2017.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/AlvesNKB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WeberTKJ17, author = {Anton Weber and Kim{-}Anh Tran and Stefanos Kaxiras and Alexandra Jimborean}, title = {Decoupled Access-Execute on {ARM} big.LITTLE}, journal = {CoRR}, volume = {abs/1701.05478}, year = {2017}, url = {http://arxiv.org/abs/1701.05478}, eprinttype = {arXiv}, eprint = {1701.05478}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WeberTKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/KoukosRHK16, author = {Konstantinos Koukos and Alberto Ros and Erik Hagersten and Stefanos Kaxiras}, title = {Building Heterogeneous Unified Virtual Memories (UVMs) without the Overhead}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {13}, number = {1}, pages = {1:1--1:22}, year = {2016}, url = {https://doi.org/10.1145/2889488}, doi = {10.1145/2889488}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/KoukosRHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/RosLSK16, author = {Alberto Ros and Carl Leonardsson and Christos Sakalis and Stefanos Kaxiras}, editor = {Ayal Zaks and Bilha Mendelson and Lawrence Rauchwerger and Wen{-}mei W. Hwu}, title = {{POSTER:} Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics}, booktitle = {Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, {PACT} 2016, Haifa, Israel, September 11-15, 2016}, pages = {433--434}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2967938.2974050}, doi = {10.1145/2967938.2974050}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/RosLSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/KoukosEZSKJ16, author = {Konstantinos Koukos and Per Ekemark and Georgios Zacharopoulos and Vasileios Spiliopoulos and Stefanos Kaxiras and Alexandra Jimborean}, editor = {Ayal Zaks and Manuel V. Hermenegildo}, title = {Multiversioned decoupled access-execute: the key to energy-efficient compilation of general-purpose programs}, booktitle = {Proceedings of the 25th International Conference on Compiler Construction, {CC} 2016, Barcelona, Spain, March 12-18, 2016}, pages = {121--131}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2892208.2892209}, doi = {10.1145/2892208.2892209}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cc/KoukosEZSKJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cd/SjalanderBKRK16, author = {Magnus Sj{\"{a}}lander and Gustaf Borgstr{\"{o}}m and Mykhailo V. Klymenko and Fran{\c{c}}oise Remacle and Stefanos Kaxiras}, editor = {Gianluca Palermo and John Feo}, title = {Techniques for modulating error resilience in emerging multi-value technologies}, booktitle = {Proceedings of the {ACM} International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}, pages = {55--63}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2903150.2903154}, doi = {10.1145/2903150.2903154}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cd/SjalanderBKRK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/forte/AbdullaAKLRZ16, author = {Parosh Aziz Abdulla and Mohamed Faouzi Atig and Stefanos Kaxiras and Carl Leonardsson and Alberto Ros and Yunyun Zhu}, editor = {Elvira Albert and Ivan Lanese}, title = {Fencing Programs with Self-Invalidation and Self-Downgrade}, booktitle = {Formal Techniques for Distributed Objects, Components, and Systems - 36th {IFIP} {WG} 6.1 International Conference, {FORTE} 2016, Held as Part of the 11th International Federated Conference on Distributed Computing Techniques, DisCoTec 2016, Heraklion, Crete, Greece, June 6-9, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9688}, pages = {19--35}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39570-8\_2}, doi = {10.1007/978-3-319-39570-8\_2}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/forte/AbdullaAKLRZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/SakalisLKR16, author = {Christos Sakalis and Carl Leonardsson and Stefanos Kaxiras and Alberto Ros}, title = {Splash-3: {A} properly synchronized benchmark suite for contemporary research}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {101--111}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482078}, doi = {10.1109/ISPASS.2016.7482078}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/SakalisLKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/RosK16, author = {Alberto Ros and Stefanos Kaxiras}, title = {Racer: {TSO} consistency via race detection}, booktitle = {49th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2016, Taipei, Taiwan, October 15-19, 2016}, pages = {33:1--33:13}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MICRO.2016.7783736}, doi = {10.1109/MICRO.2016.7783736}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/RosK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WaernEKKJ16, author = {Jonatan Waern and Per Ekemark and Konstantinos Koukos and Stefanos Kaxiras and Alexandra Jimborean}, title = {Profiling-Assisted Decoupled Access-Execute}, journal = {CoRR}, volume = {abs/1601.01722}, year = {2016}, url = {http://arxiv.org/abs/1601.01722}, eprinttype = {arXiv}, eprint = {1601.01722}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WaernEKKJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AbdullaAKLRZ16, author = {Parosh Aziz Abdulla and Mohamed Faouzi Atig and Stefanos Kaxiras and Carl Leonardsson and Alberto Ros and Yunyun Zhu}, title = {Mending Fences with Self-Invalidation and Self-Downgrade}, journal = {CoRR}, volume = {abs/1611.07372}, year = {2016}, url = {http://arxiv.org/abs/1611.07372}, eprinttype = {arXiv}, eprint = {1611.07372}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/AbdullaAKLRZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/DavariRHK15, author = {Mahdad Davari and Alberto Ros and Erik Hagersten and Stefanos Kaxiras}, title = {The Effects of Granularity and Adaptivity on Private/Shared Classification for Coherence}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {12}, number = {3}, pages = {26:1--26:21}, year = {2015}, url = {https://doi.org/10.1145/2790301}, doi = {10.1145/2790301}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/DavariRHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/DavariRHK15, author = {Mahdad Davari and Alberto Ros and Erik Hagersten and Stefanos Kaxiras}, title = {An Efficient, Self-Contained, On-chip Directory: {DIR1-SISD}}, booktitle = {2015 International Conference on Parallel Architectures and Compilation, {PACT} 2015, San Francisco, CA, USA, October 18-21, 2015}, pages = {317--330}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PACT.2015.23}, doi = {10.1109/PACT.2015.23}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/DavariRHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/RosK15, author = {Alberto Ros and Stefanos Kaxiras}, editor = {Giovanni Agosta and Cristina Silvano and Jo{\~{a}}o M. P. Cardoso and Michael H{\"{u}}bner}, title = {Fast{\&}Furious: {A} Tool for Detecting Covert Racing}, booktitle = {Proceedings of the 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 4th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, {PARMA-DITAM} 2015, Amsterdam, Netherlands, January 21, 2015}, pages = {1--6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2701310.2701315}, doi = {10.1145/2701310.2701315}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/RosK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/RosDK15, author = {Alberto Ros and Mahdad Davari and Stefanos Kaxiras}, title = {Hierarchical private/shared classification: The key to simple and efficient coherence for clustered cache hierarchies}, booktitle = {21st {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2015, Burlingame, CA, USA, February 7-11, 2015}, pages = {186--197}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/HPCA.2015.7056032}, doi = {10.1109/HPCA.2015.7056032}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/RosDK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/KaxirasKNRS15, author = {Stefanos Kaxiras and David Klaftenegger and Magnus Norgren and Alberto Ros and Konstantinos Sagonas}, editor = {Thilo Kielmann and Dean Hildebrand and Michela Taufer}, title = {Turning Centralized Coherence and Distributed Critical-Section Execution on their Head: {A} New Approach for Scalable Distributed Shared Memory}, booktitle = {Proceedings of the 24th International Symposium on High-Performance Parallel and Distributed Computing, {HPDC} 2015, Portland, OR, USA, June 15-19, 2015}, pages = {3--14}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749246.2749250}, doi = {10.1145/2749246.2749250}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/KaxirasKNRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SandbergNCHKB15, author = {Andreas Sandberg and Nikos Nikoleris and Trevor E. Carlson and Erik Hagersten and Stefanos Kaxiras and David Black{-}Schaffer}, title = {Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {183--192}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.29}, doi = {10.1109/IISWC.2015.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SandbergNCHKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CarlsonHAKE15, author = {Trevor E. Carlson and Wim Heirman and Osman Allam and Stefanos Kaxiras and Lieven Eeckhout}, editor = {Deborah T. Marr and David H. Albonesi}, title = {The load slice core microarchitecture}, booktitle = {Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015}, pages = {272--284}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749469.2750407}, doi = {10.1145/2749469.2750407}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/CarlsonHAKE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/RosK15, author = {Alberto Ros and Stefanos Kaxiras}, editor = {Deborah T. Marr and David H. Albonesi}, title = {Callback: efficient synchronization without invalidation with a directory just for spin-waiting}, booktitle = {Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015}, pages = {427--438}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749469.2750405}, doi = {10.1145/2749469.2750405}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/RosK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/synthesis/2014Sjalander, author = {Magnus Sj{\"{a}}lander and Margaret Martonosi and Stefanos Kaxiras}, title = {Power-Efficient Computer Architectures: Recent Advances}, series = {Synthesis Lectures on Computer Architecture}, publisher = {Morgan {\&} Claypool Publishers}, year = {2014}, url = {https://doi.org/10.2200/S00611ED1V01Y201411CAC030}, doi = {10.2200/S00611ED1V01Y201411CAC030}, isbn = {978-3-031-00617-3}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/synthesis/2014Sjalander.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/CebrianSAK14, author = {Juan M. Cebrian and Daniel S{\'{a}}nchez and Juan L. Arag{\'{o}}n and Stefanos Kaxiras}, title = {Managing power constraints in a single-core scenario through power tokens}, journal = {J. Supercomput.}, volume = {68}, number = {1}, pages = {414--442}, year = {2014}, url = {https://doi.org/10.1007/s11227-013-1044-2}, doi = {10.1007/S11227-013-1044-2}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/CebrianSAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/JimboreanKSBK14, author = {Alexandra Jimborean and Konstantinos Koukos and Vasileios Spiliopoulos and David Black{-}Schaffer and Stefanos Kaxiras}, editor = {David R. Kaeli and Tipp Moseley}, title = {Fix the code. Don't tweak the hardware: {A} new compiler approach to Voltage-Frequency scaling}, booktitle = {12th Annual {IEEE/ACM} International Symposium on Code Generation and Optimization, {CGO} 2014, Orlando, FL, USA, February 15-19, 2014}, pages = {262}, publisher = {{ACM}}, year = {2014}, url = {https://dl.acm.org/citation.cfm?id=2544161}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/JimboreanKSBK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/SjalanderNK14, author = {Magnus Sj{\"{a}}lander and Nina Shariati Nilsson and Stefanos Kaxiras}, editor = {Jacques{-}Olivier Klein and Csaba Andras Moritz and Sorin Cotofana}, title = {A tunable cache for approximate computing}, booktitle = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2014, Paris, France, July 8-10, 2014}, pages = {88--89}, publisher = {{IEEE} Computer Society/ACM}, year = {2014}, url = {https://doi.org/10.1109/NANOARCH.2014.6880480}, doi = {10.1109/NANOARCH.2014.6880480}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/SjalanderNK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/CebrianSAK13, author = {Juan M. Cebrian and Daniel S{\'{a}}nchez and Juan L. Arag{\'{o}}n and Stefanos Kaxiras}, title = {Efficient inter-core power and thermal balancing for multicore processors}, journal = {Computing}, volume = {95}, number = {7}, pages = {537--566}, year = {2013}, url = {https://doi.org/10.1007/s00607-012-0236-6}, doi = {10.1007/S00607-012-0236-6}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/CebrianSAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KoukosBSK13, author = {Konstantinos Koukos and David Black{-}Schaffer and Vasileios Spiliopoulos and Stefanos Kaxiras}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Towards more efficient execution: a decoupled access-execute approach}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {253--262}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2465012}, doi = {10.1145/2464996.2465012}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/KoukosBSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KaxirasR13, author = {Stefanos Kaxiras and Alberto Ros}, editor = {Avi Mendelson}, title = {A new perspective for efficient virtual-cache coherence}, booktitle = {The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013}, pages = {535--546}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2485922.2485968}, doi = {10.1145/2485922.2485968}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KaxirasR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/SpiliopoulosBHAK13, author = {Vasileios Spiliopoulos and Akash Bagdia and Andreas Hansson and Peter Aldworth and Stefanos Kaxiras}, title = {Introducing DVFS-Management in a Full-System Simulator}, booktitle = {2013 {IEEE} 21st International Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems, San Francisco, CA, USA, August 14-16, 2013}, pages = {535--545}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MASCOTS.2013.75}, doi = {10.1109/MASCOTS.2013.75}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/SpiliopoulosBHAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/RosK12, author = {Alberto Ros and Stefanos Kaxiras}, editor = {Pen{-}Chung Yew and Sangyeun Cho and Luiz DeRose and David J. Lilja}, title = {Complexity-effective multicore coherence}, booktitle = {International Conference on Parallel Architectures and Compilation Techniques, {PACT} '12, Minneapolis, MN, {USA} - September 19 - 23, 2012}, pages = {241--252}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2370816.2370853}, doi = {10.1145/2370816.2370853}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/RosK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/WongCKKPSGK12, author = {Stephan Wong and Luigi Carro and Stamatios Kavvadias and Georgios Keramidas and Francesco Papariello and Claudio Scordino and Roberto Giorgi and Stefanos Kaxiras}, editor = {Ahmed Jerraya and Luca P. Carloni and Vincent John Mooney III and Rodric M. Rabbah}, title = {Embedded reconfigurable architectures}, booktitle = {Proceedings of the 15th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2012, part of the Eighth Embedded Systems Week, ESWeek 2012, Tampere, Finland, October 7-12, 2012}, pages = {213--214}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380403.2380444}, doi = {10.1145/2380403.2380444}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/cases/WongCKKPSGK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/SpiliopoulosSK12, author = {Vasileios Spiliopoulos and Andreas Sembrant and Stefanos Kaxiras}, title = {Power-Sleuth: {A} Tool for Investigating Your Program's Power Behavior}, booktitle = {20th {IEEE} International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2012, Washington, DC, USA, August 7-9, 2012}, pages = {241--250}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MASCOTS.2012.36}, doi = {10.1109/MASCOTS.2012.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/SpiliopoulosSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/KeramidasDK12, author = {Georgios Keramidas and Chrysovalantis Datsios and Stefanos Kaxiras}, title = {A framework for efficient cache resizing}, booktitle = {2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} XII, Samos, Greece, July 16-19, 2012}, pages = {76--85}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SAMOS.2012.6404160}, doi = {10.1109/SAMOS.2012.6404160}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/KeramidasDK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KaxirasR12, author = {Stefanos Kaxiras and Alberto Ros}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Efficient, snoopless, System-on-Chip coherence}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {230--235}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398353}, doi = {10.1109/SOCC.2012.6398353}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KaxirasR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/CebrianAGK11, author = {Juan M. Cebrian and Juan L. Arag{\'{o}}n and Jos{\'{e}} M. Garc{\'{\i}}a and Stefanos Kaxiras}, title = {Leakage-efficient design of value predictors through state and non-state preserving techniques}, journal = {J. Supercomput.}, volume = {55}, number = {1}, pages = {28--50}, year = {2011}, url = {https://doi.org/10.1007/s11227-010-0396-0}, doi = {10.1007/S11227-010-0396-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/CebrianAGK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KeramidasSK11, author = {Georgios Keramidas and Nikolaos Strikos and Stefanos Kaxiras}, title = {Multicore Cache Simulations Using Heterogeneous Computing on General Purpose and Graphics Processors}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {270--273}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.38}, doi = {10.1109/DSD.2011.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/KeramidasSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/CebrianAK11, author = {Juan M. Cebrian and Juan L. Arag{\'{o}}n and Stefanos Kaxiras}, editor = {Emmanuel Jeannot and Raymond Namyst and Jean Roman}, title = {Token3D: Reducing Temperature in 3D Die-Stacked CMPs through Cycle-Level Power Control Mechanisms}, booktitle = {Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6852}, pages = {295--309}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23400-2\_28}, doi = {10.1007/978-3-642-23400-2\_28}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/CebrianAK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/SpiliopoulosKK11, author = {Vasileios Spiliopoulos and Stefanos Kaxiras and Georgios Keramidas}, title = {Green governors: {A} framework for Continuously Adaptive {DVFS}}, booktitle = {2011 International Green Computing Conference and Workshops, {IGCC} 2012, Orlando, FL, USA, July 25-28, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IGCC.2011.6008552}, doi = {10.1109/IGCC.2011.6008552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/SpiliopoulosKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SpiliopoulosKKE11, author = {Vasileios Spiliopoulos and Georgios Keramidas and Stefanos Kaxiras and Konstantinos Efstathiou}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {Poster: {DVFS} management in real-processors}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {373}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995956}, doi = {10.1145/1995896.1995956}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/SpiliopoulosKKE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CebrianAK11, author = {Juan M. Cebrian and Juan L. Arag{\'{o}}n and Stefanos Kaxiras}, title = {Power Token Balancing: Adapting CMPs to Power Constraints for Parallel Multithreaded Workloads}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings}, pages = {431--442}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.49}, doi = {10.1109/IPDPS.2011.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CebrianAK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KaxirasK10, author = {Stefanos Kaxiras and Georgios Keramidas}, title = {{SARC} Coherence: Scaling Directory Cache Coherence in Performance and Power}, journal = {{IEEE} Micro}, volume = {30}, number = {5}, pages = {54--65}, year = {2010}, url = {https://doi.org/10.1109/MM.2010.82}, doi = {10.1109/MM.2010.82}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KaxirasK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/PetoumenosPKGA10, author = {Pavlos Petoumenos and Georgia Psychou and Stefanos Kaxiras and Juan Manuel Cebrian Gonzalez and Juan L. Arag{\'{o}}n}, editor = {Christian M{\"{u}}ller{-}Schloer and Wolfgang Karl and Sami Yehia}, title = {MLP-Aware Instruction Queue Resizing: The Key to Power-Efficient Performance}, booktitle = {Architecture of Computing Systems - {ARCS} 2010, 23rd International Conference, Hannover, Germany, February 22-25, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5974}, pages = {113--125}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-11950-7\_11}, doi = {10.1007/978-3-642-11950-7\_11}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/arcs/PetoumenosPKGA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/KeramidasPK10, author = {Georgios Keramidas and Pavlos Petoumenos and Stefanos Kaxiras}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Where replacement algorithms fail: a thorough analysis}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {141--150}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787316}, doi = {10.1145/1787275.1787316}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/KeramidasPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/KeramidasSK10, author = {Georgios Keramidas and Vasileios Spiliopoulos and Stefanos Kaxiras}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Interval-based models for run-time {DVFS} orchestration in superscalar processors}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {287--296}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787338}, doi = {10.1145/1787275.1787338}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/KeramidasSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pci/AvourisKKSS10, author = {Nikolaos M. Avouris and Stefanos Kaxiras and Odysseas G. Koufopavlou and Kyriakos N. Sgarbas and Polyxeni Stathopoulou}, title = {Teaching Introduction to Computing Through a Project-Based Collaborative Learning Approach}, booktitle = {14th Panhellenic Conference on Informatics, {PCI} 2010, Tripoli, Greece, September 10-12, 2010}, pages = {237--241}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PCI.2010.13}, doi = {10.1109/PCI.2010.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pci/AvourisKKSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thipeac/KeramidasXK09, author = {Georgios Keramidas and Polychronis Xekalakis and Stefanos Kaxiras}, title = {Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches}, journal = {Trans. High Perform. Embed. Archit. Compil.}, volume = {2}, pages = {4--22}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00904-4\_2}, doi = {10.1007/978-3-642-00904-4\_2}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thipeac/KeramidasXK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BorodinJK09, author = {Demid Borodin and Ben H. H. Juurlink and Stefanos Kaxiras}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {Instruction Precomputation for Fault Detection}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {91--99}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.209}, doi = {10.1109/DSD.2009.209}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/BorodinJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CebrianAGPK09, author = {Juan M. Cebrian and Juan L. Arag{\'{o}}n and Jos{\'{e}} M. Garc{\'{\i}}a and Pavlos Petoumenos and Stefanos Kaxiras}, title = {Efficient microarchitecture policies for accurately adapting to power constraints}, booktitle = {23rd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2009, Rome, Italy, May 23-29, 2009}, pages = {1--12}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IPDPS.2009.5161022}, doi = {10.1109/IPDPS.2009.5161022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CebrianAGPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/PetoumenosKK09, author = {Pavlos Petoumenos and Georgios Keramidas and Stefanos Kaxiras}, editor = {Walid A. Najjar and Michael J. Schulte}, title = {Instruction-based reuse-distance prediction for effective cache management}, booktitle = {Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2009), Samos, Greece, July 20-23, 2009}, pages = {49--58}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSAMOS.2009.5289241}, doi = {10.1109/ICSAMOS.2009.5289241}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/PetoumenosKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/synthesis/2008Kaxiras, author = {Stefanos Kaxiras and Margaret Martonosi}, title = {Computer Architecture Techniques for Power-Efficiency}, series = {Synthesis Lectures on Computer Architecture}, publisher = {Morgan {\&} Claypool Publishers}, year = {2008}, url = {https://doi.org/10.2200/S00119ED1V01Y200805CAC004}, doi = {10.2200/S00119ED1V01Y200805CAC004}, isbn = {978-3-031-00593-0}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/synthesis/2008Kaxiras.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/KeramidasASK08, author = {Georgios Keramidas and Alexandros Antonopoulos and Dimitrios N. Serpanos and Stefanos Kaxiras}, title = {Non deterministic caches: a simple and effective defense against side channel attacks}, journal = {Des. Autom. Embed. Syst.}, volume = {12}, number = {3}, pages = {221--230}, year = {2008}, url = {https://doi.org/10.1007/s10617-008-9018-y}, doi = {10.1007/S10617-008-9018-Y}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/KeramidasASK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/PratasGBSK08, author = {Frederico Pratas and Georgi Gaydadjiev and Mladen Berekovic and Leonel Sousa and Stefanos Kaxiras}, editor = {Alex Ram{\'{\i}}rez and Gianfranco Bilardi and Michael Gschwind}, title = {Low power microarchitecture with instruction reuse}, booktitle = {Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008}, pages = {149--158}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366230.1366259}, doi = {10.1145/1366230.1366259}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/PratasGBSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/CebrianAGK07, author = {Juan M. Cebrian and Juan L. Arag{\'{o}}n and Jos{\'{e}} M. Garc{\'{\i}}a and Stefanos Kaxiras}, editor = {Utpal Banerjee and Jos{\'{e}} Moreira and Michel Dubois and Per Stenstr{\"{o}}m}, title = {Adaptive {VP} decay: making value predictors leakage-efficient designs for high performance processors}, booktitle = {Proceedings of the 4th Conference on Computing Frontiers, 2007, Ischia, Italy, May 7-9, 2007}, pages = {113--122}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1242531.1242550}, doi = {10.1145/1242531.1242550}, timestamp = {Mon, 06 Dec 2021 16:37:01 +0100}, biburl = {https://dblp.org/rec/conf/cf/CebrianAGK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/KeramidasPAKS07, author = {Georgios Keramidas and Pavlos Petoumenos and Alexandros Antonopoulos and Stefanos Kaxiras and Dimitrios N. Serpanos}, title = {Using value locality to reduce memory encryption overhead in embedded processors}, booktitle = {Proceedings of 12th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2007, September 25-28, 2007, Patras, Greece}, pages = {632--637}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/EFTA.2007.4416828}, doi = {10.1109/EFTA.2007.4416828}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/KeramidasPAKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/KeramidasXK07, author = {Georgios Keramidas and Polychronis Xekalakis and Stefanos Kaxiras}, editor = {Koen De Bosschere and David R. Kaeli and Per Stenstr{\"{o}}m and David B. Whalley and Theo Ungerer}, title = {Applying Decay to Reduce Dynamic Power in Set-Associative Caches}, booktitle = {High Performance Embedded Architectures and Compilers, Second International Conference, HiPEAC 2007, Ghent, Belgium, January 28-30, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4367}, pages = {38--53}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-69338-3\_4}, doi = {10.1007/978-3-540-69338-3\_4}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/KeramidasXK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KeramidasPK07, author = {Georgios Keramidas and Pavlos Petoumenos and Stefanos Kaxiras}, title = {Cache replacement based on reuse-distance prediction}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {245--250}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601909}, doi = {10.1109/ICCD.2007.4601909}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KeramidasPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/KeramidasAK06, author = {Georgios Keramidas and Konstantinos Aisopos and Stefanos Kaxiras}, editor = {Werner Grass and Bernhard Sick and Klaus Waldschmidt}, title = {Dynamic Dictionary-Based Data Compression for Level-1 Caches}, booktitle = {Architecture of Computing Systems - {ARCS} 2006, 19th International Conference, Frankfurt/Main, Germany, March 13-16, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3894}, pages = {114--129}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11682127\_9}, doi = {10.1007/11682127\_9}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/arcs/KeramidasAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/TeichKPF06, author = {J{\"{u}}rgen Teich and Stefanos Kaxiras and Toomas P. Plaks and Kriszti{\'{a}}n Flautner}, editor = {Wolfgang E. Nagel and Wolfgang V. Walter and Wolfgang Lehner}, title = {Topic 18: Embedded Parallel Systems}, booktitle = {Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4128}, pages = {1179}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11823285\_124}, doi = {10.1007/11823285\_124}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/TeichKPF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/PetoumenosKZKH06, author = {Pavlos Petoumenos and Georgios Keramidas and H{\aa}kan Zeffer and Stefanos Kaxiras and Erik Hagersten}, title = {Modeling Cache Sharing on Chip Multiprocessor Architectures}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {160--171}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302740}, doi = {10.1109/IISWC.2006.302740}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/PetoumenosKZKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/KeramidasPKAS06, author = {Georgios Keramidas and Pavlos Petoumenos and Stefanos Kaxiras and Alexandros Antonopoulos and Dimitrios N. Serpanos}, editor = {Stamatis Vassiliadis and Stephan Wong and Timo H{\"{a}}m{\"{a}}l{\"{a}}inen}, title = {Preventing Denial-of-Service Attacks in Shared {CMP} Caches}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation, 6th International Workshop, {SAMOS} 2006, Samos, Greece, July 17-20, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4017}, pages = {359--372}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11796435\_37}, doi = {10.1007/11796435\_37}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/KeramidasPKAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/KaxirasK05, author = {Stefanos Kaxiras and Georgios Keramidas}, title = {IPStash: a set-associative memory approach for efficient IP-lookup}, booktitle = {{INFOCOM} 2005. 24th Annual Joint Conference of the {IEEE} Computer and Communications Societies, 13-17 March 2005, Miami, FL, {USA}}, pages = {992--1001}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/INFCOM.2005.1498328}, doi = {10.1109/INFCOM.2005.1498328}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/KaxirasK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KaxirasXK05, author = {Stefanos Kaxiras and Polychronis Xekalakis and Georgios Keramidas}, editor = {Kaushik Roy and Vivek Tiwari}, title = {A simple mechanism to adapt leakage-control policies to temperature}, booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}, pages = {54--59}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1077603.1077617}, doi = {10.1145/1077603.1077617}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KaxirasXK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/JuangSMHCDK04, author = {Philo Juang and Kevin Skadron and Margaret Martonosi and Zhigang Hu and Douglas W. Clark and Phil Diodato and Stefanos Kaxiras}, title = {Implementing branch-predictor decay using quasi-static memory cells}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {1}, number = {2}, pages = {180--219}, year = {2004}, url = {https://doi.org/10.1145/1011528.1011531}, doi = {10.1145/1011528.1011531}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/JuangSMHCDK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KaxirasX04, author = {Stefanos Kaxiras and Polychronis Xekalakis}, editor = {Rajiv V. Joshi and Kiyoung Choi and Vivek Tiwari and Kaushik Roy}, title = {4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors}, booktitle = {Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004}, pages = {108--113}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1013235.1013268}, doi = {10.1145/1013235.1013268}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KaxirasX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HuMK03, author = {Zhigang Hu and Margaret Martonosi and Stefanos Kaxiras}, title = {{TCP:} Tag Correlating Prefetchers}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {317--326}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183549}, doi = {10.1109/HPCA.2003.1183549}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/HuMK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KaxirasK03, author = {Stefanos Kaxiras and Georgios Keramidas}, title = {IPStash: a Power-Efficient Memory Architecture for IP-lookup}, booktitle = {Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003}, pages = {361--372}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MICRO.2003.1253210}, doi = {10.1109/MICRO.2003.1253210}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/KaxirasK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/JuangDKSHMC02, author = {Philo Juang and Phil Diodato and Stefanos Kaxiras and Kevin Skadron and Zhigang Hu and Margaret Martonosi and Douglas W. Clark}, title = {Implementing Decay Techniques using 4T Quasi-Static Memory Cells}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {1}, year = {2002}, url = {https://doi.org/10.1109/L-CA.2002.5}, doi = {10.1109/L-CA.2002.5}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/JuangDKSHMC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/HuKM02, author = {Zhigang Hu and Stefanos Kaxiras and Margaret Martonosi}, title = {Let caches decay: reducing leakage energy via exploitation of cache generational behavior}, journal = {{ACM} Trans. Comput. Syst.}, volume = {20}, number = {2}, pages = {161--190}, year = {2002}, url = {https://doi.org/10.1145/507052.507055}, doi = {10.1145/507052.507055}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/HuKM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HuMK02, author = {Zhigang Hu and Margaret Martonosi and Stefanos Kaxiras}, editor = {Yale N. Patt and Dirk Grunwald and Kevin Skadron}, title = {Timekeeping in the Memory System: Predicting and Optimizing Memory Behavior}, booktitle = {29th International Symposium on Computer Architecture {(ISCA} 2002), 25-29 May 2002, Anchorage, AK, {USA}}, pages = {209--220}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISCA.2002.1003579}, doi = {10.1109/ISCA.2002.1003579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HuMK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HuJDKSMC02, author = {Zhigang Hu and Philo Juang and Phil Diodato and Stefanos Kaxiras and Kevin Skadron and Margaret Martonosi and Douglas W. Clark}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Managing leakage for transient data: decay and quasi-static 4T memory cells}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {52--55}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566423}, doi = {10.1145/566408.566423}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/HuJDKSMC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/KaxirasNBH01, author = {Stefanos Kaxiras and Girija J. Narlikar and Alan D. Berenbaum and Zhigang Hu}, editor = {Guang R. Gao and Trevor N. Mudge and Krishna V. Palem}, title = {Comparing power consumption of an {SMT} and a {CMP} {DSP} for mobile phone workloads}, booktitle = {Proceedings of the 2001 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2001, Atlanta, Georgia, USA, November 16-17, 2001}, pages = {211--220}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/502217.502254}, doi = {10.1145/502217.502254}, timestamp = {Tue, 06 Nov 2018 11:07:42 +0100}, biburl = {https://dblp.org/rec/conf/cases/KaxirasNBH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KaxirasHM01, author = {Stefanos Kaxiras and Zhigang Hu and Margaret Martonosi}, editor = {Per Stenstr{\"{o}}m}, title = {Cache decay: exploiting generational behavior to reduce cache leakage power}, booktitle = {Proceedings of the 28th Annual International Symposium on Computer Architecture, {ISCA} 2001, G{\"{o}}teborg, Sweden, June 30-July 4, 2001}, pages = {240--251}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/379240.379268}, doi = {10.1145/379240.379268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KaxirasHM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Kaxiras00, author = {Stefanos Kaxiras}, title = {Distributed vector architectures}, journal = {J. Syst. Archit.}, volume = {46}, number = {11}, pages = {973--990}, year = {2000}, url = {https://doi.org/10.1016/S1383-7621(00)00003-5}, doi = {10.1016/S1383-7621(00)00003-5}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/Kaxiras00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KaxirasY00, author = {Stefanos Kaxiras and Cliff Young}, title = {Coherence Communication Prediction in Shared-Memory Multiprocessors}, booktitle = {Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000}, pages = {156--167}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/HPCA.2000.824347}, doi = {10.1109/HPCA.2000.824347}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/KaxirasY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacs/KaxirasHNM00, author = {Stefanos Kaxiras and Zhigang Hu and Girija J. Narlikar and Rae McLellan}, editor = {Babak Falsafi and T. N. Vijaykumar}, title = {Cache-Line Decay: {A} Mechanism to Reduce Cache Leakage Power}, booktitle = {Power-Aware Computer Systems, First International Workshop, {PACS} 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2008}, pages = {82--96}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44572-2\_7}, doi = {10.1007/3-540-44572-2\_7}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/pacs/KaxirasHNM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KaxirasBG99, author = {Stefanos Kaxiras and Doug Burger and James R. Goodman}, title = {DataScalar: {A} memory-centric approach to computing}, journal = {J. Syst. Archit.}, volume = {45}, number = {12-13}, pages = {1001--1022}, year = {1999}, url = {https://doi.org/10.1016/S1383-7621(98)00048-4}, doi = {10.1016/S1383-7621(98)00048-4}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/KaxirasBG99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KaxirasG99, author = {Stefanos Kaxiras and James R. Goodman}, title = {Improving {CC-NUMA} Performance Using Instruction-Based Prediction}, booktitle = {Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999}, pages = {161--170}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/HPCA.1999.744359}, doi = {10.1109/HPCA.1999.744359}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/KaxirasG99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KaxirasGG98, author = {Stefanos Kaxiras and Stein Gjessing and James R. Goodman}, editor = {Greg K. Egan and Richard P. Brent and Dennis Gannon}, title = {A Study of Three Dynamic Approaches to Handle Widely Shared Data in Shared-memory Multiprocessors}, booktitle = {Proceedings of the 12th international conference on Supercomputing, {ICS} 1998, Melbourne, Australia, July 13-17, 1998}, pages = {457--464}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/277830.277943}, doi = {10.1145/277830.277943}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/KaxirasGG98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BurgerKG97, author = {Doug Burger and Stefanos Kaxiras and James R. Goodman}, editor = {Andrew R. Pleszkun and Trevor N. Mudge}, title = {DataScalar Architectures}, booktitle = {Proceedings of the 24th International Symposium on Computer Architecture, Denver, Colorado, USA, June 2-4, 1997}, pages = {338--349}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/264107.264215}, doi = {10.1145/264107.264215}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/BurgerKG97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KaxirasG96, author = {Stefanos Kaxiras and James R. Goodman}, editor = {Pen{-}Chung Yew}, title = {The {GLOW} Cache Coherence Protocol Extensions for Widely Shared Data}, booktitle = {Proceedings of the 10th international conference on Supercomputing, {ICS} 1996, Philadelphia, PA, USA, May 25-28, 1996}, pages = {35--43}, publisher = {{ACM}}, year = {1996}, url = {https://doi.org/10.1145/237578.237583}, doi = {10.1145/237578.237583}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/KaxirasG96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/Kaxiras96, author = {Stefanos Kaxiras}, title = {Kiloprocessor Extensions to {SCI}}, booktitle = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, pages = {166--172}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IPPS.1996.508053}, doi = {10.1109/IPPS.1996.508053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/Kaxiras96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/StafylopatisPK92, author = {Andreas Stafylopatis and I. Papakonstantinou and Stefanos Kaxiras}, title = {{PSM:} software tool for simulating, prototyping, and monitoring of multiprocessor systems}, journal = {Inf. Softw. Technol.}, volume = {34}, number = {5}, pages = {313--325}, year = {1992}, url = {https://doi.org/10.1016/0950-5849(92)90061-S}, doi = {10.1016/0950-5849(92)90061-S}, timestamp = {Fri, 24 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/StafylopatisPK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/TsanakasPK91, author = {Panagiotis Tsanakas and George K. Papakonstantinou and Stefanos Kaxiras}, title = {A Prolog-based design environment for the high-level synthesis of application-specific architectures}, journal = {Microprocessing and Microprogramming}, volume = {32}, number = {1-5}, pages = {307--313}, year = {1991}, url = {https://doi.org/10.1016/0165-6074(91)90363-X}, doi = {10.1016/0165-6074(91)90363-X}, timestamp = {Wed, 17 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/TsanakasPK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.