default search action
BibTeX records: Axel Jantsch
@article{DBLP:journals/access/SohailASSJR24, author = {Muhammad Noman Sohail and Adeel Anjum and Iftikhar Ahmed Saeed and Madiha Haider Syed and Axel Jantsch and Semeen Rehman}, title = {Optimizing Industrial IoT Data Security Through Blockchain-Enabled Incentive-Driven Game Theoretic Approach for Data Sharing}, journal = {{IEEE} Access}, volume = {12}, pages = {51176--51192}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3382571}, doi = {10.1109/ACCESS.2024.3382571}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SohailASSJR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WessSDBJ24, author = {Matthias Wess and Daniel Schn{\"{o}}ll and Dominik Dallinger and Matthias Bittner and Axel Jantsch}, title = {Conformal Prediction Based Confidence for Latency Estimation of {DNN} Accelerators: {A} Black-Box Approach}, journal = {{IEEE} Access}, volume = {12}, pages = {109847--109860}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3439850}, doi = {10.1109/ACCESS.2024.3439850}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WessSDBJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JantschGOM24, author = {Axel Jantsch and Swaroop Ghosh and {\"{U}}mit Y. Ogras and Pascal Meinerzhagen}, title = {{ISLPED} 2023: International Symposium on Low-Power Electronics and Design}, journal = {{IEEE} Des. Test}, volume = {41}, number = {1}, pages = {93--94}, year = {2024}, url = {https://doi.org/10.1109/MDAT.2023.3324518}, doi = {10.1109/MDAT.2023.3324518}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JantschGOM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsweek/LealSSJKO23, author = {Isaac S{\'{a}}nchez Leal and Eiraj Saqib and Irida Shallari and Axel Jantsch and Silvia Krug and Mattias O'Nils}, title = {Waist Tightening of CNNs: {A} Case study on Tiny YOLOv3 for Distributed IoT Implementations}, booktitle = {Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, CPS-IoT Week 2023 Workshops, San Antonio, TX, USA, May 9-12, 2023}, pages = {241--246}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576914.3587518}, doi = {10.1145/3576914.3587518}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cpsweek/LealSSJKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EsterleDGLMRJ23, author = {Lukas Esterle and Nikil D. Dutt and Christian Gruhl and Peter R. Lewis and Lucio Marcenaro and Carlo S. Regazzoni and Axel Jantsch}, title = {Self-awareness in Cyber-Physical Systems: Recent Developments and Open Challenges}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137197}, doi = {10.23919/DATE56975.2023.10137197}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/EsterleDGLMRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KotrbaLSJ23, author = {Thomas Kotrba and Martin Lechner and Omair Sarwar and Axel Jantsch}, title = {Multispectral Feature Fusion for Deep Object Detection on Embedded {NVIDIA} Platforms}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137241}, doi = {10.23919/DATE56975.2023.10137241}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KotrbaLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/WessDSBGJ23, author = {Matthias Wess and Dominik Dallinger and Daniel Schn{\"{o}}ll and Matthias Bittner and Maximilian G{\"{o}}tzinger and Axel Jantsch}, title = {Energy Profiling of {DNN} Accelerators}, booktitle = {26th Euromicro Conference on Digital System Design, {DSD} 2023, Golem, Albania, September 6-8, 2023}, pages = {53--60}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSD60849.2023.00018}, doi = {10.1109/DSD60849.2023.00018}, timestamp = {Tue, 02 Apr 2024 21:06:08 +0200}, biburl = {https://dblp.org/rec/conf/dsd/WessDSBGJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BreussGVRJ23, author = {David Breuss and Maximilian G{\"{o}}tzinger and Jenny Vuong and Clemens Reisner and Axel Jantsch}, title = {{VADAR:} {A} Vision-based Anomaly Detection Algorithm for Railroads}, booktitle = {26th Euromicro Conference on Digital System Design, {DSD} 2023, Golem, Albania, September 6-8, 2023}, pages = {130--137}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSD60849.2023.00028}, doi = {10.1109/DSD60849.2023.00028}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/BreussGVRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/SchnollWBGJ23, author = {Daniel Schn{\"{o}}ll and Matthias Wess and Matthias Bittner and Maximilian G{\"{o}}tzinger and Axel Jantsch}, title = {Fast, Quantization Aware {DNN} Training for Efficient {HW} Implementation}, booktitle = {26th Euromicro Conference on Digital System Design, {DSD} 2023, Golem, Albania, September 6-8, 2023}, pages = {700--707}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSD60849.2023.00100}, doi = {10.1109/DSD60849.2023.00100}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/SchnollWBGJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/BittnerHSSSJ23, author = {Matthias Bittner and Daniel Hauer and Christian Stippel and Katharina Scheucher and Robin Sudhoff and Axel Jantsch}, title = {Forecasting Critical Overloads based on Heterogeneous Smart Grid Simulation}, booktitle = {International Conference on Machine Learning and Applications, {ICMLA} 2023, Jacksonville, FL, USA, December 15-17, 2023}, pages = {339--346}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICMLA58977.2023.00054}, doi = {10.1109/ICMLA58977.2023.00054}, timestamp = {Tue, 02 Apr 2024 21:06:13 +0200}, biburl = {https://dblp.org/rec/conf/icmla/BittnerHSSSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/SaqibLSJKO23, author = {Eiraj Saqib and Isaac S{\'{a}}nchez Leal and Irida Shallari and Axel Jantsch and Silvia Krug and Mattias O'Nils}, title = {Optimizing the IoT Performance: {A} Case Study on Pruning a Distributed {CNN}}, booktitle = {{IEEE} Sensors Applications Symposium, {SAS} 2023, Ottawa, ON, Canada, July 18-20, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SAS58821.2023.10254054}, doi = {10.1109/SAS58821.2023.10254054}, timestamp = {Fri, 29 Sep 2023 13:28:51 +0200}, biburl = {https://dblp.org/rec/conf/sas2/SaqibLSJKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02620, author = {Sofia Maragkou and Axel Jantsch}, title = {Information Flow Tracking Methods for Protecting Cyber-Physical Systems against Hardware Trojans - a Survey}, journal = {CoRR}, volume = {abs/2301.02620}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02620}, doi = {10.48550/ARXIV.2301.02620}, eprinttype = {arXiv}, eprint = {2301.02620}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02620.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LundstromOQJ22, author = {Adam Lundstr{\"{o}}m and Mattias O'Nils and Faisal Z. Qureshi and Axel Jantsch}, title = {Improving Deep Learning Based Anomaly Detection on Multivariate Time Series Through Separated Anomaly Scoring}, journal = {{IEEE} Access}, volume = {10}, pages = {108194--108204}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3213038}, doi = {10.1109/ACCESS.2022.3213038}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LundstromOQJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TaherinejadHJ22, author = {Nima Taherinejad and Andreas Herkersdorf and Axel Jantsch}, title = {Autonomous Systems, Trust, and Guarantees}, journal = {{IEEE} Des. Test}, volume = {39}, number = {1}, pages = {42--48}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2020.3024145}, doi = {10.1109/MDAT.2020.3024145}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TaherinejadHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MozelliTJ22, author = {Amid Mozelli and Nima Taherinejad and Axel Jantsch}, title = {A Study on Confidence: An Unsupervised Multiagent Machine Learning Experiment}, journal = {{IEEE} Des. Test}, volume = {39}, number = {3}, pages = {54--62}, year = {2022}, url = {https://doi.org/10.1109/MDAT.2021.3078341}, doi = {10.1109/MDAT.2021.3078341}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MozelliTJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ValinatajJ22, author = {Mojtaba Valinataj and Axel Jantsch}, title = {Hierarchical multipliers: {A} framework for high-speed multiple error detecting architectures}, journal = {Microelectron. J.}, volume = {125}, pages = {105459}, year = {2022}, url = {https://doi.org/10.1016/j.mejo.2022.105459}, doi = {10.1016/J.MEJO.2022.105459}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/ValinatajJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/GotzingerAATJRL22, author = {Maximilian G{\"{o}}tzinger and Arman Anzanpour and Iman Azimi and Nima TaheriNejad and Axel Jantsch and Amir M. Rahmani and Pasi Liljeberg}, title = {Confidence-Enhanced Early Warning Score Based on Fuzzy Logic}, journal = {Mob. Networks Appl.}, volume = {27}, number = {2}, pages = {691--708}, year = {2022}, url = {https://doi.org/10.1007/s11036-019-01324-5}, doi = {10.1007/S11036-019-01324-5}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/GotzingerAATJRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dessert/KolisnykJP22, author = {Maryna Kolisnyk and Axel Jantsch and Iryna Piskachova}, title = {Markov Model for Availability Assessment of {PLC} in Industrial IoT Considering Subsystems Failures}, booktitle = {12th International Conference on Dependable Systems, Services and Technologies, {DESSERT} 2022, Athens, Greece, December 9-11, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DESSERT58054.2022.10018637}, doi = {10.1109/DESSERT58054.2022.10018637}, timestamp = {Wed, 08 Feb 2023 22:09:22 +0100}, biburl = {https://dblp.org/rec/conf/dessert/KolisnykJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/El-ArabyJ22, author = {Nahla A. El{-}Araby and Axel Jantsch}, title = {Reliable Power Efficient Systems through Run-time Reconfiguration}, booktitle = {20th {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2022, Quebec City, QC, Canada, June 19-22, 2022}, pages = {347--351}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NEWCAS52662.2022.9841986}, doi = {10.1109/NEWCAS52662.2022.9841986}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/El-ArabyJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/LechnerSJ22, author = {Martin Lechner and Lukas Steindl and Axel Jantsch}, editor = {Alex Orailoglu and Marc Reichenbach and Matthias Jung}, title = {Study of DNN-Based Ragweed Detection from Drones}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 22nd International Conference, {SAMOS} 2022, Samos, Greece, July 3-7, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13511}, pages = {187--199}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15074-6\_12}, doi = {10.1007/978-3-031-15074-6\_12}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/LechnerSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/El-ArabyFFJ22, author = {Nahla A. El{-}Araby and David Frismuth and Nilson Neves Filho and Axel Jantsch}, title = {Run Time Power and Accuracy Management with Approximate Circuits}, booktitle = {30th {IFIP/IEEE} 30th International Conference on Very Large Scale Integration, VLSI-SoC 2022, Patras, Greece, October 3-5, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSI-SoC54400.2022.9939639}, doi = {10.1109/VLSI-SOC54400.2022.9939639}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/El-ArabyFFJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WessIUNWJ21, author = {Matthias Wess and Matvey Ivanov and Christoph Unger and Anvesh Nookala and Alexander Wendt and Axel Jantsch}, title = {{ANNETTE:} Accurate Neural Network Execution Time Estimation With Stacked Models}, journal = {{IEEE} Access}, volume = {9}, pages = {3545--3556}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3047259}, doi = {10.1109/ACCESS.2020.3047259}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WessIUNWJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShallariLKJO21, author = {Irida Shallari and Isaac S{\'{a}}nchez Leal and Silvia Krug and Axel Jantsch and Mattias O'Nils}, title = {Design Space Exploration for an IoT Node: Trade-Offs in Processing and Communication}, journal = {{IEEE} Access}, volume = {9}, pages = {65078--65090}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3074875}, doi = {10.1109/ACCESS.2021.3074875}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShallariLKJO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LechnerJ21, author = {Martin Lechner and Axel Jantsch}, title = {Blackthorn: Latency Estimation Framework for CNNs on Embedded Nvidia Platforms}, journal = {{IEEE} Access}, volume = {9}, pages = {110074--110084}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3101936}, doi = {10.1109/ACCESS.2021.3101936}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LechnerJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ColucciJMMRKNJ021, author = {Alessio Colucci and D{\'{a}}vid Juh{\'{a}}sz and Martin Mosbeck and Alberto Marchisio and Semeen Rehman and Manfred Kreutzer and G{\"{u}}nther Nadbath and Axel Jantsch and Muhammad Shafique}, title = {MLComp: {A} Methodology for Machine Learning-based Performance Estimation and Adaptive Selection of Pareto-Optimal Compiler Optimization Sequences}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {108--113}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474158}, doi = {10.23919/DATE51398.2021.9474158}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ColucciJMMRKNJ021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/BauerBHJKMTV21, author = {Friedrich Bauer and Felix Braun and Daniel Hauer and Axel Jantsch and Markus D. Kobelrausch and Martin Mosbeck and Nima Taherinejad and Philipp{-}Sebastian Vogt}, title = {{MELODI:} An Online Platform for Mass Education of Digital Design - {HDL} to Remote {FPGA}}, booktitle = {31st International Conference on Field-Programmable Logic and Applications, {FPL} 2021, Dresden, Germany, August 30 - Sept. 3, 2021}, pages = {399}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FPL53798.2021.00084}, doi = {10.1109/FPL53798.2021.00084}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/BauerBHJKMTV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/HaasWJW21, author = {Bernhard Haas and Alexander Wendt and Axel Jantsch and Matthias Wess}, editor = {Ilias Maglogiannis and John MacIntyre and Lazaros Iliadis}, title = {Neural Network Compression Through Shunt Connections and Knowledge Distillation for Semantic Segmentation Problems}, booktitle = {Artificial Intelligence Applications and Innovations - 17th {IFIP} {WG} 12.5 International Conference, {AIAI} 2021, Hersonissos, Crete, Greece, June 25-27, 2021, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {627}, pages = {349--361}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79150-6\_28}, doi = {10.1007/978-3-030-79150-6\_28}, timestamp = {Wed, 05 Oct 2022 21:11:03 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/HaasWJW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/HauerGJK21, author = {Daniel Hauer and Maximilian G{\"{o}}tzinger and Axel Jantsch and Florian Kintzler}, title = {Context Aware Monitoring for Smart Grids}, booktitle = {30th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2021, Kyoto, Japan, June 20-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISIE45552.2021.9576488}, doi = {10.1109/ISIE45552.2021.9576488}, timestamp = {Tue, 09 Nov 2021 09:24:05 +0100}, biburl = {https://dblp.org/rec/conf/isie/HauerGJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ElderhalliEHJT21, author = {Yassmeen Elderhalli and Nahla A. El{-}Araby and Osman Hasan and Axel Jantsch and Sofi{\`{e}}ne Tahar}, title = {Dynamic Fault Tree Models for {FPGA} Fault Tolerance and Reliability}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2021, Tampa, FL, USA, July 7-9, 2021}, pages = {194--199}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISVLSI51109.2021.00044}, doi = {10.1109/ISVLSI51109.2021.00044}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/ElderhalliEHJT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-03176, author = {Matthias Wess and Matvey Ivanov and Anvesh Nookala and Christoph Unger and Alexander Wendt and Axel Jantsch}, title = {{ANNETTE:} Accurate Neural Network Execution Time Estimation with Stacked Models}, journal = {CoRR}, volume = {abs/2105.03176}, year = {2021}, url = {https://arxiv.org/abs/2105.03176}, eprinttype = {arXiv}, eprint = {2105.03176}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-03176.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GotzingerJTWTLJ20, author = {Maximilian G{\"{o}}tzinger and D{\'{a}}vid Juh{\'{a}}sz and Nima Taherinejad and Edwin Willegger and Benedikt Tutzer and Pasi Liljeberg and Axel Jantsch and Amir M. Rahmani}, title = {RoSA: {A} Framework for Modeling Self-Awareness in Cyber-Physical Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {141373--141394}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3012824}, doi = {10.1109/ACCESS.2020.3012824}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GotzingerJTWTLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/HoffmannJD20, author = {Henry Hoffmann and Axel Jantsch and Nikil D. Dutt}, title = {Embodied Self-Aware Computing Systems}, journal = {Proc. {IEEE}}, volume = {108}, number = {7}, pages = {1027--1046}, year = {2020}, url = {https://doi.org/10.1109/JPROC.2020.2977054}, doi = {10.1109/JPROC.2020.2977054}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/HoffmannJD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PaganiDJH20, author = {Santiago Pagani and Sai Manoj P. D. and Axel Jantsch and J{\"{o}}rg Henkel}, title = {Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: {A} Survey}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {1}, pages = {101--116}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2018.2878168}, doi = {10.1109/TCAD.2018.2878168}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PaganiDJH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/JantschLD20, author = {Axel Jantsch and Peter R. Lewis and Nikil D. Dutt}, title = {Introduction to the Special Issue on Self-Aware Cyber-physical Systems}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {4}, number = {4}, pages = {37:1--37:2}, year = {2020}, url = {https://doi.org/10.1145/3397266}, doi = {10.1145/3397266}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcps/JantschLD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/BellmanLDEHJTLP20, author = {Kirstie L. Bellman and Christopher Landauer and Nikil D. Dutt and Lukas Esterle and Andreas Herkersdorf and Axel Jantsch and Nima Taherinejad and Peter R. Lewis and Marco Platzner and Kalle Tammem{\"{a}}e}, title = {Self-aware Cyber-Physical Systems}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {4}, number = {4}, pages = {38:1--38:26}, year = {2020}, url = {https://doi.org/10.1145/3375716}, doi = {10.1145/3375716}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcps/BellmanLDEHJTLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/RuaroSJM21, author = {Marcelo Ruaro and Anderson Camargo Sant'Ana and Axel Jantsch and Fernando Gehm Moraes}, title = {Modular and Distributed Management of Many-Core SoCs}, journal = {{ACM} Trans. Comput. Syst.}, volume = {38}, number = {1-2}, pages = {1:1--1:16}, year = {2020}, url = {https://doi.org/10.1145/3458511}, doi = {10.1145/3458511}, timestamp = {Fri, 18 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/RuaroSJM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaart/BechtoldWJ20, author = {David Bechtold and Alexander Wendt and Axel Jantsch}, editor = {Ana Paula Rocha and Luc Steels and H. Jaap van den Herik}, title = {Evaluation of Reinforcement Learning Methods for a Self-learning System}, booktitle = {Proceedings of the 12th International Conference on Agents and Artificial Intelligence, {ICAART} 2020, Volume 2, Valletta, Malta, February 22-24, 2020}, pages = {36--47}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0008909500360047}, doi = {10.5220/0008909500360047}, timestamp = {Tue, 06 Jun 2023 14:58:01 +0200}, biburl = {https://dblp.org/rec/conf/icaart/BechtoldWJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/HauerRKJ20, author = {Daniel Hauer and Denise Ratasich and Lukas Krammer and Axel Jantsch}, title = {A Methodology for Resilient Control and Monitoring in Smart Grids}, booktitle = {2020 {IEEE} International Conference on Industrial Technology, {ICIT} 2020, Buenos Aires, Argentina, February 26-28, 2020}, pages = {589--594}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIT45562.2020.9067283}, doi = {10.1109/ICIT45562.2020.9067283}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icit2/HauerRKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/WendtKBESJ20, author = {Alexander Wendt and Stefan Kollmann and Aleksey Bratukhin and Alireza Estaji and Thilo Sauter and Axel Jantsch}, title = {Cognitive Architectures for Process Monitoring - an Analysis}, booktitle = {18th {IEEE} International Conference on Industrial Informatics, {INDIN} 2020, Warwick, United Kingdom, July 20-23, 2020}, pages = {167--173}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/INDIN45582.2020.9442223}, doi = {10.1109/INDIN45582.2020.9442223}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/WendtKBESJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-00095, author = {Bryan Donyanavard and Amir M. Rahmani and Axel Jantsch and Onur Mutlu and Nikil D. Dutt}, title = {Intelligent Management of Mobile Systems through Computational Self-Awareness}, journal = {CoRR}, volume = {abs/2008.00095}, year = {2020}, url = {https://arxiv.org/abs/2008.00095}, eprinttype = {arXiv}, eprint = {2008.00095}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-00095.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-05270, author = {Alessio Colucci and D{\'{a}}vid Juh{\'{a}}sz and Martin Mosbeck and Alberto Marchisio and Semeen Rehman and Manfred Kreutzer and Guenther Nadbath and Axel Jantsch and Muhammad Shafique}, title = {MLComp: {A} Methodology for Machine Learning-based Performance Estimation and Adaptive Selection of Pareto-Optimal Compiler Optimization Sequences}, journal = {CoRR}, volume = {abs/2012.05270}, year = {2020}, url = {https://arxiv.org/abs/2012.05270}, eprinttype = {arXiv}, eprint = {2012.05270}, timestamp = {Sat, 02 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-05270.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/DinakarraoJS19, author = {Sai Manoj Pudukotai Dinakarrao and Axel Jantsch and Muhammad Shafique}, title = {Computer-aided Arrhythmia Diagnosis with Bio-signal Processing: {A} Survey of Trends and Techniques}, journal = {{ACM} Comput. Surv.}, volume = {52}, number = {2}, pages = {23:1--23:37}, year = {2019}, url = {https://doi.org/10.1145/3297711}, doi = {10.1145/3297711}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/DinakarraoJS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fteda/MieleKMJRDLJ19, author = {Antonio Miele and Anil Kanduri and Kasra Moazzemi and D{\'{a}}vid Juh{\'{a}}sz and Amir{-}Mohammad Rahmani and Nikil D. Dutt and Pasi Liljeberg and Axel Jantsch}, title = {On-Chip Dynamic Resource Management}, journal = {Found. Trends Electron. Des. Autom.}, volume = {13}, number = {1-2}, pages = {1--14}, year = {2019}, url = {https://doi.org/10.1561/1000000055}, doi = {10.1561/1000000055}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fteda/MieleKMJRDLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/GotzingerTKJWGR19, author = {Maximilian G{\"{o}}tzinger and Nima Taherinejad and Hedyeh A. Kholerdi and Axel Jantsch and Edwin Willegger and Thomas Glatzl and Amir M. Rahmani and Thilo Sauter and Pasi Liljeberg}, title = {Model-free condition monitoring with confidence}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {32}, number = {4-5}, pages = {466--481}, year = {2019}, url = {https://doi.org/10.1080/0951192X.2019.1605201}, doi = {10.1080/0951192X.2019.1605201}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/GotzingerTKJWGR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WangEHXLLJ19, author = {Junshi Wang and Masoumeh Ebrahimi and Letian Huang and Xuan Xie and Qiang Li and Guangjun Li and Axel Jantsch}, title = {Efficient Design-for-Test Approach for Networks-on-Chip}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {2}, pages = {198--213}, year = {2019}, url = {https://doi.org/10.1109/TC.2018.2865948}, doi = {10.1109/TC.2018.2865948}, timestamp = {Wed, 13 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/WangEHXLLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/RuaroJM19, author = {Marcelo Ruaro and Axel Jantsch and Fernando Gehm Moraes}, title = {Self-Adaptive QoS Management of Computation and Communication Resources in Many-Core SoCs}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {4}, pages = {37:1--37:21}, year = {2019}, url = {https://doi.org/10.1145/3328755}, doi = {10.1145/3328755}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/RuaroJM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/AnzanpourRRJDL19, author = {Arman Anzanpour and Humayun Rashid and Amir M. Rahmani and Axel Jantsch and Nikil D. Dutt and Pasi Liljeberg}, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar}, title = {Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management}, booktitle = {The 10th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 {(EDI40} 2019) / Affiliated Workshops, April 29 - May 2, 2019, Leuven, Belgium}, series = {Procedia Computer Science}, volume = {151}, pages = {493--500}, publisher = {Elsevier}, year = {2019}, url = {https://doi.org/10.1016/j.procs.2019.04.067}, doi = {10.1016/J.PROCS.2019.04.067}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/AnzanpourRRJDL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccece/TaherinejadJ19, author = {Nima Taherinejad and Axel Jantsch}, title = {Improved Machine Learning using Confidence}, booktitle = {2019 {IEEE} Canadian Conference of Electrical and Computer Engineering, {CCECE} 2019, Edmonton, AB, Canada, May 5-8, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCECE.2019.8861962}, doi = {10.1109/CCECE.2019.8861962}, timestamp = {Sun, 08 Aug 2021 01:40:48 +0200}, biburl = {https://dblp.org/rec/conf/ccece/TaherinejadJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coins/JuhaszJ19, author = {D{\'{a}}vid Juh{\'{a}}sz and Axel Jantsch}, editor = {Farshad Firouzi and Krishnendu Chakrabarty and Bahar J. Farahani and Fangming Ye and Vasilis F. Pavlidis}, title = {Dynamic Constraints for Mixed-Criticality Systems}, booktitle = {Proceedings of the International Conference on Omni-Layer Intelligent Systems, {COINS} 2019, Crete, Greece, May 5-7, 2019}, pages = {25--30}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3312614.3312625}, doi = {10.1145/3312614.3312625}, timestamp = {Tue, 31 Aug 2021 08:35:29 +0200}, biburl = {https://dblp.org/rec/conf/coins/JuhaszJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Jantsch19, author = {Axel Jantsch}, editor = {Selma Saidi and Rolf Ernst and Dirk Ziegenbein}, title = {Towards a Formal Model of Recursive Self-Reflection}, booktitle = {Workshop on Autonomous Systems Design, {ASD} 2019, March 29, 2019, Florence, Italy}, series = {OASIcs}, volume = {68}, pages = {6:1--6:15}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2019}, url = {https://doi.org/10.4230/OASIcs.ASD.2019.6}, doi = {10.4230/OASICS.ASD.2019.6}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Jantsch19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbbassiKRKJG019, author = {Imran Hafeez Abbassi and Faiq Khalid and Semeen Rehman and Awais Mehmood Kamboh and Axel Jantsch and Siddharth Garg and Muhammad Shafique}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {TrojanZero: Switching Activity-Aware Design of Undetectable Hardware Trojans with Zero Power and Area Footprint}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {914--919}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714829}, doi = {10.23919/DATE.2019.8714829}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AbbassiKRKJG019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShamsaKRLJD19, author = {Elham Shamsa and Anil Kanduri and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Nikil D. Dutt}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1397--1402}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715134}, doi = {10.23919/DATE.2019.8715134}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShamsaKRLJD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ShahhosseiniAAJ19, author = {Sina Shahhosseini and Iman Azimi and Arman Anzanpour and Axel Jantsch and Pasi Liljeberg and Nikil D. Dutt and Amir M. Rahmani}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {Dynamic Computation Migration at the Edge: Is There an Optimal Choice?}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {519--524}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3319336}, doi = {10.1145/3299874.3319336}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ShahhosseiniAAJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/LechnerJD19, author = {Martin Lechner and Axel Jantsch and Sai Manoj Pudukotai Dinakarrao}, title = {ResCoNN: Resource-Efficient FPGA-Accelerated {CNN} for Traffic Sign Classification}, booktitle = {Tenth International Green and Sustainable Computing Conference, {IGSC} 2019, Alexandria, VA, USA, October 21-24, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGSC48788.2019.8957186}, doi = {10.1109/IGSC48788.2019.8957186}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/LechnerJD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HanifAARJ019, author = {Muhammad Abdullah Hanif and Muhammad Zuhaib Akbar and Rehan Ahmed and Semeen Rehman and Axel Jantsch and Muhammad Shafique}, title = {MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824833}, doi = {10.1109/ISLPED.2019.8824833}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/HanifAARJ019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/RuaroVJM19, author = {Marcelo Ruaro and Nedison Velloso and Axel Jantsch and Fernando Gehm Moraes}, editor = {Paul Bogdan and Cristina Silvano}, title = {Distributed {SDN} architecture for NoC-based many-core SoCs}, booktitle = {Proceedings of the 13th {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2019, New York, NY, USA, October 17-18, 2019}, pages = {8:1--8:8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3313231.3352361}, doi = {10.1145/3313231.3352361}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/RuaroVJM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/saso/TaherinejadLJRE19, author = {Nima Taherinejad and Peter R. Lewis and Axel Jantsch and Amir M. Rahmani and Lukas Esterle}, title = {Resource Constrained Self-Aware Cyber-Physical Systems (Tutorial)}, booktitle = {{IEEE} 4th International Workshops on Foundations and Applications of Self* Systems, FAS*W@SASO/ICCAC 2019, Umea, Sweden, June 16-20, 2019}, pages = {259--260}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FAS-W.2019.00071}, doi = {10.1109/FAS-W.2019.00071}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/saso/TaherinejadLJRE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DuttJ18, author = {Nikil D. Dutt and Axel Jantsch}, title = {Guest Editorial: Special Issue on Self-Aware Systems on Chip}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {5--6}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2766604}, doi = {10.1109/MDAT.2017.2766604}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DuttJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ei/SiafaraKBTJ18, author = {Lydia C. Siafara and Hedyeh A. Kholerdi and Aleksey Bratukhin and Nima Taherinejad and Axel Jantsch}, title = {{SAMBA} - an architecture for adaptive cognitive control of distributed Cyber-Physical Production Systems based on its self-awareness}, journal = {Elektrotech. Informationstechnik}, volume = {135}, number = {3}, pages = {270--277}, year = {2018}, url = {https://doi.org/10.1007/s00502-018-0614-7}, doi = {10.1007/S00502-018-0614-7}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ei/SiafaraKBTJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/RahmaniJD18, author = {Amir M. Rahmani and Axel Jantsch and Nikil D. Dutt}, title = {{HDGM:} Hierarchical Dynamic Goal Management for Many-Core Resource Allocation}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {10}, number = {3}, pages = {61--64}, year = {2018}, url = {https://doi.org/10.1109/LES.2017.2751522}, doi = {10.1109/LES.2017.2751522}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/RahmaniJD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/DJS18, author = {Sai Manoj P. D. and Axel Jantsch and Muhammad Shafique}, title = {SmartDPM: Machine Learning-Based Dynamic Power Management for Multi-Core Microprocessors}, journal = {J. Low Power Electron.}, volume = {14}, number = {4}, pages = {460--474}, year = {2018}, url = {https://doi.org/10.1166/jolpe.2018.1576}, doi = {10.1166/JOLPE.2018.1576}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jolpe/DJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KanduriHRSJL18, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir M. Rahmani and Muhammad Shafique and Axel Jantsch and Pasi Liljeberg}, title = {adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {8}, pages = {1062--1077}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2805683}, doi = {10.1109/TC.2018.2805683}, timestamp = {Thu, 13 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KanduriHRSJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WessDJ18, author = {Matthias Wess and Sai Manoj Pudukotai Dinakarrao and Axel Jantsch}, title = {Weighted Quantization-Regularization in DNNs for Weight Memory Minimization Toward {HW} Implementation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {11}, pages = {2929--2939}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2018.2857080}, doi = {10.1109/TCAD.2018.2857080}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WessDJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/MuckDMRJD18, author = {Tiago M{\"{u}}ck and Bryan Donyanavard and Kasra Moazzemi and Amir M. Rahmani and Axel Jantsch and Nikil D. Dutt}, title = {Design Methodology for Responsive and Rrobust {MIMO} Control of Heterogeneous Multicores}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {4}, pages = {944--951}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2018.2808524}, doi = {10.1109/TMSCS.2018.2808524}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/MuckDMRJD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/RahmaniDMMJMD18, author = {Amir M. Rahmani and Bryan Donyanavard and Tiago M{\"{u}}ck and Kasra Moazzemi and Axel Jantsch and Onur Mutlu and Nikil D. Dutt}, editor = {Xipeng Shen and James Tuck and Ricardo Bianchini and Vivek Sarkar}, title = {{SPECTR:} Formal Supervisory Control and Coordination for Many-core Systems Resource Management}, booktitle = {Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2018, Williamsburg, VA, USA, March 24-28, 2018}, pages = {169--183}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173162.3173199}, doi = {10.1145/3173162.3173199}, timestamp = {Tue, 23 Jan 2024 20:31:22 +0100}, biburl = {https://dblp.org/rec/conf/asplos/RahmaniDMMJMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MoazzemiKJMRLJD18, author = {Kasra Moazzemi and Anil Kanduri and David Juhasz and Antonio Miele and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Nikil D. Dutt}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Trends in On-chip Dynamic Resource Management}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {62--69}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00025}, doi = {10.1109/DSD.2018.00025}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/MoazzemiKJMRLJD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/ArbaudJJ18, author = {Robin Arbaud and David Juhasz and Axel Jantsch}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Resource Management for Mixed-Criticality Systems on Multi-core Platforms with Focus on Communication}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {627--641}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00108}, doi = {10.1109/DSD.2018.00108}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/ArbaudJJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DinakarraoJ18, author = {Sai Manoj Pudukotai Dinakarrao and Axel Jantsch}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {ADDHard: Arrhythmia Detection with Digital Hardware by Learning {ECG} Signal}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {495--498}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194647}, doi = {10.1145/3194554.3194647}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/DinakarraoJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/GotzingerWTJSGL18, author = {Maximilian G{\"{o}}tzinger and Edwin Willegger and Nima Taherinejad and Axel Jantsch and Thilo Sauter and Thomas Glatzl and P. Lilieberg}, title = {Applicability of Context-Aware Health Monitoring to Hydraulic Circuits}, booktitle = {{IECON} 2018 - 44th Annual Conference of the {IEEE} Industrial Electronics Society, Washington, DC, USA, October 21-23, 2018}, pages = {4712--4719}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IECON.2018.8591186}, doi = {10.1109/IECON.2018.8591186}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/GotzingerWTJSGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KholerdiTJ18, author = {Hedyeh A. Kholerdi and Nima Taherinejad and Axel Jantsch}, title = {Enhancement of Classification of Small Data Sets Using Self-awareness - An Iris Flower Case-Study}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8350992}, doi = {10.1109/ISCAS.2018.8350992}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KholerdiTJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/JantschAKASRTLD18, author = {Axel Jantsch and Arman Anzanpour and Hedyeh A. Kholerdi and Iman Azimi and Lydia C. Siafara and Amir M. Rahmani and Nima Taherinejad and Pasi Liljeberg and Nikil D. Dutt}, title = {Hierarchical dynamic goal management for IoT systems}, booktitle = {19th International Symposium on Quality Electronic Design, {ISQED} 2018, Santa Clara, CA, USA, March 13-14, 2018}, pages = {370--375}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISQED.2018.8357315}, doi = {10.1109/ISQED.2018.8357315}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/JantschAKASRTLD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/MosbeckHJ18, author = {Martin Mosbeck and Daniel Hauer and Axel Jantsch}, editor = {Jari Nurmi and Peeter Ellervee and Juri Mihhailov and Maksim Jenihhin and Kalle Tammem{\"{a}}e}, title = {{VELS:} {VHDL} E-Learning System for Automatic Generation and Evaluation of Per-Student Randomized Assignments}, booktitle = {2018 {IEEE} Nordic Circuits and Systems Conference, {NORCAS} 2018: {NORCHIP} and International Symposium of System-on-Chip (SoC), Tallinn, Estonia, October 30-31, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NORCHIP.2018.8573455}, doi = {10.1109/NORCHIP.2018.8573455}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norchip/MosbeckHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/ShamsaKRLJD18, author = {Elham Shamsa and Anil Kanduri and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Nikil D. Dutt}, editor = {Jari Nurmi and Peeter Ellervee and Juri Mihhailov and Maksim Jenihhin and Kalle Tammem{\"{a}}e}, title = {Goal Formulation: Abstracting Dynamic Objectives for Efficient On-chip Resource Allocation}, booktitle = {2018 {IEEE} Nordic Circuits and Systems Conference, {NORCAS} 2018: {NORCHIP} and International Symposium of System-on-Chip (SoC), Tallinn, Estonia, October 30-31, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NORCHIP.2018.8573451}, doi = {10.1109/NORCHIP.2018.8573451}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norchip/ShamsaKRLJD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-02770, author = {Imran Hafeez Abbassi and Faiq Khalid and Semeen Rehman and Awais Mehmood Kamboh and Axel Jantsch and Siddharth Garg and Muhammad Shafique}, title = {TrojanZero: Switching Activity-Aware Design of Undetectable Hardware Trojans with Zero Power and Area Footprint}, journal = {CoRR}, volume = {abs/1812.02770}, year = {2018}, url = {http://arxiv.org/abs/1812.02770}, eprinttype = {arXiv}, eprint = {1812.02770}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-02770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HaghbayanRLJMBT17, author = {Mohammad Hashem Haghbayan and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Antonio Miele and Cristiana Bolchini and Hannu Tenhunen}, title = {Can Dark Silicon Be Exploited to Prolong System Lifetime?}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {51--59}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2630317}, doi = {10.1109/MDAT.2016.2630317}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HaghbayanRLJMBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JantschD17, author = {Axel Jantsch and Nikil D. Dutt}, title = {Guest Editorial: Special Issue on Self-Aware Systems on Chip}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {6--7}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2757445}, doi = {10.1109/MDAT.2017.2757445}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JantschD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JantschDR17, author = {Axel Jantsch and Nikil D. Dutt and Amir M. Rahmani}, title = {Self-Awareness in Systems on Chip - {A} Survey}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {8--26}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2757143}, doi = {10.1109/MDAT.2017.2757143}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JantschDR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WangEHLLJ17, author = {Junshi Wang and Masoumeh Ebrahimi and Letian Huang and Qiang Li and Guangjun Li and Axel Jantsch}, title = {Minimizing the system impact of router faults by means of reconfiguration and adaptive routing}, journal = {Microprocess. Microsystems}, volume = {51}, pages = {252--263}, year = {2017}, url = {https://doi.org/10.1016/j.micpro.2017.02.004}, doi = {10.1016/J.MICPRO.2017.02.004}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/WangEHLLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RahmaniHMLJT17, author = {Amir M. Rahmani and Mohammad Hashem Haghbayan and Antonio Miele and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, title = {Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {2}, pages = {427--440}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2591798}, doi = {10.1109/TVLSI.2016.2591798}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/RahmaniHMLJT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KanduriHRLJTD17, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen and Nikil D. Dutt}, title = {Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {10}, pages = {2749--2762}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2694388}, doi = {10.1109/TVLSI.2017.2694388}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KanduriHRLJTD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccece/GotzingerTKJ17, author = {Maximilian Gotzinger and Nima Taherinejad and Hedyeh A. Kholerdi and Axel Jantsch}, title = {On the design of context-aware health monitoring without a priori knowledge; an AC-Motor case-study}, booktitle = {30th {IEEE} Canadian Conference on Electrical and Computer Engineering, {CCECE} 2017, Windsor, ON, Canada, April 30 - May 3, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CCECE.2017.7946814}, doi = {10.1109/CCECE.2017.7946814}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccece/GotzingerTKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KriegWJZ17, author = {Christian Krieg and Clifford Wolf and Axel Jantsch and Tanja Zseby}, title = {Toggle {MUX:} How X-Optimism Can Lead to Malicious Hardware}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {7:1--7:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062328}, doi = {10.1145/3061639.3062328}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KriegWJZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AnzanpourAGRTLJ17, author = {Arman Anzanpour and Iman Azimi and Maximilian Gotzinger and Amir M. Rahmani and Nima Taherinejad and Pasi Liljeberg and Axel Jantsch and Nikil D. Dutt}, editor = {David Atienza and Giorgio Di Natale}, title = {Self-awareness in remote health monitoring systems using wearable electronics}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1056--1061}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927146}, doi = {10.23919/DATE.2017.7927146}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AnzanpourAGRTLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/SiafaraKBTWJTS17, author = {Lydia C. Siafara and Hedyeh A. Kholerdi and Aleksey Bratukhin and Nima Taherinejad and Alexander Wendt and Axel Jantsch and Albert Treytl and Thilo Sauter}, title = {{SAMBA:} {A} self-aware health monitoring architecture for distributed industrial systems}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {3512--3517}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IECON.2017.8216594}, doi = {10.1109/IECON.2017.8216594}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/SiafaraKBTWJTS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHELLJ17, author = {Junshi Wang and Letian Huang and Masoumeh Ebrahimi and Qiang Li and Guangjun Li and Axel Jantsch}, title = {Non-blocking {BIST} for continuous reliability monitoring of Networks-on-Chip}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050828}, doi = {10.1109/ISCAS.2017.8050828}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHELLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WessDJ17, author = {Matthias Wess and Sai Manoj P. D. and Axel Jantsch}, title = {Neural network based {ECG} anomaly detection on {FPGA} and trade-off analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050805}, doi = {10.1109/ISCAS.2017.8050805}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WessDJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/DuttRJ17, author = {Nikil D. Dutt and Amir M. Rahmani and Axel Jantsch}, title = {Empowering autonomy through self-awareness in MPSoCs}, booktitle = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2017, Strasbourg, France, June 25-28, 2017}, pages = {73--76}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NEWCAS.2017.8010108}, doi = {10.1109/NEWCAS.2017.8010108}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/DuttRJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/GotzingerPRJ17, author = {Maximilian G{\"{o}}tzinger and Martin Pongratz and Amir{-}Mohammad Rahmani and Axel Jantsch}, editor = {Francisco H. Imai and Alain Tr{\'{e}}meau and Jos{\'{e}} Braz}, title = {Parallelized Flight Path Prediction using a Graphics Processing Unit}, booktitle = {Proceedings of the 12th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications {(VISIGRAPP} 2017) - Volume 6: VISAPP, Porto, Portugal, February 27 - March 1, 2017}, pages = {386--393}, publisher = {SciTePress}, year = {2017}, url = {https://doi.org/10.5220/0006105903860393}, doi = {10.5220/0006105903860393}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/visapp/GotzingerPRJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/corr/Radojicic0JR17, author = {Carna Radojicic and Christoph Grimm and Axel Jantsch and Michael Rathmair}, editor = {Erika {\'{A}}brah{\'{a}}m and Sergiy Bogomolov}, title = {Towards Verification of Uncertain Cyber-Physical Systems}, booktitle = {Proceedings 3rd International Workshop on Symbolic and Numerical Methods for Reachability Analysis, SNR@ETAPS 2017, Uppsala, Sweden, 22nd April 2017}, series = {{EPTCS}}, volume = {247}, pages = {1--17}, year = {2017}, url = {https://doi.org/10.4204/EPTCS.247.1}, doi = {10.4204/EPTCS.247.1}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Radojicic0JR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/hwswco/SanderJA17, author = {Ingo Sander and Axel Jantsch and Seyed{-}Hosein Attarzadeh{-}Niaki}, editor = {Soonhoi Ha and J{\"{u}}rgen Teich}, title = {ForSyDe: System Design Using a Functional Language and Models of Computation}, booktitle = {Handbook of Hardware/Software Codesign}, pages = {99--140}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-94-017-7267-9\_5}, doi = {10.1007/978-94-017-7267-9\_5}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/reference/hwswco/SanderJA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nocs/2017, editor = {Axel Jantsch and Hiroki Matsutani and Zhonghai Lu and {\"{U}}mit Y. Ogras}, title = {Proceedings of the Eleventh {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2017, Seoul, Republic of Korea, October 19 - 20, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3130218}, doi = {10.1145/3130218}, isbn = {978-1-4503-4984-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HuangWEDZLJ16, author = {Letian Huang and Junshi Wang and Masoumeh Ebrahimi and Masoud Daneshtalab and Xiaofan Zhang and Guangjun Li and Axel Jantsch}, title = {Non-Blocking Testing for Network-on-Chip}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {3}, pages = {679--692}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2489216}, doi = {10.1109/TC.2015.2489216}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HuangWEDZLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/DuttJS16, author = {Nikil D. Dutt and Axel Jantsch and Santanu Sarma}, title = {Toward Smart Embedded Systems: {A} Self-aware System-on-Chip (SoC) Perspective}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {15}, number = {2}, pages = {22:1--22:27}, year = {2016}, url = {https://doi.org/10.1145/2872936}, doi = {10.1145/2872936}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/DuttJS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JafariJL16, author = {Fahimeh Jafari and Axel Jantsch and Zhonghai Lu}, title = {Weighted Round Robin Configuration for Worst-Case Delay Optimization in Network-on-Chip}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {12}, pages = {3387--3400}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2016.2556007}, doi = {10.1109/TVLSI.2016.2556007}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/JafariJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/WangHLLJ16, author = {Junshi Wang and Letian Huang and Qiang Li and Guangjun Li and Axel Jantsch}, title = {Optimizing the location of {ECC} protection in network-on-chip}, booktitle = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {19:1--19:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968456.2968460}, doi = {10.1145/2968456.2968460}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/WangHLLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fedcsis/TaherinejadJP16, author = {Nima Taherinejad and Axel Jantsch and David Pollreisz}, editor = {Maria Ganzha and Leszek A. Maciaszek and Marcin Paprzycki}, title = {Comprehensive Observation and its Role in Self-Awareness; An Emotion Recognition System Example}, booktitle = {Position Papers of the 2016 Federated Conference on Computer Science and Information Systems, FedCSIS 2016, Gda{\'{n}}sk, Poland, September 11-14, 2016}, series = {Annals of Computer Science and Information Systems}, volume = {9}, pages = {117--124}, year = {2016}, url = {https://doi.org/10.15439/2016F588}, doi = {10.15439/2016F588}, timestamp = {Tue, 23 Apr 2024 09:56:38 +0200}, biburl = {https://dblp.org/rec/conf/fedcsis/TaherinejadJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KriegWJ16, author = {Christian Krieg and Clifford Wolf and Axel Jantsch}, editor = {Frank Liu}, title = {Malicious {LUT:} a stealthy {FPGA} trojan injected and triggered by the design flow}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {43}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967054}, doi = {10.1145/2966986.2967054}, timestamp = {Fri, 23 Jun 2023 22:29:48 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KriegWJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KanduriHRLJDT16, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Nikil D. Dutt and Hannu Tenhunen}, editor = {Frank Liu}, title = {Approximation knob: power capping meets energy efficiency}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {122}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967002}, doi = {10.1145/2966986.2967002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KanduriHRLJDT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceee/TaherinejadDRJ16, author = {Nima Taherinejad and Sai Manoj P. D. and Michael Rathmair and Axel Jantsch}, title = {Fully digital write-in scheme for multi-bit memristive storage}, booktitle = {13th International Conference on Electrical Engineering, Computing Science and Automatic Control, {CCE} 2016, Mexico City, Mexico, September 26-30, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICEEE.2016.7751193}, doi = {10.1109/ICEEE.2016.7751193}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceee/TaherinejadDRJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WangHEHLJL16, author = {Junshi Wang and Yang Huang and Masoumeh Ebrahimi and Letian Huang and Qiang Li and Axel Jantsch and Guangjun Li}, title = {VisualNoC: {A} Visualization and Evaluation Environment for Simulation and Mapping}, booktitle = {Proceedings of the Fourth {ACM} International Workshop on Many-core Embedded Systems, MES@ISCA 2016, Seoul, Republic of Korea, June 19, 2016}, pages = {18--25}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2934495.2949544}, doi = {10.1145/2934495.2949544}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/WangHEHLJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/GotzingerRPLJT16, author = {Maximilian Gotzinger and Amir M. Rahmani and Martin Pongratz and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, title = {The Role of Self-Awareness and Hierarchical Agents in Resource Management for Many-Core Systems}, booktitle = {10th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016}, pages = {53--60}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MCSoC.2016.57}, doi = {10.1109/MCSOC.2016.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/GotzingerRPLJT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihealth/GotzingerTRLJT16, author = {Maximilian G{\"{o}}tzinger and Nima Taherinejad and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, editor = {Paolo Perego and Giuseppe Andreoni and Giovanna Rizzo}, title = {Enhancing the Early Warning Score System Using Data Confidence}, booktitle = {Wireless Mobile Communication and Healthcare - 6th International Conference, MobiHealth 2016, Milan, Italy, November 14-16, 2016, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {192}, pages = {91--99}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-58877-3\_12}, doi = {10.1007/978-3-319-58877-3\_12}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobihealth/GotzingerTRLJT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/PredenTJLRC15, author = {J{\"{u}}rgo{-}S{\"{o}}ren Preden and Kalle Tammem{\"{a}}e and Axel Jantsch and Mairo Leier and Andri Riid and Emine Calis}, title = {The Benefits of Self-Awareness and Attention in Fog and Mist Computing}, journal = {Computer}, volume = {48}, number = {7}, pages = {37--45}, year = {2015}, url = {https://doi.org/10.1109/MC.2015.207}, doi = {10.1109/MC.2015.207}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/PredenTJLRC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ei/SteiningerZJHSS15, author = {Andreas Steininger and Horst Zimmermann and Axel Jantsch and Michael Hofbauer and Ulrich Schmid and Kurt Schweiger and Varadan Savulimedu Veeravalli}, title = {Building reliable systems-on-chip in nanoscale technologies}, journal = {Elektrotech. Informationstechnik}, volume = {132}, number = {6}, pages = {301--306}, year = {2015}, url = {https://doi.org/10.1007/s00502-015-0319-0}, doi = {10.1007/S00502-015-0319-0}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ei/SteiningerZJHSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jece/ChenLJCGCC15, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Yang Guo and Shenggang Chen and Hu Chen}, title = {Performance Analysis of Homogeneous On-Chip Large-Scale Parallel Computing Architectures for Data-Parallel Applications}, journal = {J. Electr. Comput. Eng.}, volume = {2015}, pages = {902591:1--902591:20}, year = {2015}, url = {https://doi.org/10.1155/2015/902591}, doi = {10.1155/2015/902591}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jece/ChenLJCGCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LiuJL15, author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu}, title = {MultiCS: Circuit switched NoC with multiple sub-networks and sub-channels}, journal = {J. Syst. Archit.}, volume = {61}, number = {9}, pages = {423--434}, year = {2015}, url = {https://doi.org/10.1016/j.sysarc.2015.07.013}, doi = {10.1016/J.SYSARC.2015.07.013}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/LiuJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/ChenLJCGCCL15, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Yang Guo and Shenggang Chen and Hu Chen and Man Liao}, title = {Command-Triggered Microcode Execution for Distributed Shared Memory Based Multi-Core Network-on-Chips}, journal = {J. Softw.}, volume = {10}, number = {2}, pages = {142--161}, year = {2015}, url = {https://doi.org/10.17706/jsw.10.2.142-161}, doi = {10.17706/JSW.10.2.142-161}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsw/ChenLJCGCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WeldezionGJTP15, author = {Awet Yemane Weldezion and Matt Grange and Axel Jantsch and Hannu Tenhunen and Dinesh Pamunuwa}, title = {Zero-load predictive model for performance analysis in deflection routing NoCs}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {634--647}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.09.002}, doi = {10.1016/J.MICPRO.2015.09.002}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/WeldezionGJTP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/JafariLJ15, author = {Fahimeh Jafari and Zhonghai Lu and Axel Jantsch}, title = {Least Upper Delay Bound for {VBR} Flows in Networks-on-Chip with Virtual Channels}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {3}, pages = {35:1--35:33}, year = {2015}, url = {https://doi.org/10.1145/2733374}, doi = {10.1145/2733374}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/JafariLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FengLLJZ15, author = {Chaochao Feng and Zhuofan Liao and Zhonghai Lu and Axel Jantsch and Zhenyu Zhao}, title = {Performance analysis of on-chip bufferless router with multi-ejection ports}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517174}, doi = {10.1109/ASICON.2015.7517174}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FengLLJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhangLJLGFP15, author = {Yuang Zhang and Li Li and Axel Jantsch and Zhonghai Lu and Minglun Gao and Yuxiang Fu and Hongbing Pan}, title = {Exploring stacked main memory architecture for 3D GPGPUs}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516950}, doi = {10.1109/ASICON.2015.7516950}, timestamp = {Fri, 06 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhangLJLGFP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaHJ15, author = {Runan Ma and Zhida Hui and Axel Jantsch}, editor = {Wolfgang Nebel and David Atienza}, title = {A packet-switched interconnect for many-core systems with {BE} and {RT} service}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {980--983}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757041}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MaHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ems/TaherinejadMJ15, author = {Nima Taherinejad and Sai Manoj P. D. and Axel Jantsch}, title = {Memristors' Potential for Multi-bit Storage and Pattern Learning}, booktitle = {2015 {IEEE} European Modelling Symposium, {EMS} 2015, Madrid, Spain, October 6-8, 2015}, pages = {450--455}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMS.2015.73}, doi = {10.1109/EMS.2015.73}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ems/TaherinejadMJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DuttJS15, author = {Nikil D. Dutt and Axel Jantsch and Santanu Sarma}, editor = {Diana Marculescu and Frank Liu}, title = {Self-Aware Cyber-Physical Systems-on-Chip}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {46--50}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372548}, doi = {10.1109/ICCAD.2015.7372548}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DuttJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KanduriHRLJT15, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, title = {Dark silicon aware runtime mapping for many-core systems: {A} patterning approach}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {573--580}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357167}, doi = {10.1109/ICCD.2015.7357167}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KanduriHRLJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RahmaniHKWLPJT15, author = {Amir{-}Mohammad Rahmani and Mohammad Hashem Haghbayan and Anil Kanduri and Awet Yemane Weldezion and Pasi Liljeberg and Juha Plosila and Axel Jantsch and Hannu Tenhunen}, title = {Dynamic power management for many-core platforms in the dark silicon era: {A} multi-objective control approach}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {219--224}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273517}, doi = {10.1109/ISLPED.2015.7273517}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/RahmaniHKWLPJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChenLLJZCGLLWSC15, author = {Xiaowen Chen and Zhonghai Lu and Yang Li and Axel Jantsch and Xueqian Zhao and Shuming Chen and Yang Guo and Zonglin Liu and Jianzhuang Lu and Jianghua Wan and Shuwei Sun and Shenggang Chen and Hu Chen}, title = {Achieving Memory Access Equalization Via Round-Trip Routing Latency Prediction in 3D Many-Core NoCs}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {398--403}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.8}, doi = {10.1109/ISVLSI.2015.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChenLLJZCGLLWSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/WangEHJL15, author = {Junshi Wang and Masoumeh Ebrahimi and Letian Huang and Axel Jantsch and Guangjun Li}, title = {Design of Fault-Tolerant and Reliable Networks-on-Chip}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {545--550}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.33}, doi = {10.1109/ISVLSI.2015.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/WangEHJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/LiuLJ15, author = {Shaoteng Liu and Zhonghai Lu and Axel Jantsch}, editor = {Andr{\'{e}} Ivanov and Diana Marculescu and Partha Pratim Pande and Jos{\'{e}} Flich and Karthik Pattabiraman}, title = {Highway in {TDM} NoCs}, booktitle = {Proceedings of the 9th International Symposium on Networks-on-Chip, {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015}, pages = {15:1--15:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786572.2786577}, doi = {10.1145/2786572.2786577}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/LiuLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/HaghbayanKRLJT15, author = {Mohammad Hashem Haghbayan and Anil Kanduri and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, editor = {Andr{\'{e}} Ivanov and Diana Marculescu and Partha Pratim Pande and Jos{\'{e}} Flich and Karthik Pattabiraman}, title = {MapPro: Proactive Runtime Mapping for Dynamic Workloads by Quantifying Ripple Effect of Applications on Networks-on-Chip}, booktitle = {Proceedings of the 9th International Symposium on Networks-on-Chip, {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015}, pages = {26:1--26:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786572.2786589}, doi = {10.1145/2786572.2786589}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/HaghbayanKRLJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/ZhangEHLJ15, author = {Xiaofan Zhang and Masoumeh Ebrahimi and Letian Huang and Guangjun Li and Axel Jantsch}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {A Routing-Level Solution for Fault Detection, Masking, and Tolerance in NoCs}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {365--369}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.87}, doi = {10.1109/PDP.2015.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/ZhangEHLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/RadetzkiJ14, author = {Martin Radetzki and Axel Jantsch}, title = {Editorial introduction - Special issue on languages, models and model based design for embedded systems}, journal = {Des. Autom. Embed. Syst.}, volume = {18}, number = {1-2}, pages = {61--62}, year = {2014}, url = {https://doi.org/10.1007/s10617-012-9094-x}, doi = {10.1007/S10617-012-9094-X}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/RadetzkiJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenLJCGL14, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Yang Guo and Hengzhu Liu}, title = {Cooperative communication for efficient and scalable all-to-all barrier synchronization on mesh-based many-core NoCs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {18}, pages = {20140542}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140542}, doi = {10.1587/ELEX.11.20140542}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenLJCGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ZhangLLJGPH14, author = {Yuang Zhang and Li Li and Zhonghai Lu and Axel Jantsch and Minglun Gao and Hongbing Pan and Feng Han}, title = {A survey of memory architecture for 3D chip multi-processors}, journal = {Microprocess. Microsystems}, volume = {38}, number = {5}, pages = {415--430}, year = {2014}, url = {https://doi.org/10.1016/j.micpro.2014.03.007}, doi = {10.1016/J.MICPRO.2014.03.007}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/ZhangLLJGPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuJL14, author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu}, title = {A Fair and Maximal Allocator for Single-Cycle On-Chip Homogeneous Resource Allocation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {10}, pages = {2229--2233}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2284563}, doi = {10.1109/TVLSI.2013.2284563}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/JantschT14, author = {Axel Jantsch and Kalle Tammem{\"{a}}e}, editor = {Radu Marculescu and Gabriela Nicolescu}, title = {A framework of awareness for artificial subjects}, booktitle = {2014 International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17, 2014}, pages = {20:1--20:3}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656075.2661644}, doi = {10.1145/2656075.2661644}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/JantschT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuJL14, author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Parallel probe based dynamic connection setup in {TDM} NoCs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.252}, doi = {10.7873/DATE.2014.252}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/EbrahimiWHDJ14, author = {Masoumeh Ebrahimi and Junshi Wang and Letian Huang and Masoud Daneshtalab and Axel Jantsch}, title = {Rescuing healthy cores against disabled routers}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {98--103}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962086}, doi = {10.1109/DFT.2014.6962086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/EbrahimiWHDJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaghbayanRWLPJT14, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Awet Yemane Weldezion and Pasi Liljeberg and Juha Plosila and Axel Jantsch and Hannu Tenhunen}, title = {Dark silicon aware power management for manycore systems under dynamic workloads}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {509--512}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974729}, doi = {10.1109/ICCD.2014.6974729}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HaghbayanRWLPJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLLJFG14, author = {Yuang Zhang and Li Li and Zhonghai Lu and Axel Jantsch and Yuxiang Fu and Minglun Gao}, title = {Performance and network power evaluation of tightly mixed {SRAM} {NUCA} for 3D Multi-core Network on Chips}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1961--1964}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865546}, doi = {10.1109/ISCAS.2014.6865546}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLLJFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChenLJCCG13, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Shenggang Chen and Huitao Gu}, title = {Reducing Virtual-to-Physical address translation overhead in Distributed Shared Memory based multi-core Network-on-Chips according to data property}, journal = {Comput. Electr. Eng.}, volume = {39}, number = {2}, pages = {596--612}, year = {2013}, url = {https://doi.org/10.1016/j.compeleceng.2012.04.009}, doi = {10.1016/J.COMPELECENG.2012.04.009}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/ChenLJCCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/KiasariJL13, author = {Abbas Eslami Kiasari and Axel Jantsch and Zhonghai Lu}, title = {Mathematical formalisms for performance evaluation of networks-on-chip}, journal = {{ACM} Comput. Surv.}, volume = {45}, number = {3}, pages = {38:1--38:41}, year = {2013}, url = {https://doi.org/10.1145/2480741.2480755}, doi = {10.1145/2480741.2480755}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/KiasariJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/RadetzkiFZJ13, author = {Martin Radetzki and Chaochao Feng and Xueqian Zhao and Axel Jantsch}, title = {Methods for fault tolerance in networks-on-chip}, journal = {{ACM} Comput. Surv.}, volume = {46}, number = {1}, pages = {8:1--8:38}, year = {2013}, url = {https://doi.org/10.1145/2522968.2522976}, doi = {10.1145/2522968.2522976}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/RadetzkiFZJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NaeemJL13, author = {Abdul Naeem and Axel Jantsch and Zhonghai Lu}, title = {Scalability Analysis of Memory Consistency Models in NoC-Based Distributed Shared Memory SoCs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {5}, pages = {760--773}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2235914}, doi = {10.1109/TCAD.2012.2235914}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NaeemJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KiasariLJ13, author = {Abbas Eslami Kiasari and Zhonghai Lu and Axel Jantsch}, title = {An Analytical Latency Model for Networks-on-Chip}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {1}, pages = {113--123}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2011.2178620}, doi = {10.1109/TVLSI.2011.2178620}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KiasariLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/FengLJZX13, author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Minxuan Zhang and Zuocheng Xing}, title = {Addressing Transient and Permanent Faults in NoC With Efficient Fault-Tolerant Deflection Router}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {6}, pages = {1053--1066}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2204909}, doi = {10.1109/TVLSI.2012.2204909}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/FengLJZX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/WeldezionGPJT13, author = {Awet Yemane Weldezion and Matt Grange and Dinesh Pamunuwa and Axel Jantsch and Hannu Tenhunen}, title = {A scalable multi-dimensional NoC simulation model for diverse spatio-temporal traffic patterns}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/3DIC.2013.6702365}, doi = {10.1109/3DIC.2013.6702365}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dic/WeldezionGPJT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LiuJL13, author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu}, title = {Analysis and Evaluation of Circuit Switched NoC and Packet Switched NoC}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {21--28}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.13}, doi = {10.1109/DSD.2013.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LiuJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/ZhangYYZLJ13, author = {Jiajie Zhang and Zheng Yu and Zhiyi Yu and Kexin Zhang and Zhonghai Lu and Axel Jantsch}, editor = {Jari Nurmi and Peeter Ellervee and Leandro Soares Indrusiak and Olli Vainio and Sarang Thombre and Jussi Raasakka}, title = {Efficient distributed memory management in a multi-core {H.264} decoder on {FPGA}}, booktitle = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere, Finland, October 23-24, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSoC.2013.6675256}, doi = {10.1109/ISSOC.2013.6675256}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/issoc/ZhangYYZLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/EjazJ13, author = {Ahsen Ejaz and Axel Jantsch}, editor = {Maurizio Palesi and Terrence S. T. Mak and Masoud Daneshtalab}, title = {Costs and benefits of flexibility in spatial division circuit switched networks-on-chip}, booktitle = {Network on Chip Architectures, NoCArc '13, in conjunction with the 46th Annual {IEEE/ACM} International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7, 2013}, pages = {41--46}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2536522.2536526}, doi = {10.1145/2536522.2536526}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/EjazJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuLLJF12, author = {Wenmin Hu and Hengzhu Liu and Zhonghai Lu and Axel Jantsch and Guitao Fu}, title = {Self-selection pseudo- circuit: a clever crossbar pre-allocation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {558--564}, year = {2012}, url = {https://doi.org/10.1587/elex.9.558}, doi = {10.1587/ELEX.9.558}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuLLJF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/FengLJZY12, author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Minxuan Zhang and Xianju Yang}, title = {Support Efficient and Fault-Tolerant Multicast in Bufferless Network-on-Chip}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {95-D}, number = {4}, pages = {1052--1061}, year = {2012}, url = {https://doi.org/10.1587/transinf.E95.D.1052}, doi = {10.1587/TRANSINF.E95.D.1052}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/FengLJZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/FengLJZ12, author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Minxuan Zhang}, title = {A 1-Cycle 1.25 GHz Bufferless Router for 3D Network-on-Chip}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {95-D}, number = {5}, pages = {1519--1522}, year = {2012}, url = {https://doi.org/10.1587/transinf.E95.D.1519}, doi = {10.1587/TRANSINF.E95.D.1519}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/FengLJZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/SheLJZZ12, author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou and Li{-}Rong Zheng}, title = {Performance Analysis of Flow-Based Traffic Splitting Strategy on Cluster-Mesh Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {8}, year = {2012}, url = {https://doi.org/10.1155/2012/232937}, doi = {10.1155/2012/232937}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/SheLJZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/LiuLKJ12, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch}, title = {A Survey of {FPGA} Dynamic Reconfiguration Design Methodology and Applications}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {3}, number = {2}, pages = {23--39}, year = {2012}, url = {https://doi.org/10.4018/jertcs.2012040102}, doi = {10.4018/JERTCS.2012040102}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/LiuLKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/HuLLJ12, author = {Wenmin Hu and Zhonghai Lu and Hengzhu Liu and Axel Jantsch}, title = {{TPSS:} {A} Flexible Hardware Support for Unicast and Multicast on Network-on-Chip}, journal = {J. Comput.}, volume = {7}, number = {7}, pages = {1743--1752}, year = {2012}, url = {http://www.jcomputers.us/index.php?m=content\&\#38;c=index\&\#38;a=show\&\#38;catid=121\&\#38;id=2272}, doi = {10.4304/JCP.7.7.1743-1752}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/HuLLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ZhuSJ12, author = {Jun Zhu and Ingo Sander and Axel Jantsch}, title = {Performance Analysis of Reconfigurations in Adaptive Real-Time Streaming Applications}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {11}, number = {{S1}}, pages = {12}, year = {2012}, url = {https://doi.org/10.1145/2180887.2180888}, doi = {10.1145/2180887.2180888}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/ZhuSJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/KiasariJBBL12, author = {Abbas Eslami Kiasari and Axel Jantsch and Marco Bekooij and Alan Burns and Zhonghai Lu}, editor = {Ahmed Jerraya and Luca P. Carloni and Vincent John Mooney III and Rodric M. Rabbah}, title = {Analytical approaches for performance evaluation of networks-on-chip}, booktitle = {Proceedings of the 15th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2012, part of the Eighth Embedded Systems Week, ESWeek 2012, Tampere, Finland, October 7-12, 2012}, pages = {211--212}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380403.2380442}, doi = {10.1145/2380403.2380442}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/KiasariJBBL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JafariJL12, author = {Fahimeh Jafari and Axel Jantsch and Zhonghai Lu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Worst-case delay analysis of Variable Bit-Rate flows in network-on-chip with aggregate scheduling}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {538--541}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176457}, doi = {10.1109/DATE.2012.6176457}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JafariJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuJL12, author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Parallel probing: Dynamic and constant time setup procedure in circuit switching NoC}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1289--1294}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176691}, doi = {10.1109/DATE.2012.6176691}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/NaeemJL12, author = {Abdul Naeem and Axel Jantsch and Zhonghai Lu}, title = {Architecture Support and Comparison of Three Memory Consistency Models in NoC Based Systems}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {304--311}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.27}, doi = {10.1109/DSD.2012.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/NaeemJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/NaeemJL12, author = {Abdul Naeem and Axel Jantsch and Zhonghai Lu}, title = {Scalability analysis of release and sequential consistency models in NoC based multicore systems}, booktitle = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere, Finland, October 10-12, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSoC.2012.6376350}, doi = {10.1109/ISSOC.2012.6376350}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issoc/NaeemJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/SheLJ12, author = {Huimin She and Zhonghai Lu and Axel Jantsch}, title = {System-level evaluation of sensor networks deployment strategies: Coverage, lifetime and cost}, booktitle = {8th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2012, Limassol, Cyprus, August 27-31, 2012}, pages = {549--554}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IWCMC.2012.6314263}, doi = {10.1109/IWCMC.2012.6314263}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/SheLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/peccs/JafriGJPHT12, author = {Syed M. A. H. Jafri and Liang Guang and Axel Jantsch and Kolin Paul and Ahmed Hemani and Hannu Tenhunen}, editor = {C{\'{e}}sar Benavente{-}Peces and Falah H. Ali and Joaquim Filipe}, title = {Self-adaptive Noc Power Management with Dual-level Agents - Architecture and Implementation}, booktitle = {{PECCS} 2012 - Proceedings of the 2nd International Conference on Pervasive Embedded Computing and Communication Systems, Rome, Italy, 24-26 February, 2012}, pages = {450--458}, publisher = {SciTePress}, year = {2012}, timestamp = {Sun, 06 May 2012 14:26:10 +0200}, biburl = {https://dblp.org/rec/conf/peccs/JafriGJPHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/LiuKLYRLJWXJ11, author = {Ming Liu and Wolfgang Kuehn and S. Lange and Shuo Yang and J. Roskoss and Zhonghai Lu and Axel Jantsch and Qiang Wang and Hao Xu and Dapeng Jin}, title = {A High-End Reconfigurable Computation Platform for Nuclear and Particle Physics Experiments}, journal = {Comput. Sci. Eng.}, volume = {13}, number = {2}, pages = {52--63}, year = {2011}, url = {https://doi.org/10.1109/MCSE.2010.117}, doi = {10.1109/MCSE.2010.117}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/LiuKLYRLJWXJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LiuLKJ11, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch}, title = {FPGA-Based Particle Recognition in the {HADES} Experiment}, journal = {{IEEE} Des. Test Comput.}, volume = {28}, number = {4}, pages = {48--57}, year = {2011}, url = {https://doi.org/10.1109/MDT.2011.82}, doi = {10.1109/MDT.2011.82}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LiuLKJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/AnagnostopoulosXBLSJ11, author = {Iraklis Anagnostopoulos and Sotirios Xydis and Alexandros Bartzas and Zhonghai Lu and Dimitrios Soudris and Axel Jantsch}, title = {Custom Microcoded Dynamic Memory Management for Distributed On-Chip Memory Organizations}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {3}, number = {2}, pages = {66--69}, year = {2011}, url = {https://doi.org/10.1109/LES.2011.2146228}, doi = {10.1109/LES.2011.2146228}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/AnagnostopoulosXBLSJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenLJCL11, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Hai Liu}, title = {Cooperative communication based barrier synchronization in on-chip mesh architectures}, journal = {{IEICE} Electron. Express}, volume = {8}, number = {22}, pages = {1856--1862}, year = {2011}, url = {https://doi.org/10.1587/elex.8.1856}, doi = {10.1587/ELEX.8.1856}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenLJCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/ChenCLJ11, author = {Xiaowen Chen and Shuming Chen and Zhonghai Lu and Axel Jantsch}, title = {Hybrid Distributed Shared Memory Space in Multi-core Processors}, journal = {J. Softw.}, volume = {6}, number = {12}, pages = {2369--2378}, year = {2011}, url = {https://doi.org/10.4304/jsw.6.12.2369-2378}, doi = {10.4304/JSW.6.12.2369-2378}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsw/ChenCLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/LiuLKJ11, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch}, editor = {Andreas Koch and Ram Krishnamurthy and John McAllister and Roger F. Woods and Tarek A. El{-}Ghazawi}, title = {FPGA-Based Cherenkov Ring Recognition in Nuclear and Particle Physics Experiments}, booktitle = {Reconfigurable Computing: Architectures, Tools and Applications - 7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6578}, pages = {169--180}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19475-7\_19}, doi = {10.1007/978-3-642-19475-7\_19}, timestamp = {Fri, 25 Feb 2022 16:33:50 +0100}, biburl = {https://dblp.org/rec/conf/arc/LiuLKJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/FengLLJZ11, author = {Chaochao Feng and Jinwen Li and Zhonghai Lu and Axel Jantsch and Minxuan Zhang}, title = {Evaluation of deflection routing on various NoC topologies}, booktitle = {2011 {IEEE} 9th International Conference on ASIC, {ASICON} 2011, Xiamen, China, October 25-28, 2011}, pages = {163--166}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASICON.2011.6157147}, doi = {10.1109/ASICON.2011.6157147}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/FengLLJZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NaeemCLJ11, author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch}, title = {Realization and performance comparison of sequential and weak memory consistency models in network-on-chip based multi-core systems}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {154--159}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722176}, doi = {10.1109/ASPDAC.2011.5722176}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/NaeemCLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuLJL11, author = {Wenmin Hu and Zhonghai Lu and Axel Jantsch and Hengzhu Liu}, title = {Power-efficient tree-based multicast support for Networks-on-Chip}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {363--368}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722214}, doi = {10.1109/ASPDAC.2011.5722214}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuLJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/NaeemJCL11, author = {Abdul Naeem and Axel Jantsch and Xiaowen Chen and Zhonghai Lu}, title = {Realization and Scalability of Release and Protected Release Consistency Models in NoC Based Systems}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {47--54}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.11}, doi = {10.1109/DSD.2011.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/NaeemJCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GrangeJWP11, author = {Matthew Grange and Axel Jantsch and Roshan Weerasekera and Dinesh Pamunuwa}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Modeling the computational efficiency of 2-D and 3-D silicon processors for early-chip planning}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {310--317}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105347}, doi = {10.1109/ICCAD.2011.6105347}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GrangeJWP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JafariJL11, author = {Fahimeh Jafari and Axel Jantsch and Zhonghai Lu}, title = {Output process of variable bit-rate flows in on-chip networks based on aggregate scheduling}, booktitle = {{IEEE} 29th International Conference on Computer Design, {ICCD} 2011, Amherst, MA, USA, October 9-12, 2011}, pages = {445--446}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCD.2011.6081442}, doi = {10.1109/ICCD.2011.6081442}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JafariJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/FengZLJLJ11, author = {Chaochao Feng and Minxuan Zhang and Jinwen Li and Jiang Jiang and Zhonghai Lu and Axel Jantsch}, title = {A Low-Overhead Fault-Aware Deflection Routing Algorithm for 3D Network-on-Chip}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2011, 4-6 July 2011, Chennai, India}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISVLSI.2011.42}, doi = {10.1109/ISVLSI.2011.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/FengZLJLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/GrangeWPJW11, author = {Matt Grange and Roshan Weerasekera and Dinesh Pamunuwa and Axel Jantsch and Awet Yemane Weldezion}, editor = {Radu Marculescu and Michael Kishinevsky and Ran Ginosar and Karam S. Chatha}, title = {Optimal network architectures for minimizing average distance in k-ary n-dimensional mesh networks}, booktitle = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011}, pages = {57--64}, publisher = {{ACM/IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1145/1999946.1999956}, doi = {10.1145/1999946.1999956}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/GrangeWPJW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/SheLJZZ11, author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou and Li{-}Rong Zheng}, editor = {Kaveh Pahlavan and Shahrokh Valaee and Elvino Silveira Sousa}, title = {Stochastic coverage in event-driven sensor networks}, booktitle = {{IEEE} 22nd International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2011, Toronto, ON, Canada, September 11-14, 2011}, pages = {915--919}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/PIMRC.2011.6140101}, doi = {10.1109/PIMRC.2011.6140101}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/SheLJZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DeivasigamaniTMIALJ11, author = {Meganathan Deivasigamani and Shaghayeghsadat Tabatabaei and Naveed Ul Mustafa and Hamza Ijaz and Haris Bin Aslam and Shaoteng Liu and Axel Jantsch}, title = {Concept and design of exhaustive-parallel search algorithm for Network-on-Chip}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {150--155}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085123}, doi = {10.1109/SOCC.2011.6085123}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DeivasigamaniTMIALJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/HuLJLZL11, author = {Wenmin Hu and Zhonghai Lu and Axel Jantsch and Hengzhu Liu and Botao Zhang and Dongpei Liu}, title = {Network-on-Chip multicasting with low latency path setup}, booktitle = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011}, pages = {290--295}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VLSISoC.2011.6081594}, doi = {10.1109/VLSISOC.2011.6081594}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/HuLJLZL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/PamunuwaGWJ11, author = {Dinesh Pamunuwa and Matthew Grange and Roshan Weerasekera and Axel Jantsch}, title = {3-D integration and the limits of silicon computation}, booktitle = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011}, pages = {343--348}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VLSISoC.2011.6081605}, doi = {10.1109/VLSISOC.2011.6081605}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/PamunuwaGWJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SheLJZZ11, author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou and Li{-}Rong Zheng}, title = {Modeling and analysis of Rayleigh fading channels using stochastic network calculus}, booktitle = {2011 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2011, Proceedings, Cancun, Mexico, 28-31 March, 2011}, pages = {1056--1061}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/WCNC.2011.5779306}, doi = {10.1109/WCNC.2011.5779306}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/SheLJZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/icas/JantschGP11, author = {Axel Jantsch and Matthew Grange and Dinesh Pamunuwa}, editor = {Abbas Sheibanyrad and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and Axel Jantsch}, title = {The Promises and Limitations of 3-D Integration}, booktitle = {3D Integration for NoC-based SoC Architectures}, series = {Integrated Circuits and Systems}, pages = {27--44}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-7618-5\_2}, doi = {10.1007/978-1-4419-7618-5\_2}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/icas/JantschGP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/icas/2011SPJ, editor = {Abbas Sheibanyrad and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and Axel Jantsch}, title = {3D Integration for NoC-based SoC Architectures}, series = {Integrated Circuits and Systems}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-7618-5}, doi = {10.1007/978-1-4419-7618-5}, isbn = {978-1-4419-7617-8}, timestamp = {Mon, 06 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/icas/2011SPJ.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MarculescuJ10, author = {Radu Marculescu and Axel Jantsch}, title = {Guest Editorial: Special Section on the {ACM/IEEE} Symposium on Networks-on-Chip 2009}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {6}, pages = {853--854}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2048595}, doi = {10.1109/TCAD.2010.2048595}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MarculescuJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JafariLJY10, author = {Fahimeh Jafari and Zhonghai Lu and Axel Jantsch and Mohammad Hossien Yaghmaee}, title = {Buffer Optimization in Network-on-Chip Through Flow Regulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {12}, pages = {1973--1986}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2063130}, doi = {10.1109/TCAD.2010.2063130}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JafariLJY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhuSJ10, author = {Jun Zhu and Ingo Sander and Axel Jantsch}, title = {Constrained global scheduling of streaming applications on MPSoCs}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {223--228}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419892}, doi = {10.1109/ASPDAC.2010.5419892}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhuSJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLJC10, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Supporting Distributed Shared Memory on multi-core Network-on-Chips using a dual microcoded controller}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {39--44}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457240}, doi = {10.1109/DATE.2010.5457240}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenLJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuLKJ10, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {FPGA-based adaptive computing for correlated multi-stream processing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {973--976}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456909}, doi = {10.1109/DATE.2010.5456909}, timestamp = {Wed, 25 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuLKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuSJ10, author = {Jun Zhu and Ingo Sander and Axel Jantsch}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Pareto efficient design for reconfigurable streaming applications on CPU/FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1035--1040}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456962}, doi = {10.1109/DATE.2010.5456962}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuSJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JafariLJY10, author = {Fahimeh Jafari and Zhonghai Lu and Axel Jantsch and Mohammad Hossien Yaghmaee}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Optimal regulation of traffic flows in networks-on-chip}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1621--1624}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457070}, doi = {10.1109/DATE.2010.5457070}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JafariLJY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/HelmyPJ10, author = {Amr Helmy and Laurence Pierre and Axel Jantsch}, editor = {Elena Gramatov{\'{a}} and Zdenek Kot{\'{a}}sek and Andreas Steininger and Heinrich Theodor Vierhaus and Horst Zimmermann}, title = {Theorem proving techniques for the formal verification of NoC communications with non-minimal adaptive routing}, booktitle = {13th {IEEE} International Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2010, Vienna, Austria, April 14-16, 2010}, pages = {221--224}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DDECS.2010.5491781}, doi = {10.1109/DDECS.2010.5491781}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/HelmyPJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/ZhuSJ10, author = {Jun Zhu and Ingo Sander and Axel Jantsch}, editor = {Adam Morawiec and Jinnie Hinderscheit}, title = {HetMoC: Heterogeneous Modelling in SystemC}, booktitle = {Proceedings of the 2010 Forum on specification {\&} Design Languages, {FDL} 2010, September 14-16, 2010, Southampton, {UK}}, pages = {117--122}, publisher = {ECSI, Electronic Chips {\&} Systems design Initiative}, year = {2010}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/ZhuSJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaeemCLJ10, author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch}, title = {Scalability of weak consistency in NoC based multicore architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3497--3500}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537833}, doi = {10.1109/ISCAS.2010.5537833}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaeemCLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LiuLKJ10, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch}, title = {Inter-process Communication Using Pipes in FPGA-Based Adaptive Computing}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {80--85}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.103}, doi = {10.1109/ISVLSI.2010.103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LiuLKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/CandaeleASAXBBS10, author = {Bernard Candaele and Sylvain Aguirre and Michel Sarlotte and Iraklis Anagnostopoulos and Sotirios Xydis and Alexandros Bartzas and Dimitris Bekiaris and Dimitrios Soudris and Zhonghai Lu and Xiaowen Chen and Jean{-}Michel Chabloz and Ahmed Hemani and Axel Jantsch and Geert Vanmeerbeeck and Jari Kreku and Kari Tiensyrj{\"{a}} and Fragkiskos Ieromnimon and Dimitrios Kritharidis and Andreas Wiefrink and Bart Vanthournout and Philippe Martin}, editor = {Nikolaos S. Voros and Amar Mukherjee and Nicolas Sklavos and Konstantinos Masselos and Michael H{\"{u}}bner}, title = {The {MOSART} Mapping Optimization for Multi-Core ARchiTectures}, booktitle = {{VLSI} 2010 Annual Symposium - Selected papers}, series = {Lecture Notes in Electrical Engineering}, volume = {105}, pages = {181--195}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-94-007-1488-5\_11}, doi = {10.1007/978-94-007-1488-5\_11}, timestamp = {Thu, 20 Jan 2022 09:49:09 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/CandaeleASAXBBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChenLJCLW10, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen and Jianzhuang Lu and Hucheng Wu}, title = {Supporting Efficient Synchronization in Multi-core NoCs Using Dynamic Buffer Allocation Technique}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {462--463}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.16}, doi = {10.1109/ISVLSI.2010.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChenLJCLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/CandaeleASAXBBSLCCHJVKTIKWVM10, author = {Bernard Candaele and Sylvain Aguirre and Michel Sarlotte and Iraklis Anagnostopoulos and Sotirios Xydis and Alexandros Bartzas and Dimitris Bekiaris and Dimitrios Soudris and Zhonghai Lu and Xiaowen Chen and Jean{-}Michel Chabloz and Ahmed Hemani and Axel Jantsch and Geert Vanmeerbeeck and Jari Kreku and Kari Tiensyrj{\"{a}} and Fragkiskos Ieromnimon and Dimitrios Kritharidis and Andreas Wiefrink and Bart Vanthournout and Philippe Martin}, title = {Mapping Optimisation for Scalable Multi-core ARchiTecture: The {MOSART} Approach}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {518--523}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.71}, doi = {10.1109/ISVLSI.2010.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/CandaeleASAXBBSLCCHJVKTIKWVM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FengLJLZ10, author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Jinwen Li and Minxuan Zhang}, editor = {Maurizio Palesi and Shashi Kumar and Zhonghai Lu and {\"{U}}mit Y. Ogras}, title = {A reconfigurable fault-tolerant deflection routing algorithm based on reinforcement learning for network-on-chip}, booktitle = {Third International Workshop on Network on Chip Architectures, NoCArc'10, Atlanta, GA, USA, December 4, 2010}, pages = {11--16}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1921249.1921254}, doi = {10.1145/1921249.1921254}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/FengLJLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KiasariJL10, author = {Abbas Eslami Kiasari and Axel Jantsch and Zhonghai Lu}, editor = {Maurizio Palesi and Shashi Kumar and Zhonghai Lu and {\"{U}}mit Y. Ogras}, title = {A framework for designing congestion-aware deterministic routing}, booktitle = {Third International Workshop on Network on Chip Architectures, NoCArc'10, Atlanta, GA, USA, December 4, 2010}, pages = {45--50}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1921249.1921261}, doi = {10.1145/1921249.1921261}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KiasariJL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/ChenJ10, author = {Zhipeng Chen and Axel Jantsch}, editor = {Chen Ding and Zhiyuan Shao and Ran Zheng}, title = {A Worst Case Performance Model for {TDM} Virtual Circuit in NoCs}, booktitle = {Network and Parallel Computing, {IFIP} International Conference, {NPC} 2010, Zhengzhou, China, September 13-15, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6289}, pages = {452--461}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15672-4\_38}, doi = {10.1007/978-3-642-15672-4\_38}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/npc/ChenJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paap/ChenLJC10, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen}, title = {Run-Time Partitioning of Hybrid Distributed Shared Memory on Multi-core Network-on-Chips}, booktitle = {Third International Symposium on Parallel Architectures, Algorithms and Programming, {PAAP} 2010, Dalian, China, 18-20 December, 2010}, pages = {39--46}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PAAP.2010.21}, doi = {10.1109/PAAP.2010.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/paap/ChenLJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/LiuLKJ10, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Axel Jantsch}, editor = {Michael H{\"{u}}bner and Lo{\"{\i}}c Lagadec and Oliver Sander and J{\"{u}}rgen Becker}, title = {Reducing {FPGA} Reconfiguration Time Overhead using Virtual Configurations}, booktitle = {Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip, ReCoSoC 2010, Karlsruhe, Germany, May 17-19, 2010}, series = {{KIT} Scientific Reports}, volume = {7551}, pages = {149--152}, publisher = {{KIT} Scientific Publishing}, year = {2010}, timestamp = {Thu, 29 Apr 2021 08:19:40 +0200}, biburl = {https://dblp.org/rec/conf/recosoc/LiuLKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/FengLJLZ10, author = {Chaochao Feng and Zhonghai Lu and Axel Jantsch and Jinwen Li and Minxuan Zhang}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {FoN: Fault-on-Neighbor aware routing algorithm for Networks-on-Chip}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {441--446}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784672}, doi = {10.1109/SOCC.2010.5784672}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/FengLJLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenLJC10, author = {Xiaowen Chen and Zhonghai Lu and Axel Jantsch and Shuming Chen}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Handling shared variable synchronization in multi-core Network-on-Chips with distributed memory}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {467--472}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784680}, doi = {10.1109/SOCC.2010.5784680}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenLJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/NaeemCLJ09, author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch}, title = {Scalability of relaxed consistency models in NoC based multicore architectures}, journal = {{SIGARCH} Comput. Archit. News}, volume = {37}, number = {5}, pages = {8--15}, year = {2009}, url = {https://doi.org/10.1145/1755235.1755238}, doi = {10.1145/1755235.1755238}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/NaeemCLJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/GrangeWPWLJS09, author = {Matt Grange and Awet Yemane Weldezion and Dinesh Pamunuwa and Roshan Weerasekera and Zhonghai Lu and Axel Jantsch and Dave Shippen}, title = {Physical mapping and performance study of a multi-clock 3-Dimensional Network-on-Chip mesh}, booktitle = {{IEEE} International Conference on 3D System Integration, 3DIC 2009, San Francisco, California, USA, 28-30 September 2009}, pages = {1--7}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/3DIC.2009.5306540}, doi = {10.1109/3DIC.2009.5306540}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/GrangeWPWLJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuMJBWH09, author = {Zhonghai Lu and Mikael Millberg and Axel Jantsch and Alistair C. Bruce and Pieter van der Wolf and Tomas Henriksson}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Flow regulation for on-chip communication}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {578--581}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090731}, doi = {10.1109/DATE.2009.5090731}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LuMJBWH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MillbergJ09, author = {Mikael Millberg and Axel Jantsch}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Priority based forced requeue to reduce worst-case latencies for bursty traffic}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1070--1075}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090823}, doi = {10.1109/DATE.2009.5090823}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MillbergJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuSJ09, author = {Jun Zhu and Ingo Sander and Axel Jantsch}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Buffer minimization of real-time streaming applications scheduling on hybrid {CPU/FPGA} architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1506--1511}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090901}, doi = {10.1109/DATE.2009.5090901}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuSJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/LiuKLJ09, author = {Ming Liu and Wolfgang Kuehn and Zhonghai Lu and Axel Jantsch}, editor = {Martin Danek and Jiri Kadlec and Brent E. Nelson}, title = {Run-time Partial Reconfiguration speed investigation and architectural design space exploration}, booktitle = {19th International Conference on Field Programmable Logic and Applications, {FPL} 2009, August 31 - September 2, 2009, Prague, Czech Republic}, pages = {498--502}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/FPL.2009.5272463}, doi = {10.1109/FPL.2009.5272463}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/LiuKLJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SanderZJHHN09, author = {Ingo Sander and Jun Zhu and Axel Jantsch and Andreas Herrholz and Philipp A. Hartmann and Wolfgang Nebel}, title = {High-level estimation and trade-off analysis for adaptive real-time systems}, booktitle = {23rd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2009, Rome, Italy, May 23-29, 2009}, pages = {1--4}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IPDPS.2009.5161208}, doi = {10.1109/IPDPS.2009.5161208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SanderZJHHN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/WeldezionGPLJWT09, author = {Awet Yemane Weldezion and Matt Grange and Dinesh Pamunuwa and Zhonghai Lu and Axel Jantsch and Roshan Weerasekera and Hannu Tenhunen}, title = {Scalability of network-on-chip communication architecture for 3-D meshes}, booktitle = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May 10-13 2009, La Jolla, CA, {USA.} Proceedings}, pages = {114--123}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NOCS.2009.5071459}, doi = {10.1109/NOCS.2009.5071459}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/WeldezionGPLJWT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/LiuLKYJ09, author = {Ming Liu and Zhonghai Lu and Wolfgang Kuehn and Shuo Yang and Axel Jantsch}, editor = {Viktor K. Prasanna and Lionel Torres and Ren{\'{e}} Cumplido}, title = {A Reconfigurable Design Framework for {FPGA} Adaptive Computing}, booktitle = {ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings}, pages = {439--444}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ReConFig.2009.39}, doi = {10.1109/RECONFIG.2009.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/LiuLKYJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LuBJ09, author = {Zhonghai Lu and Dimitris Brachos and Axel Jantsch}, title = {A flow regulator for On-Chip Communication}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2009, September 9-11, 2009, Belfast, Northern Ireland, UK, Proceedings}, pages = {151--154}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCCON.2009.5398072}, doi = {10.1109/SOCCON.2009.5398072}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/LuBJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/SheLJZZ09, author = {Huimin She and Zhonghai Lu and Axel Jantsch and Dian Zhou and Li{-}Rong Zheng}, title = {Analytical Evaluation of Retransmission Schemes in Wireless Sensor Networks}, booktitle = {Proceedings of the 69th {IEEE} Vehicular Technology Conference, {VTC} Spring 2009, 26-29 April 2009, Hilton Diagonal Mar, Barcelona, Spain}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECS.2009.5073401}, doi = {10.1109/VETECS.2009.5073401}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/SheLJZZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/zurawski2009/Jantsch09, author = {Axel Jantsch}, editor = {Richard Zurawski}, title = {Models of Embedded Computation for Distributed Embedded Systems}, booktitle = {Embedded Systems Design and Verification - Volume 1 of the Embedded Systems Handbook}, pages = {3}, publisher = {{CRC} Press}, year = {2009}, url = {https://doi.org/10.1201/9781439807637.ch3}, doi = {10.1201/9781439807637.CH3}, timestamp = {Tue, 16 May 2017 14:01:47 +0200}, biburl = {https://dblp.org/rec/books/crc/zurawski2009/Jantsch09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/MathaikuttyPSJ08, author = {Deepak Mathaikutty and Hiren D. Patel and Sandeep K. Shukla and Axel Jantsch}, title = {SML-Sys: a functional framework with multiple models of computation for modeling heterogeneous system}, journal = {Des. Autom. Embed. Syst.}, volume = {12}, number = {1-2}, pages = {1--30}, year = {2008}, url = {https://doi.org/10.1007/s10617-008-9012-4}, doi = {10.1007/S10617-008-9012-4}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/MathaikuttyPSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/GrimmJSV08, author = {Christoph Grimm and Axel Jantsch and Sandeep Kumar Shukla and Eugenio Villar}, title = {C-Based Design of Heterogeneous Embedded Systems}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2008}, year = {2008}, url = {https://doi.org/10.1155/2008/243890}, doi = {10.1155/2008/243890}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejes/GrimmJSV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fuin/SeceleanuJ08, author = {Tiberiu Seceleanu and Axel Jantsch}, title = {Modeling Communication with Synchronized Environments}, journal = {Fundam. Informaticae}, volume = {86}, number = {3}, pages = {343--369}, year = {2008}, url = {http://content.iospress.com/articles/fundamenta-informaticae/fi86-3-06}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fuin/SeceleanuJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/VitkovskiJLHN08, author = {Arseni Vitkovski and Axel Jantsch and Robert Lauter and Raimo Haukilahti and Erland Nilsson}, title = {Low-power and error protection coding for network-on-chip traffic}, journal = {{IET} Comput. Digit. Tech.}, volume = {2}, number = {6}, pages = {483--492}, year = {2008}, url = {https://doi.org/10.1049/iet-cdt:20050060}, doi = {10.1049/IET-CDT:20050060}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/VitkovskiJLHN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RaudvereSJ08, author = {Tarvo Raudvere and Ingo Sander and Axel Jantsch}, title = {Application and Verification of Local Nonsemantic-Preserving Transformations in System Design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {6}, pages = {1091--1103}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.923249}, doi = {10.1109/TCAD.2008.923249}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RaudvereSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KhatibPBBBKJN08, author = {Iyad Al Khatib and Francesco Poletti and Davide Bertozzi and Luca Benini and Mohamed Bechara and Hasan Khalifeh and Axel Jantsch and Rustam Nabiev}, title = {A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: {ECG} prototype architectural design space exploration}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {2}, pages = {31:1--31:21}, year = {2008}, url = {https://doi.org/10.1145/1344418.1344427}, doi = {10.1145/1344418.1344427}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/KhatibPBBBKJN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LuJ08, author = {Zhonghai Lu and Axel Jantsch}, title = {{TDM} Virtual-Circuit Configuration for Network-on-Chip}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {8}, pages = {1021--1034}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000673}, doi = {10.1109/TVLSI.2008.2000673}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LuJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VillarJGK08, author = {Eugenio Villar and Axel Jantsch and Christoph Grimm and Tim Kogel}, editor = {Donatella Sciuto}, title = {Heterogeneous System-level Specification Using SystemC}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484641}, doi = {10.1109/DATE.2008.4484641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VillarJGK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/LuXJ08, author = {Zhonghai Lu and Lei Xia and Axel Jantsch}, editor = {Bernd Straube and Milos Drutarovsk{\'{y}} and Michel Renovell and Peter Gramata and M{\'{a}}ria Fischerov{\'{a}}}, title = {Cluster-based Simulated Annealing for Mapping Cores onto 2D Mesh Networks on Chip}, booktitle = {Proceedings of the 11th {IEEE} Workshop on Design {\&} Diagnostics of Electronic Circuits {\&} Systems {(DDECS} 2008), Bratislava, Slovakia, April 16-18, 2008}, pages = {92--97}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DDECS.2008.4538763}, doi = {10.1109/DDECS.2008.4538763}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/LuXJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LiuKLJ08, author = {Ming Liu and Wolfgang Kuehn and Zhonghai Lu and Axel Jantsch}, editor = {Luca Fanucci}, title = {System-on-an-FPGA Design for Real-time Particle Track Recognition and Reconstruction in Physics Experiments}, booktitle = {11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, {DSD} 2008, Parma, Italy, September 3-5, 2008}, pages = {599--605}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DSD.2008.97}, doi = {10.1109/DSD.2008.97}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LiuKLJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/ZhuSJ08, author = {Jun Zhu and Ingo Sander and Axel Jantsch}, editor = {Luca de Alfaro and Jens Palsberg}, title = {Energy efficient streaming applications with guaranteed throughput on MPSoCs}, booktitle = {Proceedings of the 8th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {119--128}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1450058.1450075}, doi = {10.1145/1450058.1450075}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/ZhuSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/ZhuSJ08, author = {Jun Zhu and Ingo Sander and Axel Jantsch}, editor = {Petru Eles and Andy D. Pimentel}, title = {Performance analysis of reconfiguration in adaptive real-time streaming applications}, booktitle = {Proceedings of the 6th {IEEE/ACM/IFIP} Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2008, Atlanta, Georgia, USA, 23-24 October 2008}, pages = {53--58}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ESTMED.2008.4696995}, doi = {10.1109/ESTMED.2008.4696995}, timestamp = {Thu, 17 Feb 2022 09:36:04 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/ZhuSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/LiuLYPKXJWLLLJ08, author = {Ming Liu and Johannes Lang and Shuo Yang and Tiago Perez and Wolfgang Kuehn and Hao Xu and Dapeng Jin and Qiang Wang and Lu Li and Zhen'An Liu and Zhonghai Lu and Axel Jantsch}, title = {ATCA-based computation platform for data acquisition and triggering in particle physics experiments}, booktitle = {{FPL} 2008, International Conference on Field Programmable Logic and Applications, Heidelberg, Germany, 8-10 September 2008}, pages = {287--292}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPL.2008.4629946}, doi = {10.1109/FPL.2008.4629946}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/LiuLYPKXJWLLLJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarinissenJN07, author = {Erik Jan Marinissen and Axel Jantsch and Nicola Nicolici}, title = {{DATE} 07 workshop on diagnostic services in NoCs}, journal = {{IEEE} Des. Test Comput.}, volume = {24}, number = {5}, pages = {510}, year = {2007}, url = {https://doi.org/10.1109/MDT.2007.162}, doi = {10.1109/MDT.2007.162}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/MarinissenJN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/LuJ07, author = {Zhonghai Lu and Axel Jantsch}, title = {Admitting and ejecting flits in wormhole-switched networks on chip}, journal = {{IET} Comput. Digit. Tech.}, volume = {1}, number = {5}, pages = {546--556}, year = {2007}, url = {https://doi.org/10.1049/iet-cdt:20050068}, doi = {10.1049/IET-CDT:20050068}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/LuJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thipeac/KhatibBPBJBKHNJ07, author = {Iyad Al Khatib and Davide Bertozzi and Francesco Poletti and Luca Benini and Axel Jantsch and Mohamed Bechara and Hasan Khalifeh and Mazen Hajjar and Rustam Nabiev and Sven Jonsson}, title = {Hardware/Software Architecture for Real-Time {ECG} Monitoring and Analysis Leveraging MPSoC Technology}, journal = {Trans. High Perform. Embed. Archit. Compil.}, volume = {1}, pages = {239--258}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-71528-3\_16}, doi = {10.1007/978-3-540-71528-3\_16}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thipeac/KhatibBPBJBKHNJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MathaikuttyPSJ07, author = {Deepak Mathaikutty and Hiren D. Patel and Sandeep K. Shukla and Axel Jantsch}, title = {{EWD:} {A} metamodeling driven customizable multi-MoC system modeling framework}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {12}, number = {3}, pages = {33:1--33:43}, year = {2007}, url = {https://doi.org/10.1145/1255456.1255470}, doi = {10.1145/1255456.1255470}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/MathaikuttyPSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/RaudvereSJ07, author = {Tarvo Raudvere and Ingo Sander and Axel Jantsch}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Synchronization after design refinements with sensitive delay elements}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {21--26}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289826}, doi = {10.1145/1289816.1289826}, timestamp = {Sat, 05 Sep 2020 18:08:48 +0200}, biburl = {https://dblp.org/rec/conf/codes/RaudvereSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/KhatibBJB07, author = {Iyad Al Khatib and Davide Bertozzi and Axel Jantsch and Luca Benini}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Performance analysis and design space exploration for high-end biomedical applications: challenges and solutions}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {217--226}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289870}, doi = {10.1145/1289816.1289870}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/KhatibBJB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuLJ07, author = {Zhonghai Lu and Ming Liu and Axel Jantsch}, title = {Layered Switching for Networks on Chip}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {122--127}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278511}, doi = {10.1145/1278480.1278511}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LuLJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MillbergJ07, author = {Mikael Millberg and Axel Jantsch}, title = {Increasing NoC Performance and Utilisation using a Dual Packet Exit Strategy}, booktitle = {Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck, Germany}, pages = {511--518}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DSD.2007.4341516}, doi = {10.1109/DSD.2007.4341516}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/dsd/MillbergJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/HenrikssonWJB07, author = {Tomas Henriksson and Pieter van der Wolf and Axel Jantsch and Alistair C. Bruce}, editor = {Samarjit Chakraborty and Petru Eles}, title = {Network Calculus Applied to Verification of Memory Access Performance in SoCs}, booktitle = {Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2007, October 4-5, Salzburg, Austria, conjunction with {CODES+ISSS} 2007}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ESTMED.2007.4375796}, doi = {10.1109/ESTMED.2007.4375796}, timestamp = {Thu, 17 Feb 2022 09:36:05 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/HenrikssonWJB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/SheLJZZ07, author = {Huimin She and Zhonghai Lu and Axel Jantsch and Li{-}Rong Zheng and Dian Zhou}, title = {Traffic Splitting with Network Calculus for Mesh Sensor Networks}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {368--373}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/FGCN.2007.220}, doi = {10.1109/FGCN.2007.220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/SheLJZZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/HerrholzOHSNGDHBHVSJFM07, author = {Andreas Herrholz and Frank Oppenheimer and Philipp A. Hartmann and Andreas Schallenberg and Wolfgang Nebel and Christoph Grimm and Markus Damm and Jan Haase and Florian Brame and Fernando Herrera and Eugenio Villar and Ingo Sander and Axel Jantsch and Anne{-}Marie Fouilliart and Marcos Mart{\'{\i}}nez}, editor = {Koen Bertels and Walid A. Najjar and Arjan J. van Genderen and Stamatis Vassiliadis}, title = {The {ANDRES} Project: Analysis and Design of Run-Time Reconfigurable, Heterogeneous Systems}, booktitle = {{FPL} 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007}, pages = {396--401}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPL.2007.4380679}, doi = {10.1109/FPL.2007.4380679}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/HerrholzOHSNGDHBHVSJFM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuKLJYPL07, author = {Ming Liu and Wolfgang Kuehn and Zhonghai Lu and Axel Jantsch and Shuo Yang and Tiago Perez and Zhen'An Liu}, editor = {Hideharu Amano and Andy Ye and Takeshi Ikenaga}, title = {Hardware/Software Co-design of a General-Purpose Computation Platform in Particle Physics}, booktitle = {2007 International Conference on Field-Programmable Technology, {ICFPT} 2007, Kitakyushu, Japan, December 12-14, 2007}, pages = {177--183}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPT.2007.4439247}, doi = {10.1109/FPT.2007.4439247}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuKLJYPL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RaudvereSJ07, author = {Tarvo Raudvere and Ingo Sander and Axel Jantsch}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {A synchronization algorithm for local temporal refinements in perfectly synchronous models with nested feedback loops}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {353--358}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228869}, doi = {10.1145/1228784.1228869}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/RaudvereSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuJ07, author = {Zhonghai Lu and Axel Jantsch}, editor = {Georges G. E. Gielen}, title = {Slot allocation using logical networks for {TDM} virtual-circuit configuration for network-on-chip}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {18--25}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397238}, doi = {10.1109/ICCAD.2007.4397238}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/GrecuIPJSOM07, author = {Cristian Grecu and Andr{\'{e}} Ivanov and Partha Pratim Pande and Axel Jantsch and Erno Salminen and {\"{U}}mit Y. Ogras and Radu Marculescu}, title = {Towards Open Network-on-Chip Benchmarks}, booktitle = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}, pages = {205}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NOCS.2007.44}, doi = {10.1109/NOCS.2007.44}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/GrecuIPJSOM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/BadlundJ07, author = {Per Badlund and Axel Jantsch}, title = {An Analytical Approach for Dimensioning Mixed Traffic Networks}, booktitle = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}, pages = {215}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NOCS.2007.9}, doi = {10.1109/NOCS.2007.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/BadlundJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/MillbergJ07, author = {Mikael Millberg and Axel Jantsch}, title = {A Study of NoC Exit Strategies}, booktitle = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}, pages = {217}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NOCS.2007.7}, doi = {10.1109/NOCS.2007.7}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/MillbergJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/LuSSJ07, author = {Zhonghai Lu and Jonas Sicking and Ingo Sander and Axel Jantsch}, title = {Using Synchronizers for Refining Synchronous Communication onto Hardware/Software Architectures}, booktitle = {18th {IEEE} International Workshop on Rapid System Prototyping {(RSP} 2007), 28-30 May 2007, Porto Alegre, RS, Brazil}, pages = {143--149}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/RSP.2007.38}, doi = {10.1109/RSP.2007.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/LuSSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/SanderJ08, author = {Ingo Sander and Axel Jantsch}, editor = {Arnd Poetzsch{-}Heffter and Klaus Schneider}, title = {Modelling Adaptive Systems in ForSyDe}, booktitle = {Proceedings of the First Workshop on Verification of Adaptive Systems, VerAS@TPHOLs 2007, Kaiserslautern, Germany, September 14, 2007}, series = {Electronic Notes in Theoretical Computer Science}, volume = {200}, number = {2}, pages = {39--54}, publisher = {Elsevier}, year = {2007}, url = {https://doi.org/10.1016/j.entcs.2008.02.011}, doi = {10.1016/J.ENTCS.2008.02.011}, timestamp = {Mon, 13 Feb 2023 11:03:34 +0100}, biburl = {https://dblp.org/rec/journals/entcs/SanderJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/SeceleanuJ06, author = {Tiberiu Seceleanu and Axel Jantsch}, title = {Communicating with Synchronized Environments}, booktitle = {Sixth International Conference on Application of Concurrency to System Design {(ACSD} 2006), 28-30 June 2006, Turku, Finland}, pages = {15--24}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ACSD.2006.3}, doi = {10.1109/ACSD.2006.3}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/SeceleanuJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/Jantsch06, author = {Axel Jantsch}, title = {Models of Computation for Networks on Chip}, booktitle = {Sixth International Conference on Application of Concurrency to System Design {(ACSD} 2006), 28-30 June 2006, Turku, Finland}, pages = {165--178}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ACSD.2006.14}, doi = {10.1109/ACSD.2006.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/Jantsch06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/KhatibBPBJBKHNJ06, author = {Iyad Al Khatib and Davide Bertozzi and Francesco Poletti and Luca Benini and Axel Jantsch and Mohamed Bechara and Hasan Khalifeh and Mazen Hajjar and Rustam Nabiev and Sven Jonsson}, title = {MPSoC {ECG} biochip: a multiprocessor system-on-chip for real-time human heart monitoring and analysis}, booktitle = {Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006}, pages = {21--28}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1128022.1128028}, doi = {10.1145/1128022.1128028}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/KhatibBPBJBKHNJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KhatibPBBBKJN06, author = {Iyad Al Khatib and Francesco Poletti and Davide Bertozzi and Luca Benini and Mohamed Bechara and Hasan Khalifeh and Axel Jantsch and Rustam Nabiev}, editor = {Ellen Sentovich}, title = {A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {125--130}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146947}, doi = {10.1145/1146909.1146947}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KhatibPBBBKJN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LuSJ06, author = {Zhonghai Lu and Ingo Sander and Axel Jantsch}, title = {Towards Performance-Oriented Pattern-Based Refinement of Synchronous Models onto NoC Communication}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {37--44}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.89}, doi = {10.1109/DSD.2006.89}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LuSJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LiangJ06, author = {Guang Liang and Axel Jantsch}, title = {Adaptive Power Management for the On-Chip Communication Network}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {649--656}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.21}, doi = {10.1109/DSD.2006.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LiangJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/PenolazziJ06, author = {Sandro Penolazzi and Axel Jantsch}, title = {A High Level Power Model for the Nostrum NoC}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {673--676}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.9}, doi = {10.1109/DSD.2006.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/PenolazziJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/ThidSJ06, author = {Rikard Thid and Ingo Sander and Axel Jantsch}, title = {Flexible Bus and NoC Performance Analysis with Configurable Synthetic Workloads}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {681--688}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.52}, doi = {10.1109/DSD.2006.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/ThidSJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LuZJ06, author = {Zhonghai Lu and Mingchen Zhong and Axel Jantsch}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {Evaluation of on-chip networks using deflection routing}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {296--301}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1127977}, doi = {10.1145/1127908.1127977}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/LuZJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LuYJ06, author = {Zhonghai Lu and Bei Yin and Axel Jantsch}, title = {Connection-oriented Multicasting in Wormhole-switched Networks on Chip}, booktitle = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2006), 2-3 March 2006, Karlsruhe, Germany}, pages = {205--210}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISVLSI.2006.31}, doi = {10.1109/ISVLSI.2006.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LuYJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/WangJ06, author = {Weixing Wang and Axel Jantsch}, editor = {Seizo Onoe and Mohsen Guizani and Hsiao{-}Hwa Chen and Mamoru Sawahashi}, title = {An algorithm for electing cluster heads based on maximum residual energy}, booktitle = {Proceedings of the International Conference on Wireless Communications and Mobile Computing, {IWCMC} 2006, Vancouver, British Columbia, Canada, July 3-6, 2006}, pages = {1465--1470}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1143549.1143842}, doi = {10.1145/1143549.1143842}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwcmc/WangJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SeceleanuJT06, author = {Tiberiu Seceleanu and Axel Jantsch and Hannu Tenhunen}, title = {On-Chip Distributed Architectures}, booktitle = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September 24-27, 2006}, pages = {329--330}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SOCC.2006.283911}, doi = {10.1109/SOCC.2006.283911}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/SeceleanuJT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuJS05, author = {Zhonghai Lu and Axel Jantsch and Ingo Sander}, editor = {Tingao Tang}, title = {Feasibility analysis of messages for on-chip networks using wormhole routing}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {960--964}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120767}, doi = {10.1145/1120725.1120767}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuJS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/MathaikuttPSJ05, author = {Deepak Mathaikutty and Hiren D. Patel and Sandeep K. Shukla and Axel Jantsch}, title = {Modelling Environment for Heterogeneous Systems based on MoCs}, booktitle = {Forum on specification and Design Languages, {FDL} 2005, September 27-30, 2005, Lausanne, Switzerland, Proceedings}, pages = {291--303}, publisher = {{ECSI}}, year = {2005}, url = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=478}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/MathaikuttPSJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/LuSJ05, author = {Zhonghai Lu and Ingo Sander and Axel Jantsch}, title = {Refinement of Perfectly Synchronous Communication Model}, booktitle = {Forum on specification and Design Languages, {FDL} 2005, September 27-30, 2005, Lausanne, Switzerland, Proceedings}, pages = {453--465}, publisher = {{ECSI}}, year = {2005}, url = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=428}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/LuSJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaudvereSSJ05, author = {Tarvo Raudvere and Ashish Kumar Singh and Ingo Sander and Axel Jantsch}, title = {System level verification of digital signal processing applications based on the polynomial abstraction technique}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {285--290}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560080}, doi = {10.1109/ICCAD.2005.1560080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RaudvereSSJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JantschLV05, author = {Axel Jantsch and Robert Lauter and Arseni Vitkovski}, title = {Power analysis of link level and end-to-end data protection in networks on chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1770--1773}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464951}, doi = {10.1109/ISCAS.2005.1464951}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JantschLV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsoc/LuJ05, author = {Zhonghai Lu and Axel Jantsch}, title = {Traffic Configuration for Evaluating Networks on Chips}, booktitle = {Proceedings of the 5th {IEEE} International Workshop on System-on-Chip for Real-Time Applications {(IWSOC} 2005), 20-24 July 2004, Banff, Alberta, Canada}, pages = {535--540}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IWSOC.2005.107}, doi = {10.1109/IWSOC.2005.107}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsoc/LuJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2005, editor = {Petru Eles and Axel Jantsch and Reinaldo A. Bergamaschi}, title = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1084834}, doi = {10.1145/1084834}, isbn = {1-59593-161-9}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/crc/Jantsch05, author = {Axel Jantsch}, editor = {Richard Zurawski}, title = {Models of Embedded Computation}, booktitle = {Embedded Systems Handbook}, publisher = {{CRC} Press}, year = {2005}, url = {https://doi.org/10.1201/9781420038163.ch4}, doi = {10.1201/9781420038163.CH4}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/crc/Jantsch05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/PamunuwaOZMJT04, author = {Dinesh Pamunuwa and Johnny {\"{O}}berg and Li{-}Rong Zheng and Mikael Millberg and Axel Jantsch and Hannu Tenhunen}, title = {A study on the implementation of 2-D mesh-based networks-on-chip in the nanometre regime}, journal = {Integr.}, volume = {38}, number = {1}, pages = {3--17}, year = {2004}, url = {https://doi.org/10.1016/j.vlsi.2004.03.005}, doi = {10.1016/J.VLSI.2004.03.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/PamunuwaOZMJT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/JantschOT04, author = {Axel Jantsch and Johnny {\"{O}}berg and Hannu Tenhunen}, title = {Special issue on networks on chip}, journal = {J. Syst. Archit.}, volume = {50}, number = {2-3}, pages = {61--63}, year = {2004}, url = {https://doi.org/10.1016/j.sysarc.2003.10.003}, doi = {10.1016/J.SYSARC.2003.10.003}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/JantschOT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SanderJ04, author = {Ingo Sander and Axel Jantsch}, title = {System modeling and transformational design refinement in ForSyDe [formal system design]}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {1}, pages = {17--32}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2003.819898}, doi = {10.1109/TCAD.2003.819898}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SanderJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DebJO04, author = {Abhijit K. Deb and Axel Jantsch and Johnny {\"{O}}berg}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {System design for {DSP} applications in transaction level modeling paradigm}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {466--471}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996698}, doi = {10.1145/996566.996698}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DebJO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DebJO04, author = {Abhijit K. Deb and Axel Jantsch and Johnny {\"{O}}berg}, title = {System Design for {DSP} Applications Using the {MASIC} Methodology}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {630--635}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268915}, doi = {10.1109/DATE.2004.1268915}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DebJO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaudvereSSJ04, author = {Tarvo Raudvere and Ashish Kumar Singh and Ingo Sander and Axel Jantsch}, title = {Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {690--691}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268933}, doi = {10.1109/DATE.2004.1268933}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaudvereSSJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MillbergNTJ04, author = {Mikael Millberg and Erland Nilsson and Rikard Thid and Axel Jantsch}, title = {Guaranteed Bandwidth Using Looped Containers in Temporally Disjoint Networks within the Nostrum Network on Chip}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {890--895}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269001}, doi = {10.1109/DATE.2004.1269001}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MillbergNTJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/LuJ04, author = {Zhonghai Lu and Axel Jantsch}, title = {Flit admission in on-chip wormhole-switched networks with virtual channels}, booktitle = {Proceedings of the 2004 International Symposium on System-on-Chip, Tampere, Finland, November 16-18, 2004}, pages = {21--24}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISSOC.2004.1411136}, doi = {10.1109/ISSOC.2004.1411136}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/LuJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MillbergNTKJ04, author = {Mikael Millberg and Erland Nilsson and Rikard Thid and Shashi Kumar and Axel Jantsch}, title = {The Nostrum Backbone - a Communication Protocol Stack for Networks on Chip}, booktitle = {17th International Conference on {VLSI} Design {(VLSI} Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India}, pages = {693--696}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICVD.2004.1261005}, doi = {10.1109/ICVD.2004.1261005}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MillbergNTKJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2004, editor = {Alex Orailoglu and Pai H. Chou and Petru Eles and Axel Jantsch}, title = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004, Stockholm, Sweden, September 8-10, 2004}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1016720}, doi = {10.1145/1016720}, isbn = {1-58113-937-3}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0018487, author = {Axel Jantsch}, title = {Modeling embedded systems and SoCs - concurrency and time in models of computation}, series = {The Morgan Kaufmann series in systems on silicon}, publisher = {Elsevier Morgan Kaufmann}, year = {2003}, isbn = {978-1-55860-925-9}, timestamp = {Mon, 15 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0018487.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/RaudvereSSJ03, author = {Tarvo Raudvere and Ingo Sander and Ashish Kumar Singh and Axel Jantsch}, editor = {Rajesh Gupta and Yukihiro Nakamura and Alex Orailoglu and Pai H. Chou}, title = {Verification of design decisions in ForSyDe}, booktitle = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003, Newport Beach, CA, USA, October 1-3, 2003}, pages = {176--181}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/944645.944692}, doi = {10.1145/944645.944692}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/RaudvereSSJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ZimmerJ03, author = {Heiko Zimmer and Axel Jantsch}, editor = {Rajesh Gupta and Yukihiro Nakamura and Alex Orailoglu and Pai H. Chou}, title = {A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip}, booktitle = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003, Newport Beach, CA, USA, October 1-3, 2003}, pages = {188--193}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/944645.944694}, doi = {10.1145/944645.944694}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/ZimmerJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SanderJL03, author = {Ingo Sander and Axel Jantsch and Zhonghai Lu}, title = {Development and Application of Design Transformations in ForSyDe}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {10364--10369}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10048}, doi = {10.1109/DATE.2003.10048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SanderJL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DebOJ03, author = {Abhijit K. Deb and Johnny {\"{O}}berg and Axel Jantsch}, title = {Simulation and Analysis of Embedded {DSP} Systems Using {MASIC} Methodology}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {11100--11101}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10068}, doi = {10.1109/DATE.2003.10068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DebOJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NilssonMOJ03, author = {Erland Nilsson and Mikael Millberg and Johnny {\"{O}}berg and Axel Jantsch}, title = {Load Distribution with the Proximity Congestion Awareness in a Network on Chip}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {11126--11127}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10179}, doi = {10.1109/DATE.2003.10179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NilssonMOJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/Jantsch03, author = {Axel Jantsch}, title = {NoCs: {A} new Contract between Hardware and Software}, booktitle = {2003 Euromicro Symposium on Digital Systems Design {(DSD} 2003), Architectures, Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey}, pages = {10--16}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DSD.2003.1231894}, doi = {10.1109/DSD.2003.1231894}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/Jantsch03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/DebOJ03, author = {Abhijit K. Deb and Johnny {\"{O}}berg and Axel Jantsch}, title = {Simulation and Analysis of Embedded {DSP} Systems Using Petri Nets}, booktitle = {14th {IEEE} International Workshop on Rapid System Prototyping {(RSP} 2003), 9-11 June 2003, San Diego, CA, {USA}}, pages = {64--70}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IWRSP.2003.1207031}, doi = {10.1109/IWRSP.2003.1207031}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/DebOJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/PamunuwaOZMJ03, author = {Dinesh Pamunuwa and Johnny {\"{O}}berg and Li{-}Rong Zheng and Mikael Millberg and Axel Jantsch}, editor = {Manfred Glesner and Ricardo Augusto da Luz Reis and Hans Eveking and Vincent John Mooney III and Leandro Soares Indrusiak and Peter Zipf}, title = {Layout, Performance and Power Trade-Offs in Mesh-Based Network-on-Chip Architectures}, booktitle = {{IFIP} VLSI-SoC 2003, {IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Darmstadt, Germany, 1-3 December 2003}, pages = {362}, publisher = {Technische Universit{\"{a}}t Darmstadt, Insitute of Microelectronic Systems}, year = {2003}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/PamunuwaOZMJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SoininenJFPKK03, author = {Juha{-}Pekka Soininen and Axel Jantsch and Martti Forsell and Antti Pelkonen and Jari Kreku and Shashi Kumar}, title = {Extending Platform-Based Design to Network on Chip Systems}, booktitle = {16th International Conference on {VLSI} Design {(VLSI} Design 2003), 4-8 January 2003, New Delhi, India}, pages = {401}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICVD.2003.1183169}, doi = {10.1109/ICVD.2003.1183169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SoininenJFPKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/JantschT03, author = {Axel Jantsch and Hannu Tenhunen}, editor = {Axel Jantsch and Hannu Tenhunen}, title = {Will Networks on Chip Close the Productivity Gap?}, booktitle = {Networks on Chip}, pages = {3--18}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/0-306-48727-6\_1}, doi = {10.1007/0-306-48727-6\_1}, timestamp = {Thu, 18 Jul 2019 19:46:19 +0200}, biburl = {https://dblp.org/rec/books/sp/03/JantschT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/03/JT2003, editor = {Axel Jantsch and Hannu Tenhunen}, title = {Networks on Chip}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/b105353}, doi = {10.1007/B105353}, isbn = {978-1-4020-7392-2}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/03/JT2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BjureusMJ02, author = {Per Bjur{\'{e}}us and Mikael Millberg and Axel Jantsch}, editor = {J{\"{o}}rg Henkel and Xiaobo Sharon Hu and Rajesh Gupta and Sri Parameswaran}, title = {{FPGA} resource and timing estimation from Matlab execution traces}, booktitle = {Proceedings of the Tenth International Symposium on Hardware/Software Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002}, pages = {31--36}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/774789.774797}, doi = {10.1145/774789.774797}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/BjureusMJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SanderJ02, author = {Ingo Sander and Axel Jantsch}, title = {Transformation based communication and clock domain refinement for system design}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {281--286}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.513992}, doi = {10.1145/513918.513992}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SanderJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/SanderJL02, author = {Ingo Sander and Axel Jantsch and Zhonghai Lu}, editor = {El Mostapha Aboulhamid and Yukihiro Nakamura}, title = {A Case Study of Hardware and Software Synthesis in ForSyDe}, booktitle = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, pages = {86--91}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISSS.2002.1227157}, doi = {10.1109/ISSS.2002.1227157}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/SanderJL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/KumarJMOSFTH02, author = {Shashi Kumar and Axel Jantsch and Mikael Millberg and Johnny {\"{O}}berg and Juha{-}Pekka Soininen and Martti Forsell and Kari Tiensyrj{\"{a}} and Ahmed Hemani}, title = {A Network on Chip Architecture and Design Methodology}, booktitle = {2002 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2002), 25-26 April 2002, Pittsburgh, PA, {USA}}, pages = {117--124}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISVLSI.2002.1016885}, doi = {10.1109/ISVLSI.2002.1016885}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/KumarJMOSFTH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/ONilsJ01, author = {Mattias O'Nils and Axel Jantsch}, title = {Device Driver and {DMA} Controller Synthesis from {HW} /SW Communication Protocol Specifications}, journal = {Des. Autom. Embed. Syst.}, volume = {6}, number = {2}, pages = {177--205}, year = {2001}, url = {https://doi.org/10.1023/A:1011246731756}, doi = {10.1023/A:1011246731756}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/ONilsJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ObergOJPH01, author = {Johnny {\"{O}}berg and Mattias O'Nils and Axel Jantsch and Adam Postula and Ahmed Hemani}, title = {Grammar-based design of embedded systems}, journal = {J. Syst. Archit.}, volume = {47}, number = {3-4}, pages = {225--240}, year = {2001}, url = {https://doi.org/10.1016/S1383-7621(00)00047-3}, doi = {10.1016/S1383-7621(00)00047-3}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ObergOJPH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BjureusJ01, author = {Per Bjur{\'{e}}us and Axel Jantsch}, title = {Modeling of mixed control and dataflow systems in {MASCOT}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {5}, pages = {690--703}, year = {2001}, url = {https://doi.org/10.1109/92.953502}, doi = {10.1109/92.953502}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/BjureusJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/JantschSW01, author = {Axel Jantsch and Ingo Sander and Wenbiao Wu}, editor = {Jan Madsen and J{\"{o}}rg Henkel and Xiaobo Sharon Hu}, title = {The usage of stochastic processes in embedded system specifications}, booktitle = {Proceedings of the Ninth International Symposium on Hardware/Software Codesign, {CODES} 2001, Copenhagen, Denmark, 2001}, pages = {5--10}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/371636.371646}, doi = {10.1145/371636.371646}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/JantschSW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/BjureusJ01, author = {Per Bjur{\'{e}}us and Axel Jantsch}, editor = {Rom{\'{a}}n Hermida and El Mostapha Aboulhamid}, title = {Performance analysis with confidence intervals for embedded software processes}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {45--50}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISSS.2001.957911}, doi = {10.1109/ISSS.2001.957911}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/BjureusJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/DebOJ01, author = {Abhijit K. Deb and Johnny {\"{O}}berg and Axel Jantsch}, editor = {Rom{\'{a}}n Hermida and El Mostapha Aboulhamid}, title = {Control and communication performance analysis of embedded {DSP} systems in the {MASIC} methodology}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {274--273}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2001.957954}, doi = {10.1109/ISSS.2001.957954}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/DebOJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/JantschNA00, author = {Axel Jantsch and Johann Notbauer and Thomas W. Albrecht}, title = {Functional Validation of Mixed Hardware/Software Systems based on Specification, Partitioning, and Simulation of Test Cases}, journal = {Des. Autom. Embed. Syst.}, volume = {5}, number = {1}, pages = {83--113}, year = {2000}, url = {https://doi.org/10.1023/A:1008943617450}, doi = {10.1023/A:1008943617450}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/JantschNA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JantschKH00, author = {Axel Jantsch and Shashi Kumar and Ahmed Hemani}, title = {A Metamodel for Studying Concepts in Electronic System Design}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {3}, pages = {78--85}, year = {2000}, url = {https://doi.org/10.1109/54.867898}, doi = {10.1109/54.867898}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JantschKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/JantschS00, author = {Axel Jantsch and Ingo Sander}, editor = {Frank Vahid and Jan Madsen}, title = {On the roles of functions and objects in system specification}, booktitle = {Proceedings of the Eighth International Workshop on Hardware/Software Codesign, {CODES} 2000, San Diego, California, USA, 2000}, pages = {8--12}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/334012.334014}, doi = {10.1145/334012.334014}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/JantschS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JantschB00, author = {Axel Jantsch and Per Bjur{\'{e}}us}, editor = {Ivo Bolsens}, title = {Composite Signal Flow: {A} Computational Model Combining Events, Sampled Streams, and Vectors}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {154--160}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840032}, doi = {10.1109/DATE.2000.840032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JantschB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BjureusJ00, author = {Per Bjur{\'{e}}us and Axel Jantsch}, editor = {Ivo Bolsens}, title = {{MASCOT:} {A} Specification and Cosimulation Method Integrating Data and Control Flow}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {161--168}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840033}, doi = {10.1109/DATE.2000.840033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BjureusJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/DitmarTJ00, author = {Johan Ditmar and Kjell Torkelsson and Axel Jantsch}, editor = {Reiner W. Hartenstein and Herbert Gr{\"{u}}nbacher}, title = {A Dynamically Reconfigurable FPGA-Based Content Addressable Memory for Internet Protocol Characterization}, booktitle = {Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop, {FPL} 2000, Villach, Austria, August 27-30, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1896}, pages = {19--28}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44614-1\_3}, doi = {10.1007/3-540-44614-1\_3}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/DitmarTJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SanderJ99, author = {Ingo Sander and Axel Jantsch}, editor = {Ahmed Amine Jerraya and Luciano Lavagno and Frank Vahid}, title = {System synthesis utilizing a layered functional model}, booktitle = {Proceedings of the Seventh International Workshop on Hardware/Software Codesign, {CODES} 1999, Rome, Italy, 1999}, pages = {136--140}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/301177.301510}, doi = {10.1145/301177.301510}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/SanderJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JantschKH99, author = {Axel Jantsch and Shashi Kumar and Ahmed Hemani}, title = {The Rugby Model: {A} Conceptual Frame for the Study of Modelling, Analysis and Synthesis Concepts of Electronic Systems}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {256--262}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761131}, doi = {10.1109/DATE.1999.761131}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JantschKH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ONilsJ99, author = {Mattias O'Nils and Axel Jantsch}, title = {Operating System Sensitive Device Driver Synthesis from Implementation Independent Protocol Specification}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {562--567}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761183}, doi = {10.1109/DATE.1999.761183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ONilsJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ONilsJ99, author = {Mattias O'Nils and Axel Jantsch}, title = {Synthesis of {DMA} Controllers from Architecture Independent Descriptions of {HW/SW} Communication Protocols}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {138--145}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICVD.1999.745138}, doi = {10.1109/ICVD.1999.745138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ONilsJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SanderJ99, author = {Ingo Sander and Axel Jantsch}, title = {Formal System Design Based on the Synchrony Hypothesis, Functional Models and Skeletons}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {318--323}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICVD.1999.745170}, doi = {10.1109/ICVD.1999.745170}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SanderJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/ONilsOJ98, author = {Mattias O'Nils and Johnny {\"{O}}berg and Axel Jantsch}, title = {Grammar Based Modelling and Synthesis of Device Drivers and Bus Interfaces}, booktitle = {24th {EUROMICRO} '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden}, pages = {10055--10058}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/EURMIC.1998.711776}, doi = {10.1109/EURMIC.1998.711776}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/ONilsOJ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ObergJK98, author = {Johnny {\"{O}}berg and Axel Jantsch and Anshul Kumar}, title = {An Object-Oriented Concept for Intelligent Library Functions}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {355--358}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646632}, doi = {10.1109/ICVD.1998.646632}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ObergJK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/HellbergHIJMT97, author = {Lars Hellberg and Ahmed Hemani and Jouni Isoaho and Axel Jantsch and Mehran Mokhtari and Hannu Tenhunen}, title = {System oriented {VLSI} curriculum at {KTH}}, booktitle = {1997 {IEEE} International Conference on Microelectronic Systems Education, {MSE} '97, Arlington, VA, USA, July 21-23, 1997}, pages = {57--59}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/MSE.1997.612546}, doi = {10.1109/MSE.1997.612546}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/HellbergHIJMT97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SvantessonhEPOJT96, author = {Bengt Svantesson and Ahmed Hemani and Peeter Ellervee and Adam Postula and Johnny {\"{O}}berg and Axel Jantsch and Hannu Tenhunen}, title = {A Novell Allocation Strategy for Control and Memory Intensive Telecommunication Circiuts}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {23--28}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICVD.1996.489448}, doi = {10.1109/ICVD.1996.489448}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SvantessonhEPOJT96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ObergIEJH96, author = {Johnny {\"{O}}berg and Jouni Isoaho and Peeter Ellervee and Axel Jantsch and Ahmed Hemani}, title = {A Rule-Based Approach for Improving Allocation of Filter Structures in {HLS}}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {133--139}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICVD.1996.489472}, doi = {10.1109/ICVD.1996.489472}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ObergIEJH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/JantschEHOT94, author = {Axel Jantsch and Peeter Ellervee and Ahmed Hemani and Johnny {\"{O}}berg and Hannu Tenhunen}, editor = {Jean Mermet}, title = {Hardware/software partitioning and minimizing memory interface traffic}, booktitle = {Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994}, pages = {226--231}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=198249}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/JantschEHOT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/IsoahoJT94, author = {Jouni Isoaho and Axel Jantsch and Hannu Tenhunen}, editor = {Reiner W. Hartenstein and Michal Serv{\'{\i}}t}, title = {{DSP} Development with Full-Speed Prototyping Based on {HW/SW} Codesign Techniques}, booktitle = {Field-Programmable Logic, Architectures, Synthesis and Applications, 4th International Workshop on Field-Programmable Logic and Applications, {FPL} '94, Prague, Czech Republic, September 7-9, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {849}, pages = {318--320}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58419-6\_112}, doi = {10.1007/3-540-58419-6\_112}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/IsoahoJT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.