BibTeX records: Jun Yang 0002

download as .bib file

@article{DBLP:journals/tc/LiuGCZY23,
  author       = {Liang Liu and
                  Yanan Guo and
                  Yueqiang Cheng and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Generating Robust {DNN} With Resistance to Bit-Flip Based Adversarial
                  Weight Attack},
  journal      = {{IEEE} Trans. Computers},
  volume       = {72},
  number       = {2},
  pages        = {401--413},
  year         = {2023},
  url          = {https://doi.org/10.1109/TC.2022.3211411},
  doi          = {10.1109/TC.2022.3211411},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LiuGCZY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiPAGZYST23,
  author       = {Yingheng Li and
                  Aditya Pawar and
                  Mohadeseh Azari and
                  Yanan Guo and
                  Youtao Zhang and
                  Jun Yang and
                  Kaushik Parasuram Seshadreesan and
                  Xulong Tang},
  title        = {Orchestrating Measurement-Based Quantum Computation over Photonic
                  Quantum Processors},
  booktitle    = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco,
                  CA, USA, July 9-13, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DAC56929.2023.10247944},
  doi          = {10.1109/DAC56929.2023.10247944},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiPAGZYST23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RaoufiYTZ23,
  author       = {Mehrnoosh Raoufi and
                  Jun Yang and
                  Xulong Tang and
                  Youtao Zhang},
  title        = {{EP-ORAM:} Efficient NVM-Friendly Path Eviction for Ring {ORAM} in
                  Hybrid Memory},
  booktitle    = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco,
                  CA, USA, July 9-13, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DAC56929.2023.10247714},
  doi          = {10.1109/DAC56929.2023.10247714},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/RaoufiYTZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/RaoufiYTZ23,
  author       = {Mehrnoosh Raoufi and
                  Jun Yang and
                  Xulong Tang and
                  Youtao Zhang},
  title        = {{AB-ORAM:} Constructing Adjustable Buckets for Space Reduction in
                  Ring {ORAM}},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023},
  pages        = {361--373},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/HPCA56546.2023.10071064},
  doi          = {10.1109/HPCA56546.2023.10071064},
  timestamp    = {Wed, 29 Mar 2023 11:07:46 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/RaoufiYTZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LiYHZYT23,
  author       = {Bingyao Li and
                  Jieming Yin and
                  Anup Holey and
                  Youtao Zhang and
                  Jun Yang and
                  Xulong Tang},
  title        = {Trans-FW: Short Circuiting Page Table Walk in Multi-GPU Systems via
                  Remote Forwarding},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023},
  pages        = {456--470},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/HPCA56546.2023.10071054},
  doi          = {10.1109/HPCA56546.2023.10071054},
  timestamp    = {Sun, 30 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/LiYHZYT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icml/LiuGZ023,
  author       = {Liang Liu and
                  Yanan Guo and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Andreas Krause and
                  Emma Brunskill and
                  Kyunghyun Cho and
                  Barbara Engelhardt and
                  Sivan Sabato and
                  Jonathan Scarlett},
  title        = {Understanding and Defending Patched-based Adversarial Attacks for
                  Vision Transformer},
  booktitle    = {International Conference on Machine Learning, {ICML} 2023, 23-29 July
                  2023, Honolulu, Hawaii, {USA}},
  series       = {Proceedings of Machine Learning Research},
  volume       = {202},
  pages        = {21631--21657},
  publisher    = {{PMLR}},
  year         = {2023},
  url          = {https://proceedings.mlr.press/v202/liu23n.html},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icml/LiuGZ023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/GuoC0Z023,
  author       = {Yanan Guo and
                  Dingyuan Cao and
                  Xin Xin and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Uncore Encore: Covert Channels Exploiting Uncore Frequency Scaling},
  booktitle    = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October
                  2023 - 1 November 2023},
  pages        = {843--855},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3613424.3614259},
  doi          = {10.1145/3613424.3614259},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/GuoC0Z023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/LiGWJYT23,
  author       = {Bingyao Li and
                  Yanan Guo and
                  Yueqi Wang and
                  Aamer Jaleel and
                  Jun Yang and
                  Xulong Tang},
  title        = {{IDYLL:} Enhancing Page Translation in Multi-GPUs via Light Weight
                  {PTE} Invalidations},
  booktitle    = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October
                  2023 - 1 November 2023},
  pages        = {1163--1177},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3613424.3614269},
  doi          = {10.1145/3613424.3614269},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/LiGWJYT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2312-10298,
  author       = {Aditya Pawar and
                  Yingheng Li and
                  Zewei Mo and
                  Yanan Guo and
                  Youtao Zhang and
                  Xulong Tang and
                  Jun Yang},
  title        = {Integrated Qubit Reuse and Circuit Cutting for Large Quantum Circuit
                  Evaluation},
  journal      = {CoRR},
  volume       = {abs/2312.10298},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2312.10298},
  doi          = {10.48550/ARXIV.2312.10298},
  eprinttype    = {arXiv},
  eprint       = {2312.10298},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2312-10298.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tos/GaoYXZSSY22,
  author       = {Congming Gao and
                  Min Ye and
                  Chun Jason Xue and
                  Youtao Zhang and
                  Liang Shi and
                  Jiwu Shu and
                  Jun Yang},
  title        = {Reprogramming 3D {TLC} Flash Memory based Solid State Drives},
  journal      = {{ACM} Trans. Storage},
  volume       = {18},
  number       = {1},
  pages        = {9:1--9:33},
  year         = {2022},
  url          = {https://doi.org/10.1145/3487064},
  doi          = {10.1145/3487064},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tos/GaoYXZSSY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/YangCHYG22,
  author       = {Boyuan Yang and
                  Ruirong Chen and
                  Kai Huang and
                  Jun Yang and
                  Wei Gao},
  editor       = {Babak Falsafi and
                  Michael Ferdman and
                  Shan Lu and
                  Thomas F. Wenisch},
  title        = {Eavesdropping user credentials via {GPU} side channels on smartphones},
  booktitle    = {{ASPLOS} '22: 27th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Lausanne,
                  Switzerland, 28 February 2022 - 4 March 2022},
  pages        = {285--299},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3503222.3507757},
  doi          = {10.1145/3503222.3507757},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/YangCHYG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhaoZY22,
  author       = {Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Rob Oshana},
  title        = {{SRA:} a secure ReRAM-based {DNN} accelerator},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {355--360},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530440},
  doi          = {10.1145/3489517.3530440},
  timestamp    = {Thu, 27 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ZhaoZY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/RaoufiZY22,
  author       = {Mehrnoosh Raoufi and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{IR-ORAM:} Path Access Type Based Memory Intensity Reduction for Path-ORAM},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2022, Seoul, South Korea, April 2-6, 2022},
  pages        = {360--372},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HPCA53966.2022.00034},
  doi          = {10.1109/HPCA53966.2022.00034},
  timestamp    = {Mon, 23 May 2022 16:36:22 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/RaoufiZY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ZhaoGYDMUZJYT22,
  author       = {Yilun Zhao and
                  Yanan Guo and
                  Yuan Yao and
                  Amanda Dumi and
                  Devin M. Mulvey and
                  Shiv Upadhyay and
                  Youtao Zhang and
                  Kenneth D. Jordan and
                  Jun Yang and
                  Xulong Tang},
  title        = {{Q-GPU:} {A} Recipe of Optimizations for Quantum Circuit Simulation
                  Using GPUs},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2022, Seoul, South Korea, April 2-6, 2022},
  pages        = {726--740},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HPCA53966.2022.00059},
  doi          = {10.1109/HPCA53966.2022.00059},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/ZhaoGYDMUZJYT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ZhaoZY22,
  author       = {Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {A {DNN} Protection Solution for {PIM} accelerators with Model Compression},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2022, Nicosia,
                  Cyprus, July 4-6, 2022},
  pages        = {320--325},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISVLSI54635.2022.00069},
  doi          = {10.1109/ISVLSI54635.2022.00069},
  timestamp    = {Tue, 25 Oct 2022 21:20:51 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ZhaoZY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/GuoXZ022,
  author       = {Yanan Guo and
                  Xin Xin and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Leaky Way: {A} Conflict-Based Cache Covert Channel Bypassing Set Associativity},
  booktitle    = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO}
                  2022, Chicago, IL, USA, October 1-5, 2022},
  pages        = {646--661},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MICRO56248.2022.00053},
  doi          = {10.1109/MICRO56248.2022.00053},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/GuoXZ022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sp/GuoZZ022,
  author       = {Yanan Guo and
                  Andrew Zigerelli and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks},
  booktitle    = {43rd {IEEE} Symposium on Security and Privacy, {SP} 2022, San Francisco,
                  CA, USA, May 22-26, 2022},
  pages        = {1458--1473},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SP46214.2022.9833692},
  doi          = {10.1109/SP46214.2022.9833692},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sp/GuoZZ022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GangulyMY21,
  author       = {Debashis Ganguly and
                  Rami G. Melhem and
                  Jun Yang},
  title        = {An Adaptive Framework for Oversubscription Management in {CPU-GPU}
                  Unified Memory},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1212--1217},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473982},
  doi          = {10.23919/DATE51398.2021.9473982},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GangulyMY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/GuoZZ021,
  author       = {Yanan Guo and
                  Andrew Zigerelli and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Yiran Chen and
                  Victor V. Zhirnov and
                  Avesta Sasan and
                  Ioannis Savidis},
  title        = {IVcache: Defending Cache Side Channel Attacks via Invisible Accesses},
  booktitle    = {{GLSVLSI} '21: Great Lakes Symposium on {VLSI} 2021, Virtual Event,
                  USA, June 22-25, 2021},
  pages        = {403--408},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3453688.3461481},
  doi          = {10.1145/3453688.3461481},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/GuoZZ021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhaoZY21,
  author       = {Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Flipping Bits to Share Crossbars in ReRAM-Based {DNN} Accelerator},
  booktitle    = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021,
                  Storrs, CT, USA, October 24-27, 2021},
  pages        = {17--24},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCD53106.2021.00016},
  doi          = {10.1109/ICCD53106.2021.00016},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhaoZY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GuoLCZY21,
  author       = {Yanan Guo and
                  Liang Liu and
                  Yueqiang Cheng and
                  Youtao Zhang and
                  Jun Yang},
  title        = {ModelShield: {A} Generic and Portable Framework Extension for Defending
                  Bit-Flip based Adversarial Weight Attacks},
  booktitle    = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021,
                  Storrs, CT, USA, October 24-27, 2021},
  pages        = {559--562},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCD53106.2021.00090},
  doi          = {10.1109/ICCD53106.2021.00090},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/GuoLCZY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/GaoXLZYS21,
  author       = {Congming Gao and
                  Xin Xin and
                  Youyou Lu and
                  Youtao Zhang and
                  Jun Yang and
                  Jiwu Shu},
  title        = {ParaBit: Processing Parallel Bitwise Operations in {NAND} Flash Memory
                  based SSDs},
  booktitle    = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  Virtual Event, Greece, October 18-22, 2021},
  pages        = {59--70},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3466752.3480078},
  doi          = {10.1145/3466752.3480078},
  timestamp    = {Mon, 18 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/GaoXLZYS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/XinGZY21,
  author       = {Xin Xin and
                  Yanan Guo and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{SAM:} Accelerating Strided Memory Accesses},
  booktitle    = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  Virtual Event, Greece, October 18-22, 2021},
  pages        = {324--336},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3466752.3480091},
  doi          = {10.1145/3466752.3480091},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/XinGZY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/seed/GuoZCZ021,
  author       = {Yanan Guo and
                  Andrew Zigerelli and
                  Yueqiang Cheng and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Performance-Enhanced Integrity Verification for Large Memories},
  booktitle    = {2021 International Symposium on Secure and Private Execution Environment
                  Design (SEED), Washington, DC, USA, September 20-21, 2021},
  pages        = {50--62},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/SEED51797.2021.00016},
  doi          = {10.1109/SEED51797.2021.00016},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/seed/GuoZCZ021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-12340,
  author       = {Yanan Guo and
                  Andrew Zigerelli and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks},
  journal      = {CoRR},
  volume       = {abs/2110.12340},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.12340},
  eprinttype    = {arXiv},
  eprint       = {2110.12340},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-12340.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/CuiZSXYLY20,
  author       = {Jinhua Cui and
                  Youtao Zhang and
                  Liang Shi and
                  Chun Jason Xue and
                  Jun Yang and
                  Weiguang Liu and
                  Laurence T. Yang},
  title        = {Leveraging partial-refresh for performance and lifetime improvement
                  of 3D {NAND} flash memory in cyber-physical systems},
  journal      = {J. Syst. Archit.},
  volume       = {103},
  pages        = {101685},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.sysarc.2019.101685},
  doi          = {10.1016/J.SYSARC.2019.101685},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/CuiZSXYLY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiZYZMG20,
  author       = {Chen Li and
                  Andrew Zigerelli and
                  Jun Yang and
                  Youtao Zhang and
                  Sheng Ma and
                  Yang Guo},
  title        = {A Dynamic and Proactive {GPU} Preemption Mechanism Using Checkpointing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {1},
  pages        = {75--87},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2018.2883906},
  doi          = {10.1109/TCAD.2018.2883906},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiZYZMG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GaoSLLXYZ20,
  author       = {Congming Gao and
                  Liang Shi and
                  Qiao Li and
                  Kai Liu and
                  Chun Jason Xue and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Aging Capacitor Supported Cache Management Scheme for Solid-State
                  Drives},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {10},
  pages        = {2230--2239},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2949541},
  doi          = {10.1109/TCAD.2019.2949541},
  timestamp    = {Tue, 06 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GaoSLLXYZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WenZZY20,
  author       = {Wen Wen and
                  Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Exploiting In-Memory Data Patterns for Performance Improvement on
                  Crossbar Resistive Memory},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {10},
  pages        = {2347--2360},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2940685},
  doi          = {10.1109/TCAD.2019.2940685},
  timestamp    = {Tue, 06 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WenZZY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DengZZZZY20,
  author       = {Quan Deng and
                  Youtao Zhang and
                  Zhenyu Zhao and
                  Shuzheng Zhang and
                  Minxuan Zhang and
                  Jun Yang},
  title        = {{FRF:} Toward Warp-Scheduler Friendly {STT-RAM/SRAM} Fine-Grained
                  Hybrid {GPGPU} Register File Design},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {10},
  pages        = {2396--2409},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2946808},
  doi          = {10.1109/TCAD.2019.2946808},
  timestamp    = {Tue, 06 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DengZZZZY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/GaoSLXYZ20,
  author       = {Congming Gao and
                  Liang Shi and
                  Kai Liu and
                  Chun Jason Xue and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Boosting the Performance of SSDs via Fully Exploiting the Plane Level
                  Parallelism},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {31},
  number       = {9},
  pages        = {2185--2200},
  year         = {2020},
  url          = {https://doi.org/10.1109/TPDS.2020.2987894},
  doi          = {10.1109/TPDS.2020.2987894},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/GaoSLXYZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/TangZXKMY20,
  author       = {Xulong Tang and
                  Ziyu Zhang and
                  Weizheng Xu and
                  Mahmut Taylan Kandemir and
                  Rami G. Melhem and
                  Jun Yang},
  editor       = {Vivek Sarkar and
                  Hyesoon Kim},
  title        = {Enhancing Address Translations in Throughput Processors via Compression},
  booktitle    = {{PACT} '20: International Conference on Parallel Architectures and
                  Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020},
  pages        = {191--204},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3410463.3414633},
  doi          = {10.1145/3410463.3414633},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/TangZXKMY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NieZWY20,
  author       = {Shiqiang Nie and
                  Youtao Zhang and
                  Weiguo Wu and
                  Jun Yang},
  title        = {Layer {RBER} Variation Aware Read Performance Optimization for 3D
                  Flash Memories},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218631},
  doi          = {10.1109/DAC18072.2020.9218631},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/NieZWY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XinZY20,
  author       = {Xin Xin and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Reducing {DRAM} Access Latency via Helper Rows},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218719},
  doi          = {10.1109/DAC18072.2020.9218719},
  timestamp    = {Mon, 18 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/XinZY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhaoZY20,
  author       = {Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{SCA:} {A} Secure {CNN} Accelerator for Both Training and Inference},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218752},
  doi          = {10.1109/DAC18072.2020.9218752},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ZhaoZY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/XinZY20,
  author       = {Xin Xin and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{ELP2IM:} Efficient and Low Power Bitwise Operation Processing in
                  {DRAM}},
  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020},
  pages        = {303--314},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HPCA47549.2020.00033},
  doi          = {10.1109/HPCA47549.2020.00033},
  timestamp    = {Mon, 18 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/XinZY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WenZY20,
  author       = {Wen Wen and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Accelerating 3D Vertical Resistive Memories with Opportunistic Write
                  Latency Reduction},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {25:1--25:8},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415677},
  doi          = {10.1145/3400302.3415677},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WenZY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/GangulyZYM20,
  author       = {Debashis Ganguly and
                  Ziyu Zhang and
                  Jun Yang and
                  Rami G. Melhem},
  title        = {Adaptive Page Migration for Irregular Data-intensive Applications
                  under {GPU} Memory Oversubscription},
  booktitle    = {2020 {IEEE} International Parallel and Distributed Processing Symposium
                  (IPDPS), New Orleans, LA, USA, May 18-22, 2020},
  pages        = {451--461},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/IPDPS47924.2020.00054},
  doi          = {10.1109/IPDPS47924.2020.00054},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ipps/GangulyZYM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/LiYSJXCFKMG19,
  author       = {Chen Li and
                  Jun Yang and
                  Yifan Sun and
                  Lingling Jin and
                  Lingjie Xu and
                  Zheng Cao and
                  Pengfei Fan and
                  David R. Kaeli and
                  Sheng Ma and
                  Yang Guo},
  title        = {Priority-Based PCIe Scheduling for Multi-Tenant Multi-GPU Systems},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {18},
  number       = {2},
  pages        = {157--160},
  year         = {2019},
  url          = {https://doi.org/10.1109/LCA.2019.2955119},
  doi          = {10.1109/LCA.2019.2955119},
  timestamp    = {Tue, 23 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/LiYSJXCFKMG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangWZY19,
  author       = {Xianwei Zhang and
                  Rujia Wang and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Toshiyuki Shibuya},
  title        = {Boosting chipkill capability under retention-error induced reliability
                  emergency},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {400--405},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3287639},
  doi          = {10.1145/3287624.3287639},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangWZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/0015ARZMGY19,
  author       = {Chen Li and
                  Rachata Ausavarungnirun and
                  Christopher J. Rossbach and
                  Youtao Zhang and
                  Onur Mutlu and
                  Yang Guo and
                  Jun Yang},
  editor       = {Iris Bahar and
                  Maurice Herlihy and
                  Emmett Witchel and
                  Alvin R. Lebeck},
  title        = {A Framework for Memory Oversubscription Management in Graphics Processing
                  Units},
  booktitle    = {Proceedings of the Twenty-Fourth International Conference on Architectural
                  Support for Programming Languages and Operating Systems, {ASPLOS}
                  2019, Providence, RI, USA, April 13-17, 2019},
  pages        = {49--63},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3297858.3304044},
  doi          = {10.1145/3297858.3304044},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/0015ARZMGY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XinZY19,
  author       = {Xin Xin and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{ROC:} DRAM-based Processing with Reduced Operation Cycles},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {29},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317900},
  doi          = {10.1145/3316781.3317900},
  timestamp    = {Mon, 18 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/XinZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuWZY19,
  author       = {Liang Liu and
                  Rujia Wang and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{H-ORAM:} {A} Cacheable {ORAM} Interface for Efficient {I/O} Accesses},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {33},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317841},
  doi          = {10.1145/3316781.3317841},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiuWZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DengZZY19,
  author       = {Quan Deng and
                  Youtao Zhang and
                  Minxuan Zhang and
                  Jun Yang},
  title        = {LAcc: Exploiting Lookup Table-based Fast and Accurate Vector Multiplication
                  in DRAM-based {CNN} Accelerator},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {128},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317845},
  doi          = {10.1145/3316781.3317845},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DengZZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiSYZX19,
  author       = {Qiao Li and
                  Liang Shi and
                  Jun Yang and
                  Youtao Zhang and
                  Chun Jason Xue},
  title        = {Leveraging Approximate Data for Robust Flash Storage},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {215},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317848},
  doi          = {10.1145/3316781.3317848},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiSYZX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WenZY19,
  author       = {Wen Wen and
                  Youtao Zhang and
                  Jun Yang},
  title        = {ReNEW: Enhancing Lifetime for ReRAM Crossbar Based Neural Network
                  Accelerators},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {487--496},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00074},
  doi          = {10.1109/ICCD46524.2019.00074},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WenZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/ZhaoDZY19,
  author       = {Lei Zhao and
                  Quan Deng and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Rudolf Eigenmann and
                  Chen Ding and
                  Sally A. McKee},
  title        = {RFAcc: a 3D ReRAM associative array based random forest accelerator},
  booktitle    = {Proceedings of the {ACM} International Conference on Supercomputing,
                  {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019},
  pages        = {473--483},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3330345.3330387},
  doi          = {10.1145/3330345.3330387},
  timestamp    = {Tue, 28 Jul 2020 07:46:43 +0200},
  biburl       = {https://dblp.org/rec/conf/ics/ZhaoDZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/GangulyZYM19,
  author       = {Debashis Ganguly and
                  Ziyu Zhang and
                  Jun Yang and
                  Rami G. Melhem},
  editor       = {Srilatha Bobbie Manne and
                  Hillery C. Hunter and
                  Erik R. Altman},
  title        = {Interplay between hardware prefetcher and page eviction policy in
                  {CPU-GPU} unified virtual memory},
  booktitle    = {Proceedings of the 46th International Symposium on Computer Architecture,
                  {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019},
  pages        = {224--235},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3307650.3322224},
  doi          = {10.1145/3307650.3322224},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/GangulyZYM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/RaoufiDZY19,
  author       = {Mehrnoosh Raoufi and
                  Quan Deng and
                  Youtao Zhang and
                  Jun Yang},
  title        = {PageCmp: Bandwidth Efficient Page Deduplication through In-memory
                  Page Comparison},
  booktitle    = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019,
                  Miami, FL, USA, July 15-17, 2019},
  pages        = {82--87},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISVLSI.2019.00023},
  doi          = {10.1109/ISVLSI.2019.00023},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/RaoufiDZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/GaoYLXZSY19,
  author       = {Congming Gao and
                  Min Ye and
                  Qiao Li and
                  Chun Jason Xue and
                  Youtao Zhang and
                  Liang Shi and
                  Jun Yang},
  title        = {Constructing Large, Durable and Fast {SSD} System via Reprogramming
                  3D {TLC} Flash Memory},
  booktitle    = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16,
                  2019},
  pages        = {493--505},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3352460.3358323},
  doi          = {10.1145/3352460.3358323},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/GaoYLXZSY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mss/GaoSXJYZ19,
  author       = {Congming Gao and
                  Liang Shi and
                  Chun Jason Xue and
                  Cheng Ji and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Parallel all the time: Plane Level Parallelism Exploration for High
                  Performance SSDs},
  booktitle    = {35th Symposium on Mass Storage Systems and Technologies, {MSST} 2019,
                  Santa Clara, CA, USA, May 20-24, 2019},
  pages        = {172--184},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/MSST.2019.000-5},
  doi          = {10.1109/MSST.2019.000-5},
  timestamp    = {Wed, 10 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mss/GaoSXJYZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nvmsa/NieZWZY19,
  author       = {Shiqiang Nie and
                  Youtao Zhang and
                  Weiguo Wu and
                  Chi Zhang and
                  Jun Yang},
  title        = {{DIR:} Dynamic Request Interleaving for Improving the Read Performance
                  of Aged SSDs},
  booktitle    = {2019 {IEEE} Non-Volatile Memory Systems and Applications Symposium,
                  {NVMSA} 2019, Hangzhou, China, August 18-21, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/NVMSA.2019.8863520},
  doi          = {10.1109/NVMSA.2019.8863520},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/nvmsa/NieZWZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/XuYM18,
  author       = {Yi Xu and
                  Jun Yang and
                  Rami G. Melhem},
  title        = {A Process-Variation-Tolerant Method for Nanophotonic On-Chip Network},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {14},
  number       = {2},
  pages        = {22:1--22:23},
  year         = {2018},
  url          = {https://doi.org/10.1145/3208073},
  doi          = {10.1145/3208073},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/XuYM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CuiZWYWH18,
  author       = {Jinhua Cui and
                  Youtao Zhang and
                  Weiguo Wu and
                  Jun Yang and
                  Yinfeng Wang and
                  Jianhang Huang},
  title        = {{DLV:} Exploiting Device Level Latency Variations for Performance
                  Improvement on Flash Memory Storage Systems},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {8},
  pages        = {1546--1559},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2766156},
  doi          = {10.1109/TCAD.2017.2766156},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CuiZWYWH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CuiZSXWY18,
  author       = {Jinhua Cui and
                  Youtao Zhang and
                  Liang Shi and
                  Chun Jason Xue and
                  Weiguo Wu and
                  Jun Yang},
  title        = {ApproxFTL: On the Performance and Lifetime Improvement of 3-D {NAND}
                  Flash-Based SSDs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {10},
  pages        = {1957--1970},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2782765},
  doi          = {10.1109/TCAD.2017.2782765},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CuiZSXWY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WenZY18,
  author       = {Wen Wen and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Wear leveling for crossbar resistive memory},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {58:1--58:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196138},
  doi          = {10.1145/3195970.3196138},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WenZY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/0015ZYG18,
  author       = {Chen Li and
                  Andrew Zigerelli and
                  Jun Yang and
                  Yang Guo},
  title        = {{PEP:} proactive checkpointing for efficient preemption on GPUs},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {114:1--114:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196091},
  doi          = {10.1145/3195970.3196091},
  timestamp    = {Thu, 25 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/0015ZYG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DengJZZY18,
  author       = {Quan Deng and
                  Lei Jiang and
                  Youtao Zhang and
                  Minxuan Zhang and
                  Jun Yang},
  title        = {DrAcc: a {DRAM} based accelerator for accurate {CNN} inference},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {168:1--168:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196029},
  doi          = {10.1145/3195970.3196029},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DengJZZY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CuiZHWY18,
  author       = {Jinhua Cui and
                  Youtao Zhang and
                  Jianhang Huang and
                  Weiguo Wu and
                  Jun Yang},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {ShadowGC: Cooperative garbage collection with multi-level buffer for
                  performance improvement in {NAND} flash-based SSDs},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1247--1252},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342206},
  doi          = {10.23919/DATE.2018.8342206},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/CuiZHWY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/WangZY18,
  author       = {Rujia Wang and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{D-ORAM:} Path-ORAM Delegation for Low Execution Interference on Cloud
                  Servers with Untrusted Memory},
  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2018, Vienna, Austria, February 24-28, 2018},
  pages        = {416--427},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/HPCA.2018.00043},
  doi          = {10.1109/HPCA.2018.00043},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/WangZY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GarrettYZ18,
  author       = {Tyler Garrett and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Enabling Intra-Plane Parallel Block Erase in {NAND} Flash to Alleviate
                  the Impact of Garbage Collection},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {22:1--22:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218627},
  doi          = {10.1145/3218603.3218627},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GarrettYZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ppopp/Yang18,
  author       = {Jun Yang},
  editor       = {David R. Kaeli and
                  John Cavazos},
  title        = {Initial Steps toward Making {GPU} a First-Class Computing Resource:
                  Sharing and Resource Management},
  booktitle    = {11th Workshop on General Purpose Processing using GPUs, GPGPU@PPoPP
                  2018, February 25, 2018, Vosendorf (Vienna), Austria},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3180270.3182629},
  doi          = {10.1145/3180270.3182629},
  timestamp    = {Sun, 30 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ppopp/Yang18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/WangMZY17,
  author       = {Rujia Wang and
                  Sparsh Mittal and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Decongest: Accelerating Super-Dense {PCM} Under Write Disturbance
                  by Hot Page Remapping},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {16},
  number       = {2},
  pages        = {107--110},
  year         = {2017},
  url          = {https://doi.org/10.1109/LCA.2017.2675883},
  doi          = {10.1109/LCA.2017.2675883},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/WangMZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/WenYZ17,
  author       = {Wen Wen and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Optimizing power efficiency for 3D stacked GPU-in-memory architecture},
  journal      = {Microprocess. Microsystems},
  volume       = {49},
  pages        = {44--53},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.micpro.2017.01.005},
  doi          = {10.1016/J.MICPRO.2017.01.005},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/WenYZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ZhangZCY17,
  author       = {XianWei Zhang and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  title        = {On the Restore Time Variations of Future {DRAM} Memory},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {22},
  number       = {2},
  pages        = {26:1--26:24},
  year         = {2017},
  url          = {https://doi.org/10.1145/2967609},
  doi          = {10.1145/2967609},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ZhangZCY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/ZhangZCY17,
  author       = {XianWei Zhang and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  title        = {DrMP: Mixed Precision-Aware {DRAM} for High Performance Approximate
                  and Precise Computing},
  booktitle    = {26th International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2017, Portland, OR, USA, September 9-13, 2017},
  pages        = {53--63},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/PACT.2017.34},
  doi          = {10.1109/PACT.2017.34},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/ZhangZCY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/WangZ017,
  author       = {Rujia Wang and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Cooperative Path-ORAM for Effective Memory Bandwidth Sharing in Server
                  Settings},
  booktitle    = {2017 {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2017, Austin, TX, USA, February 4-8, 2017},
  pages        = {325--336},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/HPCA.2017.9},
  doi          = {10.1109/HPCA.2017.9},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/WangZ017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WenZZY17,
  author       = {Wen Wen and
                  Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Sri Parameswaran},
  title        = {Speeding up crossbar resistive memory by exploiting in-memory data
                  patterns},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {261--267},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8203787},
  doi          = {10.1109/ICCAD.2017.8203787},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WenZZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DengZZY17,
  author       = {Quan Deng and
                  Youtao Zhang and
                  Minxuan Zhang and
                  Jun Yang},
  editor       = {Sri Parameswaran},
  title        = {Towards warp-scheduler friendly {STT-RAM/SRAM} hybrid {GPGPU} register
                  file design},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {736--742},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8203850},
  doi          = {10.1109/ICCAD.2017.8203850},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DengZZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoZY17,
  author       = {Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Sri Parameswaran},
  title        = {{AEP:} An error-bearing neural network accelerator for energy efficiency
                  and model protection},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {765--771},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8203854},
  doi          = {10.1109/ICCAD.2017.8203854},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoZY17a,
  author       = {Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Sri Parameswaran},
  title        = {{AEP:} An error-bearing neural network accelerator for energy efficiency
                  and model protection},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {1047--1053},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8203897},
  doi          = {10.1109/ICCAD.2017.8203897},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoZY17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WenZY17,
  author       = {Wen Wen and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Read Error Resilient {MLC} {STT-MRAM} Based Last Level Cache},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {455--462},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.80},
  doi          = {10.1109/ICCD.2017.80},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WenZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/WangYMCZG17,
  author       = {Zhenning Wang and
                  Jun Yang and
                  Rami G. Melhem and
                  Bruce R. Childers and
                  Youtao Zhang and
                  Minyi Guo},
  title        = {Quality of Service Support for Fine-Grained Sharing on GPUs},
  booktitle    = {Proceedings of the 44th Annual International Symposium on Computer
                  Architecture, {ISCA} 2017, Toronto, ON, Canada, June 24-28, 2017},
  pages        = {269--281},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3079856.3080203},
  doi          = {10.1145/3079856.3080203},
  timestamp    = {Thu, 17 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/WangYMCZG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Zhao0ZX017,
  author       = {Lei Zhao and
                  Lei Jiang and
                  Youtao Zhang and
                  Nong Xiao and
                  Jun Yang},
  title        = {Constructing fast and energy efficient 1TnR based ReRAM crossbar memory},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {58--64},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918293},
  doi          = {10.1109/ISQED.2017.7918293},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Zhao0ZX017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nvmsa/ZhaoZY17,
  author       = {Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Mitigating shift-based covert-channel attacks in racetrack last level
                  caches},
  booktitle    = {{IEEE} 6th Non-Volatile Memory Systems and Applications Symposium,
                  {NVMSA} 2017, Hsinchu, Taiwan, August 16-18, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NVMSA.2017.8064476},
  doi          = {10.1109/NVMSA.2017.8064476},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nvmsa/ZhaoZY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/WangYMCZG16,
  author       = {Zhenning Wang and
                  Jun Yang and
                  Rami G. Melhem and
                  Bruce R. Childers and
                  Youtao Zhang and
                  Minyi Guo},
  title        = {Simultaneous Multikernel: Fine-Grained Sharing of GPUs},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {15},
  number       = {2},
  pages        = {113--116},
  year         = {2016},
  url          = {https://doi.org/10.1109/LCA.2015.2477405},
  doi          = {10.1109/LCA.2015.2477405},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/WangYMCZG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/WangZY16,
  author       = {Rujia Wang and
                  Youtao Zhang and
                  Jun Yang},
  title        = {ReadDuo: Constructing Reliable {MLC} Phase Change Memory through Fast
                  and Robust Readout},
  booktitle    = {46th Annual {IEEE/IFIP} International Conference on Dependable Systems
                  and Networks, {DSN} 2016, Toulouse, France, June 28 - July 1, 2016},
  pages        = {203--214},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/DSN.2016.27},
  doi          = {10.1109/DSN.2016.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/WangZY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/WangYMCZG16,
  author       = {Zhenning Wang and
                  Jun Yang and
                  Rami G. Melhem and
                  Bruce R. Childers and
                  Youtao Zhang and
                  Minyi Guo},
  title        = {Simultaneous Multikernel {GPU:} Multi-tasking throughput processors
                  via fine-grained sharing},
  booktitle    = {2016 {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2016, Barcelona, Spain, March 12-16, 2016},
  pages        = {358--369},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HPCA.2016.7446078},
  doi          = {10.1109/HPCA.2016.7446078},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/WangYMCZG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ZhangZCY16,
  author       = {XianWei Zhang and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  title        = {Restore truncation for performance improvement in future {DRAM} systems},
  booktitle    = {2016 {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2016, Barcelona, Spain, March 12-16, 2016},
  pages        = {543--554},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HPCA.2016.7446093},
  doi          = {10.1109/HPCA.2016.7446093},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/ZhangZCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/ZhangZCY16,
  author       = {XianWei Zhang and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  editor       = {Bruce L. Jacob},
  title        = {{AWARD:} Approximation-aWAre Restore in Further Scaling {DRAM}},
  booktitle    = {Proceedings of the Second International Symposium on Memory Systems,
                  {MEMSYS} 2016, Alexandria, VA, USA, October 3-6, 2016},
  pages        = {322--324},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2989081.2989127},
  doi          = {10.1145/2989081.2989127},
  timestamp    = {Fri, 13 Nov 2020 09:24:44 +0100},
  biburl       = {https://dblp.org/rec/conf/memsys/ZhangZCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/LiL015,
  author       = {Peilong Li and
                  Yan Luo and
                  Jun Yang},
  title        = {Transformer: Run-time reprogrammable heterogeneous architecture for
                  transparent acceleration of dynamic workloads},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {86},
  pages        = {45--61},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.jpdc.2015.08.002},
  doi          = {10.1016/J.JPDC.2015.08.002},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/LiL015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/JiangZYZ15,
  author       = {Lei Jiang and
                  Bo Zhao and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Constructing Large and Fast On-Chip Cache for Mobile Processors with
                  Multilevel Cell {STT-MRAM} Technology},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {20},
  number       = {4},
  pages        = {54:1--54:24},
  year         = {2015},
  url          = {https://doi.org/10.1145/2764903},
  doi          = {10.1145/2764903},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/JiangZYZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/topc/XuZZ015,
  author       = {Yi Xu and
                  Bo Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Simple Virtual Channel Allocation for High-Throughput and High-Frequency
                  On-Chip Routers},
  journal      = {{ACM} Trans. Parallel Comput.},
  volume       = {2},
  number       = {1},
  pages        = {6:1--6:23},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742349},
  doi          = {10.1145/2742349},
  timestamp    = {Sat, 25 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/topc/XuZZ015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/WangJZY15,
  author       = {Rujia Wang and
                  Lei Jiang and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {{\"{O}}zcan {\"{O}}zturk and
                  Kemal Ebcioglu and
                  Sandhya Dwarkadas},
  title        = {{SD-PCM:} Constructing Reliable Super Dense Phase Change Memory under
                  Write Disturbance},
  booktitle    = {Proceedings of the Twentieth International Conference on Architectural
                  Support for Programming Languages and Operating Systems, {ASPLOS}
                  2015, Istanbul, Turkey, March 14-18, 2015},
  pages        = {19--31},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2694344.2694352},
  doi          = {10.1145/2694344.2694352},
  timestamp    = {Wed, 07 Jul 2021 13:23:08 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/WangJZY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cf/Xu0M15,
  author       = {Yi Xu and
                  Jun Yang and
                  Rami G. Melhem},
  editor       = {Claudia Di Napoli and
                  Valentina Salapura and
                  Hubertus Franke and
                  Rui Hou},
  title        = {BandArb: mitigating the effects of thermal and process variations
                  in silicon-photonic network},
  booktitle    = {Proceedings of the 12th {ACM} International Conference on Computing
                  Frontiers, CF'15, Ischia, Italy, May 18-21, 2015},
  pages        = {30:1--30:8},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742854.2742876},
  doi          = {10.1145/2742854.2742876},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cf/Xu0M15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangJZWY15,
  author       = {Rujia Wang and
                  Lei Jiang and
                  Youtao Zhang and
                  Linzhang Wang and
                  Jun Yang},
  title        = {Selective restore: an energy efficient read disturbance mitigation
                  scheme for future {STT-MRAM}},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {21:1--21:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744908},
  doi          = {10.1145/2744769.2744908},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangJZWY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangJZWY15a,
  author       = {Rujia Wang and
                  Lei Jiang and
                  Youtao Zhang and
                  Linzhang Wang and
                  Jun Yang},
  title        = {Exploit imbalanced cell writes to mitigate write disturbance in dense
                  phase change memory},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {88:1--88:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744841},
  doi          = {10.1145/2744769.2744841},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangJZWY15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhangZCY15,
  author       = {XianWei Zhang and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Exploiting {DRAM} restore time variations in deep sub-micron scaling},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {477--482},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755862},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ZhangZCY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangZY15,
  author       = {XianWei Zhang and
                  Youtao Zhang and
                  Jun Yang},
  title        = {{DLB:} Dynamic lane borrowing for improving bandwidth and performance
                  in Hybrid Memory Cube},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {125--132},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357093},
  doi          = {10.1109/ICCD.2015.7357093},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangZY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangZZY15,
  author       = {XianWei Zhang and
                  Lei Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Exploit common source-line to construct energy efficient domain wall
                  memory based caches},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {157--163},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357097},
  doi          = {10.1109/ICCD.2015.7357097},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangZZY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangZY15a,
  author       = {XianWei Zhang and
                  Youtao Zhang and
                  Jun Yang},
  title        = {TriState-SET: Proactive {SET} for improved performance of {MLC} phase
                  change memories},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {659--665},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357178},
  doi          = {10.1109/ICCD.2015.7357178},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangZY15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/LiuYM15,
  author       = {Jiwei Liu and
                  Jun Yang and
                  Rami G. Melhem},
  title        = {{GASOLIN:} Global Arbitration for Streams of Data in Optical Links},
  booktitle    = {2015 {IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} 2015, Hyderabad, India, May 25-29, 2015},
  pages        = {93--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/IPDPS.2015.61},
  doi          = {10.1109/IPDPS.2015.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/LiuYM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/ChildersYZ15,
  author       = {Bruce R. Childers and
                  Jun Yang and
                  Youtao Zhang},
  editor       = {Bruce L. Jacob},
  title        = {Achieving Yield, Density and Performance Effective {DRAM} at Extreme
                  Technology Sizes},
  booktitle    = {Proceedings of the 2015 International Symposium on Memory Systems,
                  {MEMSYS} 2015, Washington DC, DC, USA, October 5-8, 2015},
  pages        = {78--84},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2818950.2818963},
  doi          = {10.1145/2818950.2818963},
  timestamp    = {Fri, 13 Nov 2020 09:24:44 +0100},
  biburl       = {https://dblp.org/rec/conf/memsys/ChildersYZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/LiuYM15,
  author       = {Jiwei Liu and
                  Jun Yang and
                  Rami G. Melhem},
  editor       = {Milos Prvulovic},
  title        = {{SAWS:} synchronization aware {GPGPU} warp scheduling for multiple
                  independent warp schedulers},
  booktitle    = {Proceedings of the 48th International Symposium on Microarchitecture,
                  {MICRO} 2015, Waikiki, HI, USA, December 5-9, 2015},
  pages        = {383--394},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2830772.2830822},
  doi          = {10.1145/2830772.2830822},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/LiuYM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ZhaoDYZ14,
  author       = {Bo Zhao and
                  Yu Du and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Errata to "Process Variation-Aware Nonuniform Cache Management
                  in a 3D Die-Stacked Multicore Processor"},
  journal      = {{IEEE} Trans. Computers},
  volume       = {63},
  number       = {2},
  pages        = {525--526},
  year         = {2014},
  url          = {https://doi.org/10.1109/TC.2014.5},
  doi          = {10.1109/TC.2014.5},
  timestamp    = {Sat, 12 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/ZhaoDYZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ZhouZYZ14,
  author       = {Ping Zhou and
                  Bo Zhao and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Throughput Enhancement for Phase Change Memories},
  journal      = {{IEEE} Trans. Computers},
  volume       = {63},
  number       = {8},
  pages        = {2080--2093},
  year         = {2014},
  url          = {https://doi.org/10.1109/TC.2013.76},
  doi          = {10.1109/TC.2013.76},
  timestamp    = {Sat, 12 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/ZhouZYZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/JiangZY14,
  author       = {Lei Jiang and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Mitigating Write Disturbance in Super-Dense Phase Change Memories},
  booktitle    = {44th Annual {IEEE/IFIP} International Conference on Dependable Systems
                  and Networks, {DSN} 2014, Atlanta, GA, USA, June 23-26, 2014},
  pages        = {216--227},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/DSN.2014.32},
  doi          = {10.1109/DSN.2014.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/JiangZY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/JiangZYZ14,
  author       = {Lei Jiang and
                  Bo Zhao and
                  Jun Yang and
                  Youtao Zhang},
  title        = {A low power and reliable charge pump design for Phase Change Memories},
  booktitle    = {{ACM/IEEE} 41st International Symposium on Computer Architecture,
                  {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014},
  pages        = {397--408},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISCA.2014.6853194},
  doi          = {10.1109/ISCA.2014.6853194},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/JiangZYZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/JiangDZZCY13,
  author       = {Lei Jiang and
                  Yu Du and
                  Bo Zhao and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  title        = {Hardware-Assisted Cooperative Integration of Wear-Leveling and Salvaging
                  for Phase Change Memory},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {10},
  number       = {2},
  pages        = {7:1--7:25},
  year         = {2013},
  url          = {https://doi.org/10.1145/2459316.2459318},
  doi          = {10.1145/2459316.2459318},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/JiangDZZCY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ZhaoDYZ13,
  author       = {Bo Zhao and
                  Yu Du and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked
                  Multicore Processor},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {11},
  pages        = {2252--2265},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.129},
  doi          = {10.1109/TC.2012.129},
  timestamp    = {Sat, 12 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/ZhaoDYZ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ZhaoYZCL13,
  author       = {Bo Zhao and
                  Jun Yang and
                  Youtao Zhang and
                  Yiran Chen and
                  Hai Li},
  title        = {Common-source-line array: An area efficient memory architecture for
                  bipolar nonvolatile devices},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {18},
  number       = {4},
  pages        = {57:1--57:18},
  year         = {2013},
  url          = {https://doi.org/10.1145/2500459},
  doi          = {10.1145/2500459},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/ZhaoYZCL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuoYZC13,
  author       = {Jie Guo and
                  Jun Yang and
                  Youtao Zhang and
                  Yiran Chen},
  editor       = {Enrico Macii},
  title        = {Low cost power failure protection for {MLC} {NAND} flash storage systems
                  with {PRAM/DRAM} hybrid buffer},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {859--864},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.181},
  doi          = {10.7873/DATE.2013.181},
  timestamp    = {Tue, 05 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GuoYZC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhouZY13,
  author       = {Ping Zhou and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Enrico Macii},
  title        = {The design of sustainable wireless sensor network node using solar
                  energy and phase change memory},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {869--872},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.183},
  doi          = {10.7873/DATE.2013.183},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ZhouZY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangJZZ013,
  author       = {XianWei Zhang and
                  Le Jang and
                  Youtao Zhang and
                  Chuanjun Zhang and
                  Jun Yang},
  editor       = {Pai H. Chou and
                  Ru Huang and
                  Yuan Xie and
                  Tanay Karnik},
  title        = {WoM-SET: Low power proactive-SET-based {PCM} write using WoM code},
  booktitle    = {International Symposium on Low Power Electronics and Design (ISLPED),
                  Beijing, China, September 4-6, 2013},
  pages        = {217--222},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISLPED.2013.6629297},
  doi          = {10.1109/ISLPED.2013.6629297},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangJZZ013.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/ZhaoZY13,
  author       = {Bo Zhao and
                  Youtao Zhang and
                  Jun Yang},
  title        = {A speculative arbiter design to enable high-frequency many-VC router
                  in NoCs},
  booktitle    = {2013 Seventh {IEEE/ACM} International Symposium on Networks-on-Chip
                  (NoCS), Tempe, AZ, USA, April 21-24, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/NoCS.2013.6558415},
  doi          = {10.1109/NOCS.2013.6558415},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/ZhaoZY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JiangZZY12,
  author       = {Lei Jiang and
                  Bo Zhao and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Constructing large and fast multi-level cell {STT-MRAM} based cache
                  for embedded processors},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {907--912},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228521},
  doi          = {10.1145/2228360.2228521},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JiangZZY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhaoYZCL12,
  author       = {Bo Zhao and
                  Jun Yang and
                  Youtao Zhang and
                  Yiran Chen and
                  Hai Li},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Architecting a common-source-line array for bipolar non-volatile memory
                  devices},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1451--1454},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176594},
  doi          = {10.1109/DATE.2012.6176594},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhaoYZCL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/JiangZZYC12,
  author       = {Lei Jiang and
                  Bo Zhao and
                  Youtao Zhang and
                  Jun Yang and
                  Bruce R. Childers},
  title        = {Improving write operations in {MLC} phase change memory},
  booktitle    = {18th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2012, New Orleans, LA, USA, 25-29 February, 2012},
  pages        = {201--210},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/HPCA.2012.6169027},
  doi          = {10.1109/HPCA.2012.6169027},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/JiangZZYC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/XuYM12,
  author       = {Yi Xu and
                  Jun Yang and
                  Rami G. Melhem},
  editor       = {Utpal Banerjee and
                  Kyle A. Gallivan and
                  Gianfranco Bilardi and
                  Manolis Katevenis},
  title        = {Channel borrowing: an energy-efficient nanophotonic crossbar architecture
                  with light-weight arbitration},
  booktitle    = {International Conference on Supercomputing, ICS'12, Venice, Italy,
                  June 25-29, 2012},
  pages        = {133--142},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2304576.2304595},
  doi          = {10.1145/2304576.2304595},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/XuYM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/XuYM12,
  author       = {Yi Xu and
                  Jun Yang and
                  Rami G. Melhem},
  title        = {Tolerating process variations in nanophotonic on-chip networks},
  booktitle    = {39th International Symposium on Computer Architecture {(ISCA} 2012),
                  June 9-13, 2012, Portland, OR, {USA}},
  pages        = {142--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISCA.2012.6237013},
  doi          = {10.1109/ISCA.2012.6237013},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/XuYM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JiangZY12,
  author       = {Lei Jiang and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Naresh R. Shanbhag and
                  Massimo Poncino and
                  Pai H. Chou and
                  Ajith Amerasekera},
  title        = {{ER:} elastic {RESET} for low power and long endurance {MLC} based
                  phase change memory},
  booktitle    = {International Symposium on Low Power Electronics and Design, ISLPED'12,
                  Redondo Beach, CA, {USA} - July 30 - August 01, 2012},
  pages        = {39--44},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2333660.2333672},
  doi          = {10.1145/2333660.2333672},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JiangZY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/JiangZC012,
  author       = {Lei Jiang and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  title        = {{FPB:} Fine-grained Power Budgeting to Improve Write Throughput of
                  Multi-level Cell Phase Change Memory},
  booktitle    = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012},
  pages        = {1--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MICRO.2012.10},
  doi          = {10.1109/MICRO.2012.10},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/JiangZC012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/ZhouZZYC11,
  author       = {Ping Zhou and
                  Bo Zhao and
                  Youtao Zhang and
                  Jun Yang and
                  Yiran Chen},
  editor       = {Lawrence Rauchwerger and
                  Vivek Sarkar},
  title        = {{MRAC:} {A} Memristor-based Reconfigurable Framework for Adaptive
                  Cache Replacement},
  booktitle    = {2011 International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2011, Galveston, TX, USA, October 10-14, 2011},
  pages        = {207--208},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/PACT.2011.29},
  doi          = {10.1109/PACT.2011.29},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/ZhouZZYC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiZY11,
  author       = {Lin Li and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Proactive recovery for {BTI} in high-k {SRAM} cells},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {992--997},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763161},
  doi          = {10.1109/DATE.2011.5763161},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiZY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsn/JiangDZCY11,
  author       = {Lei Jiang and
                  Yu Du and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Jun Yang},
  title        = {{LLS:} Cooperative integration of wear-leveling and salvaging for
                  {PCM} main memory},
  booktitle    = {Proceedings of the 2011 {IEEE/IFIP} International Conference on Dependable
                  Systems and Networks, {DSN} 2011, Hong Kong, China, June 27-30 2011},
  pages        = {221--232},
  publisher    = {{IEEE} Compute Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/DSN.2011.5958221},
  doi          = {10.1109/DSN.2011.5958221},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsn/JiangDZCY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/XuDZY11,
  author       = {Yi Xu and
                  Yu Du and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {David K. Lowenthal and
                  Bronis R. de Supinski and
                  Sally A. McKee},
  title        = {A composite and scalable cache coherence protocol for large scale
                  CMPs},
  booktitle    = {Proceedings of the 25th International Conference on Supercomputing,
                  2011, Tucson, AZ, USA, May 31 - June 04, 2011},
  pages        = {285--294},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1995896.1995941},
  doi          = {10.1145/1995896.1995941},
  timestamp    = {Tue, 06 Nov 2018 11:07:03 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/XuDZY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JiangZY11,
  author       = {Lei Jiang and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Naehyuck Chang and
                  Hiroshi Nakamura and
                  Koji Inoue and
                  Kenichi Osada and
                  Massimo Poncino},
  title        = {Enhancing phase change memory lifetime through fine-grained current
                  regulation and voltage upscaling},
  booktitle    = {Proceedings of the 2011 International Symposium on Low Power Electronics
                  and Design, 2011, Fukuoka, Japan, August 1-3, 2011},
  pages        = {127--132},
  publisher    = {{IEEE/ACM}},
  year         = {2011},
  url          = {http://portal.acm.org/citation.cfm?id=2016837\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807},
  timestamp    = {Thu, 27 Apr 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/JiangZY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wimob/ShiYJYX11,
  author       = {Weidong Shi and
                  Jun Yang and
                  Yifei Jiang and
                  Feng Yang and
                  Yingen Xiong},
  title        = {SenGuard: Passive user identification on smartphones using multiple
                  sensors},
  booktitle    = {{IEEE} 7th International Conference on Wireless and Mobile Computing,
                  Networking and Communications, WiMob 2011, Shanghai, China, October
                  10-12, 2011},
  pages        = {141--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/WiMOB.2011.6085412},
  doi          = {10.1109/WIMOB.2011.6085412},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/wimob/ShiYJYX11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jnca/ZhangYLWJ10,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Weijia Li and
                  Linzhang Wang and
                  Lingling Jin},
  title        = {An authentication scheme for locating compromised sensor nodes in
                  WSNs},
  journal      = {J. Netw. Comput. Appl.},
  volume       = {33},
  number       = {1},
  pages        = {50--62},
  year         = {2010},
  url          = {https://doi.org/10.1016/j.jnca.2009.06.003},
  doi          = {10.1016/J.JNCA.2009.06.003},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jnca/ZhangYLWJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/YangGZCL10,
  author       = {Jun Yang and
                  Lan Gao and
                  Youtao Zhang and
                  Marek Chrobak and
                  Hsien{-}Hsin S. Lee},
  title        = {A low-cost memory remapping scheme for address bus protection},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {70},
  number       = {5},
  pages        = {443--457},
  year         = {2010},
  url          = {https://doi.org/10.1016/j.jpdc.2009.11.008},
  doi          = {10.1016/J.JPDC.2009.11.008},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/YangGZCL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/LeeZYZZIMB10,
  author       = {Benjamin C. Lee and
                  Ping Zhou and
                  Jun Yang and
                  Youtao Zhang and
                  Bo Zhao and
                  Engin Ipek and
                  Onur Mutlu and
                  Doug Burger},
  title        = {Phase-Change Technology and the Future of Main Memory},
  journal      = {{IEEE} Micro},
  volume       = {30},
  number       = {1},
  pages        = {143},
  year         = {2010},
  url          = {https://doi.org/10.1109/MM.2010.24},
  doi          = {10.1109/MM.2010.24},
  timestamp    = {Sat, 12 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/LeeZYZZIMB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/ZhouYCZ10,
  author       = {Xiuyi Zhou and
                  Jun Yang and
                  Marek Chrobak and
                  Youtao Zhang},
  title        = {Performance-aware thermal management via task scheduling},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {7},
  number       = {1},
  pages        = {5:1--5:31},
  year         = {2010},
  url          = {https://doi.org/10.1145/1736065.1736070},
  doi          = {10.1145/1736065.1736070},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/ZhouYCZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/ZhouYXZZ10,
  author       = {Xiuyi Zhou and
                  Jun Yang and
                  Yi Xu and
                  Youtao Zhang and
                  Jianhua Zhao},
  title        = {Thermal-Aware Task Scheduling for 3D Multicore Processors},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {21},
  number       = {1},
  pages        = {60--71},
  year         = {2010},
  url          = {https://doi.org/10.1109/TPDS.2009.27},
  doi          = {10.1109/TPDS.2009.27},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/ZhouYXZZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/winet/ZhangYVW10,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Hai Trong Vu and
                  Yizhi Wu},
  title        = {The design and evaluation of interleaved authentication for filtering
                  false reports in multipath routing WSNs},
  journal      = {Wirel. Networks},
  volume       = {16},
  number       = {1},
  pages        = {125--140},
  year         = {2010},
  url          = {https://doi.org/10.1007/s11276-008-0119-0},
  doi          = {10.1007/S11276-008-0119-0},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/winet/ZhangYVW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiZYZ10,
  author       = {Lin Li and
                  Youtao Zhang and
                  Jun Yang and
                  Jianhua Zhao},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {Proactive {NBTI} mitigation for busy functional units in out-of-order
                  microprocessors},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {411--416},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5457170},
  doi          = {10.1109/DATE.2010.5457170},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiZYZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/XuZZY10,
  author       = {Yi Xu and
                  Bo Zhao and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {Matthew T. Jacob and
                  Chita R. Das and
                  Pradip Bose},
  title        = {Simple virtual channel allocation for high throughput and high frequency
                  on-chip routers},
  booktitle    = {16th International Conference on High-Performance Computer Architecture
                  {(HPCA-16} 2010), 9-14 January 2010, Bangalore, India},
  pages        = {1--11},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/HPCA.2010.5416640},
  doi          = {10.1109/HPCA.2010.5416640},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/XuZZY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/ZhouDZY10,
  author       = {Ping Zhou and
                  Yu Du and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Fine-grained QoS scheduling for PCM-based main memory systems},
  booktitle    = {24th {IEEE} International Symposium on Parallel and Distributed Processing,
                  {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Conference
                  Proceedings},
  pages        = {1--12},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/IPDPS.2010.5470451},
  doi          = {10.1109/IPDPS.2010.5470451},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/ZhouDZY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/ZhangYG09,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Lan Gao},
  title        = {Supporting flexible streaming media protection through privacy-aware
                  secure processors},
  journal      = {Comput. Electr. Eng.},
  volume       = {35},
  number       = {2},
  pages        = {286--299},
  year         = {2009},
  url          = {https://doi.org/10.1016/j.compeleceng.2008.06.001},
  doi          = {10.1016/J.COMPELECENG.2008.06.001},
  timestamp    = {Wed, 19 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cee/ZhangYG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/LiZYZ09,
  author       = {Weijia Li and
                  Youtao Zhang and
                  Jun Yang and
                  Jiang Zheng},
  title        = {Towards update-conscious compilation for energy-efficient code dissemination
                  in WSNs},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {6},
  number       = {4},
  pages        = {14:1--14:33},
  year         = {2009},
  url          = {https://doi.org/10.1145/1596510.1596512},
  doi          = {10.1145/1596510.1596512},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/LiZYZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/SureshAYN09,
  author       = {Dinesh C. Suresh and
                  Banit Agrawal and
                  Jun Yang and
                  Walid A. Najjar},
  title        = {Tunable and Energy Efficient Bus Encoding Techniques},
  journal      = {{IEEE} Trans. Computers},
  volume       = {58},
  number       = {8},
  pages        = {1049--1062},
  year         = {2009},
  url          = {https://doi.org/10.1109/TC.2009.39},
  doi          = {10.1109/TC.2009.39},
  timestamp    = {Wed, 14 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/SureshAYN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/SureshAYN09,
  author       = {Dinesh C. Suresh and
                  Banit Agrawal and
                  Jun Yang and
                  Walid A. Najjar},
  title        = {Energy-efficient encoding techniques for off-chip data buses},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {8},
  number       = {2},
  pages        = {9:1--9:23},
  year         = {2009},
  url          = {https://doi.org/10.1145/1457255.1457256},
  doi          = {10.1145/1457255.1457256},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/SureshAYN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhouZDXZYZ09,
  author       = {Ping Zhou and
                  Bo Zhao and
                  Yu Du and
                  Yi Xu and
                  Youtao Zhang and
                  Jun Yang and
                  Li Zhao},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Frequent value compression in packet-based NoC architectures},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796434},
  doi          = {10.1109/ASPDAC.2009.4796434},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhouZDXZYZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/XuDZZZY09,
  author       = {Yi Xu and
                  Yu Du and
                  Bo Zhao and
                  Xiuyi Zhou and
                  Youtao Zhang and
                  Jun Yang},
  title        = {A low-radix and low-diameter 3D interconnection network design},
  booktitle    = {15th International Conference on High-Performance Computer Architecture
                  {(HPCA-15} 2009), 14-18 February 2009, Raleigh, North Carolina, {USA}},
  pages        = {30--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/HPCA.2009.4798234},
  doi          = {10.1109/HPCA.2009.4798234},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/XuDZZZY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhouZYZ09,
  author       = {Ping Zhou and
                  Bo Zhao and
                  Jun Yang and
                  Youtao Zhang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Energy reduction for {STT-RAM} using early write termination},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {264--268},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687448},
  doi          = {10.1145/1687399.1687448},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhouZYZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/ZhouZYZ09,
  author       = {Ping Zhou and
                  Bo Zhao and
                  Jun Yang and
                  Youtao Zhang},
  editor       = {Stephen W. Keckler and
                  Luiz Andr{\'{e}} Barroso},
  title        = {A durable and energy efficient main memory using phase change memory
                  technology},
  booktitle    = {36th International Symposium on Computer Architecture {(ISCA} 2009),
                  June 20-24, 2009, Austin, TX, {USA}},
  pages        = {14--23},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1555754.1555759},
  doi          = {10.1145/1555754.1555759},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/ZhouZYZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/ZhaoDZY09,
  author       = {Bo Zhao and
                  Yu Du and
                  Youtao Zhang and
                  Jun Yang},
  editor       = {David H. Albonesi and
                  Margaret Martonosi and
                  David I. August and
                  Jos{\'{e}} F. Mart{\'{\i}}nez},
  title        = {Variation-tolerant non-uniform 3D cache management in die stacked
                  multicore processor},
  booktitle    = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}},
  pages        = {222--231},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1669112.1669141},
  doi          = {10.1145/1669112.1669141},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/ZhaoDZY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/euc/LiDZCZY08,
  author       = {Weijia Li and
                  Yu Du and
                  Youtao Zhang and
                  Bruce R. Childers and
                  Ping Zhou and
                  Jun Yang},
  editor       = {Cheng{-}Zhong Xu and
                  Minyi Guo},
  title        = {Adaptive Buffer Management for Efficient Code Dissemination in Multi-Application
                  Wireless Sensor Networks},
  booktitle    = {2008 {IEEE/IPIP} International Conference on Embedded and Ubiquitous
                  Computing {(EUC} 2008), Shanghai, China, December 17-20, 2008, Volume
                  {I}},
  pages        = {295--301},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/EUC.2008.160},
  doi          = {10.1109/EUC.2008.160},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/euc/LiDZCZY08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpp/ZhouXDZY08,
  author       = {Xiuyi Zhou and
                  Yi Xu and
                  Yu Du and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Thermal Management for 3D Processors via Task Scheduling},
  booktitle    = {2008 International Conference on Parallel Processing, {ICPP} 2008,
                  September 8-12, 2008, Portland, Oregon, {USA}},
  pages        = {115--122},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICPP.2008.51},
  doi          = {10.1109/ICPP.2008.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icpp/ZhouXDZY08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/ZhangYL08,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Weijia Li},
  title        = {Towards energy-efficient code dissemination in wireless sensor networks},
  booktitle    = {22nd {IEEE} International Symposium on Parallel and Distributed Processing,
                  {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/IPDPS.2008.4536396},
  doi          = {10.1109/IPDPS.2008.4536396},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/ZhangYL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/YangZCZJ08,
  author       = {Jun Yang and
                  Xiuyi Zhou and
                  Marek Chrobak and
                  Youtao Zhang and
                  Lingling Jin},
  title        = {Dynamic Thermal Management through Task Scheduling},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA,
                  Proceedings},
  pages        = {191--201},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISPASS.2008.4510751},
  doi          = {10.1109/ISPASS.2008.4510751},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/YangZCZJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/LuoYYB07,
  author       = {Yan Luo and
                  Jia Yu and
                  Jun Yang and
                  Laxmi N. Bhuyan},
  title        = {Conserving network processor power consumption by exploiting traffic
                  variability},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {4},
  number       = {1},
  pages        = {4},
  year         = {2007},
  url          = {https://doi.org/10.1145/1216544.1216547},
  doi          = {10.1145/1216544.1216547},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/LuoYYB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/WuJYLT07,
  author       = {Wei Wu and
                  Lingling Jin and
                  Jun Yang and
                  Pu Liu and
                  Sheldon X.{-}D. Tan},
  title        = {Efficient power modeling and software thermal sensing for runtime
                  temperature monitoring},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {12},
  number       = {3},
  pages        = {25:1--25:29},
  year         = {2007},
  url          = {https://doi.org/10.1145/1255456.1255462},
  doi          = {10.1145/1255456.1255462},
  timestamp    = {Thu, 04 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/WuJYLT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuYBY07,
  author       = {Jia Yu and
                  Jingnan Yao and
                  Laxmi N. Bhuyan and
                  Jun Yang},
  title        = {Program Mapping onto Network Processors by Recursive Bipartitioning
                  and Refining},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {805--810},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278681},
  doi          = {10.1145/1278480.1278681},
  timestamp    = {Thu, 26 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/YuYBY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WuTYL07,
  author       = {Wei Wu and
                  Sheldon X.{-}D. Tan and
                  Jun Yang and
                  Shih{-}Lien Lu},
  title        = {Improving the reliability of on-chip data caches under process variations},
  booktitle    = {25th International Conference on Computer Design, {ICCD} 2007, 7-10
                  October 2007, Lake Tahoe, CA, USA, Proceedings},
  pages        = {325--332},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCD.2007.4601920},
  doi          = {10.1109/ICCD.2007.4601920},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WuTYL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pldi/LiZYZ07,
  author       = {Weijia Li and
                  Youtao Zhang and
                  Jun Yang and
                  Jiang Zheng},
  editor       = {Jeanne Ferrante and
                  Kathryn S. McKinley},
  title        = {{UCC:} update-conscious compilation for energy efficiency in wireless
                  sensor networks},
  booktitle    = {Proceedings of the {ACM} {SIGPLAN} 2007 Conference on Programming
                  Language Design and Implementation, San Diego, California, USA, June
                  10-13, 2007},
  pages        = {383--393},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1250734.1250778},
  doi          = {10.1145/1250734.1250778},
  timestamp    = {Fri, 25 Jun 2021 14:48:54 +0200},
  biburl       = {https://dblp.org/rec/conf/pldi/LiZYZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4714,
  author       = {Jia Yu and
                  Wei Wu and
                  Xi Chen and
                  Harry Hsieh and
                  Jun Yang and
                  Felice Balarin},
  title        = {Assertion-Based Design Exploration of {DVS} in Network Processor Architectures},
  journal      = {CoRR},
  volume       = {abs/0710.4714},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4714},
  eprinttype    = {arXiv},
  eprint       = {0710.4714},
  timestamp    = {Thu, 04 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4714.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiuLJWTY06,
  author       = {Pu Liu and
                  Hang Li and
                  Lingling Jin and
                  Wei Wu and
                  Sheldon X.{-}D. Tan and
                  Jun Yang},
  title        = {Fast Thermal Simulation for Runtime Temperature Tracking and Management},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {12},
  pages        = {2882--2893},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2006.882594},
  doi          = {10.1109/TCAD.2006.882594},
  timestamp    = {Thu, 04 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LiuLJWTY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/GaoYCZNL06,
  author       = {Lan Gao and
                  Jun Yang and
                  Marek Chrobak and
                  Youtao Zhang and
                  San Nguyen and
                  Hsien{-}Hsin S. Lee},
  editor       = {Erik R. Altman and
                  Kevin Skadron and
                  Benjamin G. Zorn},
  title        = {A low-cost memory remapping scheme for address bus protection},
  booktitle    = {15th International Conference on Parallel Architectures and Compilation
                  Techniques {(PACT} 2006), Seattle, Washington, USA, September 16-20,
                  2006},
  pages        = {74--83},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1152154.1152169},
  doi          = {10.1145/1152154.1152169},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/GaoYCZNL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ZhangYG06,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Lan Gao},
  title        = {Efficient Group KeyManagement with Tamper-resistant {ISA} Extensions},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {269--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.30},
  doi          = {10.1109/ASAP.2006.30},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ZhangYG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WuJYLT06,
  author       = {Wei Wu and
                  Lingling Jin and
                  Jun Yang and
                  Pu Liu and
                  Sheldon X.{-}D. Tan},
  editor       = {Ellen Sentovich},
  title        = {A systematic method for functional unit power estimation in microprocessors},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {554--557},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147053},
  doi          = {10.1145/1146909.1147053},
  timestamp    = {Thu, 04 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WuJYLT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dcoss/ZhangYJL06,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Lingling Jin and
                  Weijia Li},
  editor       = {Phillip B. Gibbons and
                  Tarek F. Abdelzaher and
                  James Aspnes and
                  Ramesh R. Rao},
  title        = {Locating Compromised Sensor Nodes Through Incremental Hashing Authentication},
  booktitle    = {Distributed Computing in Sensor Systems, Second {IEEE} International
                  Conference, {DCOSS} 2006, San Francisco, CA, USA, June 18-20, 2006,
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4026},
  pages        = {321--337},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11776178\_20},
  doi          = {10.1007/11776178\_20},
  timestamp    = {Tue, 14 May 2019 10:00:38 +0200},
  biburl       = {https://dblp.org/rec/conf/dcoss/ZhangYJL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ShiFGLZY06,
  author       = {Weidong Shi and
                  Joshua B. Fryman and
                  Guofei Gu and
                  Hsien{-}Hsin S. Lee and
                  Youtao Zhang and
                  Jun Yang},
  title        = {InfoShield: a security architecture for protecting information usage
                  in memory},
  booktitle    = {12th International Symposium on High-Performance Computer Architecture,
                  {HPCA-12} 2006, Austin, Texas, USA, February 11-15, 2006},
  pages        = {222--231},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/HPCA.2006.1598131},
  doi          = {10.1109/HPCA.2006.1598131},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/ShiFGLZY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JinWYZZ06,
  author       = {Lingling Jin and
                  Wei Wu and
                  Jun Yang and
                  Chuanjun Zhang and
                  Youtao Zhang},
  title        = {Reduce Register Files Leakage Through Discharging Cells},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {114--119},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380803},
  doi          = {10.1109/ICCD.2006.4380803},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JinWYZZ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/ZhangYV06,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Hai Trong Vu},
  title        = {The interleaved authentication for filtering false reports in multipath
                  routing based sensor networks},
  booktitle    = {20th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/IPDPS.2006.1639330},
  doi          = {10.1109/IPDPS.2006.1639330},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/ZhangYV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mass/LiZY06,
  author       = {Weijia Li and
                  Youtao Zhang and
                  Jun Yang},
  title        = {Dynamic Authentication-Key Re-assignment for Reliable Report Delivery},
  booktitle    = {{IEEE} 3rd International Conference on Mobile Adhoc and Sensor Systems,
                  {MASS} 2006, 9-12 October 2006, Vancouver, BC, Canada},
  pages        = {467--476},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/MOBHOC.2006.278587},
  doi          = {10.1109/MOBHOC.2006.278587},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mass/LiZY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jec/ZhangY05,
  author       = {Youtao Zhang and
                  Jun Yang},
  title        = {Reducing I-cache energy of multimedia applications through low cost
                  tag comparison elimination},
  journal      = {J. Embed. Comput.},
  volume       = {1},
  number       = {4},
  pages        = {461--470},
  year         = {2005},
  url          = {http://content.iospress.com/articles/journal-of-embedded-computing/jec00046},
  timestamp    = {Fri, 07 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jec/ZhangY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/YangYZ05,
  author       = {Jun Yang and
                  Jia Yu and
                  Youtao Zhang},
  title        = {A low energy cache design for multimedia applications exploiting set
                  access locality},
  journal      = {J. Syst. Archit.},
  volume       = {51},
  number       = {10-11},
  pages        = {653--664},
  year         = {2005},
  url          = {https://doi.org/10.1016/j.sysarc.2005.02.003},
  doi          = {10.1016/J.SYSARC.2005.02.003},
  timestamp    = {Tue, 19 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/YangYZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/ZhangYLG05,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Yongjing Lin and
                  Lan Gao},
  title        = {Architectural support for protecting user privacy on trusted processors},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {33},
  number       = {1},
  pages        = {118--123},
  year         = {2005},
  url          = {https://doi.org/10.1145/1055626.1055642},
  doi          = {10.1145/1055626.1055642},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/ZhangYLG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/ZhangVYN05,
  author       = {Chuanjun Zhang and
                  Frank Vahid and
                  Jun Yang and
                  Walid A. Najjar},
  title        = {A way-halting cache for low-energy high-performance systems},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {2},
  number       = {1},
  pages        = {34--54},
  year         = {2005},
  url          = {https://doi.org/10.1145/1061267.1061270},
  doi          = {10.1145/1061267.1061270},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/ZhangVYN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/YangGZ05,
  author       = {Jun Yang and
                  Lan Gao and
                  Youtao Zhang},
  title        = {Improving Memory Encryption Performance in Secure Processors},
  journal      = {{IEEE} Trans. Computers},
  volume       = {54},
  number       = {5},
  pages        = {630--640},
  year         = {2005},
  url          = {https://doi.org/10.1109/TC.2005.80},
  doi          = {10.1109/TC.2005.80},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/YangGZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LuoYYB05,
  author       = {Yan Luo and
                  Jia Yu and
                  Jun Yang and
                  Laxmi N. Bhuyan},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {Low power network processor design using clock gating},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {712--715},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065766},
  doi          = {10.1145/1065579.1065766},
  timestamp    = {Thu, 26 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LuoYYB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YuWCHYB05,
  author       = {Jia Yu and
                  Wei Wu and
                  Xi Chen and
                  Harry Hsieh and
                  Jun Yang and
                  Felice Balarin},
  title        = {Assertion-Based Design Exploration of {DVS} in Network Processor Architectures},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {92--97},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.69},
  doi          = {10.1109/DATE.2005.69},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YuWCHYB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hipeac/YuYCLB05,
  author       = {Jia Yu and
                  Jun Yang and
                  Shaojie Chen and
                  Yan Luo and
                  Laxmi N. Bhuyan},
  editor       = {Thomas M. Conte and
                  Nacho Navarro and
                  Wen{-}mei W. Hwu and
                  Mateo Valero and
                  Theo Ungerer},
  title        = {Enhancing Network Processor Simulation Speed with Statistical Input
                  Sampling},
  booktitle    = {High Performance Embedded Architectures and Compilers, First International
                  Conference, HiPEAC 2005, Barcelona, Spain, November 17-18, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3793},
  pages        = {68--83},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11587514\_6},
  doi          = {10.1007/11587514\_6},
  timestamp    = {Thu, 26 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hipeac/YuYCLB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ZhangGYZG05,
  author       = {Youtao Zhang and
                  Lan Gao and
                  Jun Yang and
                  Xiangyu Zhang and
                  Rajiv Gupta},
  title        = {{SENSS:} Security Enhancement to Symmetric Shared Memory Multiprocessors},
  booktitle    = {11th International Conference on High-Performance Computer Architecture
                  {(HPCA-11} 2005), 12-16 February 2005, San Francisco, CA, {USA}},
  pages        = {352--362},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/HPCA.2005.31},
  doi          = {10.1109/HPCA.2005.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/ZhangGYZG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuQLJWTY05,
  author       = {Pu Liu and
                  Zhenyu Qi and
                  Hang Li and
                  Lingling Jin and
                  Wei Wu and
                  Sheldon X.{-}D. Tan and
                  Jun Yang},
  title        = {Fast thermal simulation for architecture level dynamic thermal management},
  booktitle    = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005,
                  San Jose, CA, USA, November 6-10, 2005},
  pages        = {639--644},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCAD.2005.1560145},
  doi          = {10.1109/ICCAD.2005.1560145},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuQLJWTY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiLQJWTY05,
  author       = {Hang Li and
                  Pu Liu and
                  Zhenyu Qi and
                  Lingling Jin and
                  Wei Wu and
                  Sheldon X.{-}D. Tan and
                  Jun Yang},
  title        = {Efficient Thermal Simulation for Run-Time Temperature Tracking and
                  Management},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {130--136},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.46},
  doi          = {10.1109/ICCD.2005.46},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiLQJWTY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SureshANY05,
  author       = {Dinesh C. Suresh and
                  Banit Agrawal and
                  Walid A. Najjar and
                  Jun Yang},
  title        = {{VALVE:} Variable Length Value Encoder for Off-Chip Data Buses.},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {631--633},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.113},
  doi          = {10.1109/ICCD.2005.113},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SureshANY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icess/JinWYZZ05,
  author       = {Lingling Jin and
                  Wei Wu and
                  Jun Yang and
                  Chuanjun Zhang and
                  Youtao Zhang},
  editor       = {Laurence Tianruo Yang and
                  Xingshe Zhou and
                  Wei Zhao and
                  Zhaohui Wu and
                  Yian Zhu and
                  Man Lin},
  title        = {Dynamic Co-allocation of Level One Caches},
  booktitle    = {Embedded Software and Systems, Second International Conference, {ICESS}
                  2005, Xi'an, China, December 16-18, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3820},
  pages        = {373--385},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11599555\_36},
  doi          = {10.1007/11599555\_36},
  timestamp    = {Fri, 09 Apr 2021 18:39:46 +0200},
  biburl       = {https://dblp.org/rec/conf/icess/JinWYZZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SureshAYN05,
  author       = {Dinesh C. Suresh and
                  Banit Agrawal and
                  Jun Yang and
                  Walid A. Najjar},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A tunable bus encoder for off-chip data buses},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {319--322},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077680},
  doi          = {10.1145/1077603.1077680},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SureshAYN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sac/LinZLY05,
  author       = {Yongjing Lin and
                  Youtao Zhang and
                  Quanzhong Li and
                  Jun Yang},
  editor       = {Hisham Haddad and
                  Lorie M. Liebrock and
                  Andrea Omicini and
                  Roger L. Wainwright},
  title        = {Supporting efficient query processing on compressed {XML} files},
  booktitle    = {Proceedings of the 2005 {ACM} Symposium on Applied Computing (SAC),
                  Santa Fe, New Mexico, USA, March 13-17, 2005},
  pages        = {660--665},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1066677.1066827},
  doi          = {10.1145/1066677.1066827},
  timestamp    = {Sat, 21 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sac/LinZLY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/SureshNY05,
  author       = {Dinesh C. Suresh and
                  Walid A. Najjar and
                  Jun Yang},
  editor       = {Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Andy D. Pimentel and
                  Jarmo Takala and
                  Stamatis Vassiliadis},
  title        = {Power Efficient Instruction Caches for Embedded Systems},
  booktitle    = {Embedded Computer Systems: Architectures, Modeling, and Simulation
                  5th International Workshop, {SAMOS} 2005, Samos, Greece, July 18-20,
                  2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3553},
  pages        = {182--191},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11512622\_20},
  doi          = {10.1007/11512622\_20},
  timestamp    = {Tue, 14 May 2019 10:00:45 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/SureshNY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/LuoYBZ04,
  author       = {Yan Luo and
                  Jun Yang and
                  Laxmi N. Bhuyan and
                  Li Zhao},
  title        = {NePSim: {A} Network Processor Simulator with a Power Evaluation Framework},
  journal      = {{IEEE} Micro},
  volume       = {24},
  number       = {5},
  pages        = {34--44},
  year         = {2004},
  url          = {https://doi.org/10.1109/MM.2004.52},
  doi          = {10.1109/MM.2004.52},
  timestamp    = {Fri, 29 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/LuoYBZ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YangGZ04,
  author       = {Jun Yang and
                  Rajiv Gupta and
                  Chuanjun Zhang},
  title        = {Frequent value encoding for low power data buses},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {9},
  number       = {3},
  pages        = {354--384},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013948.1013953},
  doi          = {10.1145/1013948.1013953},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/YangGZ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhangYV04,
  author       = {Chuanjun Zhang and
                  Jun Yang and
                  Frank Vahid},
  title        = {Low Static-Power Frequent-Value Data Caches},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {214--219},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268851},
  doi          = {10.1109/DATE.2004.1268851},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhangYV04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/YuWCH0B04,
  author       = {Jia Yu and
                  Wei Wu and
                  Xi Chen and
                  Harry Hsieh and
                  Jun Yang and
                  Felice Balarin},
  title        = {Assertion-based power/performance analysis of network processor architectures},
  booktitle    = {Ninth {IEEE} International High-Level Design Validation and Test Workshop
                  2004, Sonoma Valley, CA, USA, November 10-12, 2004},
  pages        = {155--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/HLDVT.2004.1431261},
  doi          = {10.1109/HLDVT.2004.1431261},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/YuWCH0B04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangVYN04,
  author       = {Chuanjun Zhang and
                  Frank Vahid and
                  Jun Yang and
                  Walid A. Najjar},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A way-halting cache for low-energy high-performance systems},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {126--131},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013272},
  doi          = {10.1145/1013235.1013272},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangVYN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/ZhangVYN03,
  author       = {Chuanjun Zhang and
                  Frank Vahid and
                  Jun Yang and
                  Walid A. Najjar},
  title        = {A Way-Halting Cache for Low-Energy High-Performance Systems},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {2},
  year         = {2003},
  url          = {https://doi.org/10.1109/L-CA.2003.2},
  doi          = {10.1109/L-CA.2003.2},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/ZhangVYN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/SureshAYNB03,
  author       = {Dinesh C. Suresh and
                  Banit Agrawal and
                  Jun Yang and
                  Walid A. Najjar and
                  Laxmi N. Bhuyan},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Power efficient encoding techniques for off-chip data buses},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {267--275},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951745},
  doi          = {10.1145/951710.951745},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/SureshAYNB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hipc/SureshYZAN03,
  author       = {Dinesh C. Suresh and
                  Jun Yang and
                  Chuanjun Zhang and
                  Banit Agrawal and
                  Walid A. Najjar},
  editor       = {Timothy Mark Pinkston and
                  Viktor K. Prasanna},
  title        = {{FV-MSB:} {A} Scheme for Reducing Transition Activity on Data Buses},
  booktitle    = {High Performance Computing - HiPC 2003, 10th International Conference,
                  Hyderabad, India, December 17-20, 2003, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2913},
  pages        = {44--54},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/978-3-540-24596-4\_6},
  doi          = {10.1007/978-3-540-24596-4\_6},
  timestamp    = {Tue, 14 May 2019 10:00:50 +0200},
  biburl       = {https://dblp.org/rec/conf/hipc/SureshYZAN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpp/ZhangY03,
  author       = {Youtao Zhang and
                  Jun Yang},
  title        = {Procedural Level Address Offset Assignment of {DSP} Applications with
                  Loops},
  booktitle    = {32nd International Conference on Parallel Processing {(ICPP} 2003),
                  6-9 October 2003, Kaohsiung, Taiwan},
  pages        = {21--28},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICPP.2003.1240562},
  doi          = {10.1109/ICPP.2003.1240562},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icpp/ZhangY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangY03,
  author       = {Youtao Zhang and
                  Jun Yang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Low cost instruction cache designs for tag comparison elimination},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {266--269},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871572},
  doi          = {10.1145/871506.871572},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangZ03,
  author       = {Jun Yang and
                  Youtao Zhang},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Lightweight set buffer: low power data cache for multimedia application},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {270--273},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871573},
  doi          = {10.1145/871506.871573},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YangZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/YangZG03,
  author       = {Jun Yang and
                  Youtao Zhang and
                  Lan Gao},
  title        = {Fast Secure Processor for Inhibiting Software Piracy and Tampering},
  booktitle    = {Proceedings of the 36th Annual International Symposium on Microarchitecture,
                  San Diego, CA, USA, December 3-5, 2003},
  pages        = {351--360},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/MICRO.2003.1253209},
  doi          = {10.1109/MICRO.2003.1253209},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/YangZG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/YangG02,
  author       = {Jun Yang and
                  Rajiv Gupta},
  title        = {Frequent value locality and its applications},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {1},
  number       = {1},
  pages        = {79--105},
  year         = {2002},
  url          = {https://doi.org/10.1145/581888.581894},
  doi          = {10.1145/581888.581894},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/YangG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/YangG02,
  author       = {Jun Yang and
                  Rajiv Gupta},
  editor       = {Erik R. Altman and
                  Kemal Ebcioglu and
                  Scott A. Mahlke and
                  B. Ramakrishna Rau and
                  Sanjay J. Patel},
  title        = {Energy efficient frequent value data cache design},
  booktitle    = {Proceedings of the 35th Annual International Symposium on Microarchitecture,
                  Istanbul, Turkey, November 18-22, 2002},
  pages        = {197--207},
  publisher    = {{ACM/IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/MICRO.2002.1176250},
  doi          = {10.1109/MICRO.2002.1176250},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/YangG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangG01,
  author       = {Jun Yang and
                  Rajiv Gupta},
  editor       = {Enrico Macii and
                  Vivek De and
                  Mary Jane Irwin},
  title        = {Energy-efficient load and store reuse},
  booktitle    = {Proceedings of the 2001 International Symposium on Low Power Electronics
                  and Design, 2001, Huntington Beach, California, USA, 2001},
  pages        = {72--75},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/383082.383097},
  doi          = {10.1145/383082.383097},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YangG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangG01a,
  author       = {Jun Yang and
                  Rajiv Gupta},
  editor       = {Enrico Macii and
                  Vivek De and
                  Mary Jane Irwin},
  title        = {{FV} encoding for low-power data {I/O}},
  booktitle    = {Proceedings of the 2001 International Symposium on Low Power Electronics
                  and Design, 2001, Huntington Beach, California, USA, 2001},
  pages        = {84--87},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/383082.383100},
  doi          = {10.1145/383082.383100},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YangG01a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/ZhangYG00,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Rajiv Gupta},
  editor       = {Larry Rudolph and
                  Anoop Gupta},
  title        = {Frequent Value Locality and Value-Centric Data Cache Design},
  booktitle    = {{ASPLOS-IX} Proceedings of the 9th International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Cambridge,
                  MA, USA, November 12-15, 2000},
  pages        = {150--159},
  publisher    = {{ACM} Press},
  year         = {2000},
  url          = {https://doi.org/10.1145/378993.379235},
  doi          = {10.1145/378993.379235},
  timestamp    = {Wed, 07 Jul 2021 13:23:08 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/ZhangYG00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpp/YangG00,
  author       = {Jun Yang and
                  Rajiv Gupta},
  title        = {Load Redundancy Removal through Instruction Reuse},
  booktitle    = {Proceedings of the 2000 International Conference on Parallel Processing,
                  {ICPP} 2000, Toronto, Canada, August 21-24, 2000},
  pages        = {61--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICPP.2000.876075},
  doi          = {10.1109/ICPP.2000.876075},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icpp/YangG00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/YangZG00,
  author       = {Jun Yang and
                  Youtao Zhang and
                  Rajiv Gupta},
  editor       = {Andrew Wolfe and
                  Michael S. Schlansker},
  title        = {Frequent value compression in data caches},
  booktitle    = {Proceedings of the 33rd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 33, Monterey, California, USA, December
                  10-13, 2000},
  pages        = {258--265},
  publisher    = {{ACM/IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/MICRO.2000.898076},
  doi          = {10.1109/MICRO.2000.898076},
  timestamp    = {Tue, 31 May 2022 14:30:45 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/YangZG00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics