default search action
BibTeX records: Chris Wilkerson
@inproceedings{DBLP:conf/islped/NamZGMCWMR23, author = {Yujin Nam and Minxuan Zhou and Saransh Gupta and Gabrielle De Micheli and Rosario Cammarota and Chris Wilkerson and Daniele Micciancio and Tajana Rosing}, title = {Efficient Machine Learning on Encrypted Data Using Hyperdimensional Computing}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISLPED58423.2023.10244262}, doi = {10.1109/ISLPED58423.2023.10244262}, timestamp = {Mon, 25 Sep 2023 14:10:09 +0200}, biburl = {https://dblp.org/rec/conf/islped/NamZGMCWMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16293, author = {Minxuan Zhou and Yujin Nam and Pranav Gangwar and Weihong Xu and Arpan Dutta and Kartikeyan Subramanyam and Chris Wilkerson and Rosario Cammarota and Saransh Gupta and Tajana Rosing}, title = {FHEmem: {A} Processing In-Memory Accelerator for Fully Homomorphic Encryption}, journal = {CoRR}, volume = {abs/2311.16293}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16293}, doi = {10.48550/ARXIV.2311.16293}, eprinttype = {arXiv}, eprint = {2311.16293}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16293.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/TeranCWWJ18, author = {Elvira Teran and Zeshan Chishti and Zhe Wang and Chris Wilkerson and Daniel A. Jim{\'{e}}nez}, editor = {David R. Kaeli and Miquel Peric{\`{a}}s}, title = {Flexible associativity for {DRAM} caches}, booktitle = {Proceedings of the 15th {ACM} International Conference on Computing Frontiers, {CF} 2018, Ischia, Italy, May 08-10, 2018}, pages = {88--96}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3203217.3203283}, doi = {10.1145/3203217.3203283}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/TeranCWWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KotraZAWK18, author = {Jagadish B. Kotra and Haibo Zhang and Alaa R. Alameldeen and Chris Wilkerson and Mahmut T. Kandemir}, title = {{CHAMELEON:} {A} Dynamically Reconfigurable Heterogeneous Memory System}, booktitle = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018}, pages = {533--545}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MICRO.2018.00050}, doi = {10.1109/MICRO.2018.00050}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KotraZAWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-01289, author = {Kevin K. Chang and Donghyuk Lee and Zeshan Chishti and Alaa R. Alameldeen and Chris Wilkerson and Yoongu Kim and Onur Mutlu}, title = {Reducing {DRAM} Refresh Overheads with Refresh-Access Parallelism}, journal = {CoRR}, volume = {abs/1805.01289}, year = {2018}, url = {http://arxiv.org/abs/1805.01289}, eprinttype = {arXiv}, eprint = {1805.01289}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-01289.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/KhanWLAM17, author = {Samira Manabi Khan and Chris Wilkerson and Donghyuk Lee and Alaa R. Alameldeen and Onur Mutlu}, title = {A Case for Memory Content-Based Detection and Mitigation of Data-Dependent Failures in {DRAM}}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {16}, number = {2}, pages = {88--93}, year = {2017}, url = {https://doi.org/10.1109/LCA.2016.2624298}, doi = {10.1109/LCA.2016.2624298}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/KhanWLAM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/KimTGJPW17, author = {Jinchun Kim and Elvira Teran and Paul V. Gratz and Daniel A. Jim{\'{e}}nez and Seth H. Pugsley and Chris Wilkerson}, editor = {Yunji Chen and Olivier Temam and John Carter}, title = {Kill the Program Counter: Reconstructing Program Behavior in the Processor Cache Hierarchy}, booktitle = {Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2017, Xi'an, China, April 8-12, 2017}, pages = {737--749}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3037697.3037701}, doi = {10.1145/3037697.3037701}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/KimTGJPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KhanWWALM17, author = {Samira Manabi Khan and Chris Wilkerson and Zhe Wang and Alaa R. Alameldeen and Donghyuk Lee and Onur Mutlu}, editor = {Hillery C. Hunter and Jaime Moreno and Joel S. Emer and Daniel S{\'{a}}nchez}, title = {Detecting and mitigating data-dependent {DRAM} failures by exploiting current memory content}, booktitle = {Proceedings of the 50th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2017, Cambridge, MA, USA, October 14-18, 2017}, pages = {27--40}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123939.3123945}, doi = {10.1145/3123939.3123945}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KhanWWALM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-07754, author = {Kevin K. Chang and Donghyuk Lee and Zeshan Chishti and Alaa R. Alameldeen and Chris Wilkerson and Yoongu Kim and Onur Mutlu}, title = {Improving {DRAM} Performance by Parallelizing Refreshes with Accesses}, journal = {CoRR}, volume = {abs/1712.07754}, year = {2017}, url = {http://arxiv.org/abs/1712.07754}, eprinttype = {arXiv}, eprint = {1712.07754}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-07754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KimPGRWC16, author = {Jinchun Kim and Seth H. Pugsley and Paul V. Gratz and A. L. Narasimha Reddy and Chris Wilkerson and Zeshan Chishti}, title = {Path confidence based lookahead prefetching}, booktitle = {49th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2016, Taipei, Taiwan, October 15-19, 2016}, pages = {60:1--60:12}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MICRO.2016.7783763}, doi = {10.1109/MICRO.2016.7783763}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KimPGRWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChangLCAWKM16, author = {Kevin Kai{-}Wei Chang and Donghyuk Lee and Zeshan Chishti and Alaa R. Alameldeen and Chris Wilkerson and Yoongu Kim and Onur Mutlu}, title = {Reducing Performance Impact of {DRAM} Refresh by Parallelizing Refreshes with Accesses}, journal = {CoRR}, volume = {abs/1601.06352}, year = {2016}, url = {http://arxiv.org/abs/1601.06352}, eprinttype = {arXiv}, eprint = {1601.06352}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChangLCAWKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KimDKFLLWLM16, author = {Yoongu Kim and Ross Daly and Jeremie S. Kim and Chris Fallin and Ji{-}Hye Lee and Donghyuk Lee and Chris Wilkerson and Konrad Lai and Onur Mutlu}, title = {RowHammer: Reliability Analysis and Security Implications}, journal = {CoRR}, volume = {abs/1603.00747}, year = {2016}, url = {http://arxiv.org/abs/1603.00747}, eprinttype = {arXiv}, eprint = {1603.00747}, timestamp = {Sat, 25 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KimDKFLLWLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ShevgoorKBWPC15, author = {Manjunath Shevgoor and Sahil Koladiya and Rajeev Balasubramonian and Chris Wilkerson and Seth H. Pugsley and Zeshan Chishti}, editor = {Milos Prvulovic}, title = {Efficiently prefetching complex address patterns}, booktitle = {Proceedings of the 48th International Symposium on Microarchitecture, {MICRO} 2015, Waikiki, HI, USA, December 5-9, 2015}, pages = {141--152}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2830772.2830793}, doi = {10.1145/2830772.2830793}, timestamp = {Wed, 11 Aug 2021 11:51:26 +0200}, biburl = {https://dblp.org/rec/conf/micro/ShevgoorKBWPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ChangLCAWKM14, author = {Kevin Kai{-}Wei Chang and Donghyuk Lee and Zeshan Chishti and Alaa R. Alameldeen and Chris Wilkerson and Yoongu Kim and Onur Mutlu}, title = {Improving {DRAM} performance by parallelizing refreshes with accesses}, booktitle = {20th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014}, pages = {356--367}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HPCA.2014.6835946}, doi = {10.1109/HPCA.2014.6835946}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ChangLCAWKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KhanAWMJ14, author = {Samira Manabi Khan and Alaa R. Alameldeen and Chris Wilkerson and Onur Mutlu and Daniel A. Jim{\'{e}}nez}, title = {Improving cache performance using read-write partitioning}, booktitle = {20th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014}, pages = {452--463}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HPCA.2014.6835954}, doi = {10.1109/HPCA.2014.6835954}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/KhanAWMJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/PugsleyCWCSJLCB14, author = {Seth H. Pugsley and Zeshan Chishti and Chris Wilkerson and Peng{-}fei Chuang and Robert L. Scott and Aamer Jaleel and Shih{-}Lien Lu and Kingsum Chow and Rajeev Balasubramonian}, title = {Sandbox Prefetching: Safe run-time evaluation of aggressive prefetchers}, booktitle = {20th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014}, pages = {626--637}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HPCA.2014.6835971}, doi = {10.1109/HPCA.2014.6835971}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/PugsleyCWCSJLCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FallinWM14, author = {Chris Fallin and Chris Wilkerson and Onur Mutlu}, title = {The heterogeneous block architecture}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {386--393}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974710}, doi = {10.1109/ICCD.2014.6974710}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FallinWM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimDKFLLWLM14, author = {Yoongu Kim and Ross Daly and Jeremie S. Kim and Chris Fallin and Ji{-}Hye Lee and Donghyuk Lee and Chris Wilkerson and Konrad Lai and Onur Mutlu}, title = {Flipping bits in memory without accessing them: An experimental study of {DRAM} disturbance errors}, booktitle = {{ACM/IEEE} 41st International Symposium on Computer Architecture, {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014}, pages = {361--372}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISCA.2014.6853210}, doi = {10.1109/ISCA.2014.6853210}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KimDKFLLWLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SimACWK14, author = {Jaewoong Sim and Alaa R. Alameldeen and Zeshan Chishti and Chris Wilkerson and Hyesoon Kim}, title = {Transparent Hardware Management of Stacked {DRAM} as Part of Memory}, booktitle = {47th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2014, Cambridge, United Kingdom, December 13-17, 2014}, pages = {13--24}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MICRO.2014.56}, doi = {10.1109/MICRO.2014.56}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/SimACWK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/KhanLKAWM14, author = {Samira Manabi Khan and Donghyuk Lee and Yoongu Kim and Alaa R. Alameldeen and Chris Wilkerson and Onur Mutlu}, editor = {Sujay Sanghavi and Sanjay Shakkottai and Marc Lelarge and Bianca Schroeder}, title = {The efficacy of error mitigation techniques for {DRAM} retention failures: a comparative experimental study}, booktitle = {{ACM} {SIGMETRICS} / International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2014, Austin, TX, USA, June 16-20, 2014}, pages = {519--532}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591971.2592000}, doi = {10.1145/2591971.2592000}, timestamp = {Mon, 14 Jun 2021 15:39:36 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/KhanLKAWM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KhanAWKJ13, author = {Samira Manabi Khan and Alaa R. Alameldeen and Chris Wilkerson and Jaydeep Kulkarni and Daniel A. Jim{\'{e}}nez}, title = {Improving multi-core performance using mixed-cell cache architecture}, booktitle = {19th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2013, Shenzhen, China, February 23-27, 2013}, pages = {119--130}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/HPCA.2013.6522312}, doi = {10.1109/HPCA.2013.6522312}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/KhanAWKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LiuJKWM13, author = {Jamie Liu and Ben Jaiyen and Yoongu Kim and Chris Wilkerson and Onur Mutlu}, editor = {Avi Mendelson}, title = {An experimental study of data retention behavior in modern {DRAM} devices: implications for retention time profiling mechanisms}, booktitle = {The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013}, pages = {60--71}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2485922.2485928}, doi = {10.1145/2485922.2485928}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/LiuJKWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KhubaibSHWP12, author = {Khubaib and M. Aater Suleman and Milad Hashemi and Chris Wilkerson and Yale N. Patt}, title = {MorphCore: An Energy-Efficient Microarchitecture for High Performance {ILP} and High Throughput {TLP}}, booktitle = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012}, pages = {305--316}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MICRO.2012.36}, doi = {10.1109/MICRO.2012.36}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/KhubaibSHWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/RaychowdhuryTBLAKGTWKD11, author = {Arijit Raychowdhury and Jim Tschanz and Keith A. Bowman and Shih{-}Lien Lu and Paolo A. Aseron and Muhammad M. Khellah and Bibiche M. Geuskens and Carlos Tokunaga and Chris Wilkerson and Tanay Karnik and Vivek De}, title = {Error Detection and Correction in Microprocessor Core and Memory Due to Fast Dynamic Voltage Droops}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {1}, number = {3}, pages = {208--217}, year = {2011}, url = {https://doi.org/10.1109/JETCAS.2011.2167070}, doi = {10.1109/JETCAS.2011.2167070}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/RaychowdhuryTBLAKGTWKD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BowmanTLAKRGTWKD11, author = {Keith A. Bowman and James W. Tschanz and Shih{-}Lien Lu and Paolo A. Aseron and Muhammad M. Khellah and Arijit Raychowdhury and Bibiche M. Geuskens and Carlos Tokunaga and Chris Wilkerson and Tanay Karnik and Vivek K. De}, title = {A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {194--208}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2089657}, doi = {10.1109/JSSC.2010.2089657}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BowmanTLAKRGTWKD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/AlameldeenCWWL11, author = {Alaa R. Alameldeen and Zeshan Chishti and Chris Wilkerson and Wei Wu and Shih{-}Lien Lu}, title = {Adaptive Cache Design to Enable Reliable Low-Voltage Operation}, journal = {{IEEE} Trans. Computers}, volume = {60}, number = {1}, pages = {50--63}, year = {2011}, url = {https://doi.org/10.1109/TC.2010.207}, doi = {10.1109/TC.2010.207}, timestamp = {Fri, 05 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/AlameldeenCWWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AlameldeenWCWWL11, author = {Alaa R. Alameldeen and Ilya Wagner and Zeshan Chishti and Wei Wu and Chris Wilkerson and Shih{-}Lien Lu}, editor = {Ravi R. Iyer and Qing Yang and Antonio Gonz{\'{a}}lez}, title = {Energy-efficient cache design using variable-strength error-correcting codes}, booktitle = {38th International Symposium on Computer Architecture {(ISCA} 2011), June 4-8, 2011, San Jose, CA, {USA}}, pages = {461--472}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2000064.2000118}, doi = {10.1145/2000064.2000118}, timestamp = {Mon, 15 May 2023 22:11:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/AlameldeenWCWWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TschanzBKWGSRKTLKD10, author = {James W. Tschanz and Keith A. Bowman and Muhammad M. Khellah and Chris Wilkerson and Bibiche M. Geuskens and Dinesh Somasekhar and Arijit Raychowdhury and Jaydeep Kulkarni and Carlos Tokunaga and Shih{-}Lien Lu and Tanay Karnik and Vivek De}, title = {Resilient design in scaled {CMOS} for energy efficiency}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {625}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419812}, doi = {10.1109/ASPDAC.2010.5419812}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TschanzBKWGSRKTLKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WilkersonACWSL10, author = {Chris Wilkerson and Alaa R. Alameldeen and Zeshan Chishti and Wei Wu and Dinesh Somasekhar and Shih{-}Lien Lu}, editor = {Andr{\'{e}} Seznec and Uri C. Weiser and Ronny Ronen}, title = {Reducing cache power with low-cost, multi-bit error-correcting codes}, booktitle = {37th International Symposium on Computer Architecture {(ISCA} 2010), June 19-23, 2010, Saint-Malo, France}, pages = {83--93}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1815961.1815973}, doi = {10.1145/1815961.1815973}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/WilkersonACWSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BowmanTLAKRGTWKD10, author = {Keith A. Bowman and James W. Tschanz and Shih{-}Lien Lu and Paolo A. Aseron and Muhammad M. Khellah and Arijit Raychowdhury and Bibiche M. Geuskens and Carlos Tokunaga and Chris Wilkerson and Tanay Karnik and Vivek De}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Resilient microprocessor design for high performance {\&} energy efficiency}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {355--356}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840919}, doi = {10.1145/1840845.1840919}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BowmanTLAKRGTWKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TschanzBLAKRGTWKD10, author = {James W. Tschanz and Keith A. Bowman and Shih{-}Lien Lu and Paolo A. Aseron and Muhammad M. Khellah and Arijit Raychowdhury and Bibiche M. Geuskens and Carlos Tokunaga and Chris Wilkerson and Tanay Karnik and Vivek De}, title = {A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {282--283}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433922}, doi = {10.1109/ISSCC.2010.5433922}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TschanzBLAKRGTWKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BowmanTKLWLKD09, author = {Keith A. Bowman and James W. Tschanz and Nam{-}Sung Kim and Janice C. Lee and Chris Wilkerson and Shih{-}Lien Lu and Tanay Karnik and Vivek K. De}, title = {Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {1}, pages = {49--63}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2007148}, doi = {10.1109/JSSC.2008.2007148}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BowmanTKLWLKD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/WilkersonGACKL09, author = {Chris Wilkerson and Hongliang Gao and Alaa R. Alameldeen and Zeshan Chishti and Muhammad M. Khellah and Shih{-}Lien Lu}, title = {Trading Off Cache Capacity for Low-Voltage Operation}, journal = {{IEEE} Micro}, volume = {29}, number = {1}, pages = {96--103}, year = {2009}, url = {https://doi.org/10.1109/MM.2009.20}, doi = {10.1109/MM.2009.20}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/WilkersonGACKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BowmanASW09, author = {Keith A. Bowman and Alaa R. Alameldeen and Srikanth T. Srinivasan and Chris Wilkerson}, title = {Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {12}, pages = {1679--1690}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2006057}, doi = {10.1109/TVLSI.2008.2006057}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BowmanASW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BowmanTWLKDB09, author = {Keith A. Bowman and James W. Tschanz and Chris Wilkerson and Shih{-}Lien Lu and Tanay Karnik and Vivek De and Shekhar Y. Borkar}, title = {Circuit techniques for dynamic variation tolerance}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {4--7}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1629915}, doi = {10.1145/1629911.1629915}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BowmanTWLKDB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TschanzBWLK09, author = {James W. Tschanz and Keith A. Bowman and Chris Wilkerson and Shih{-}Lien Lu and Tanay Karnik}, editor = {Jaijeet S. Roychowdhury}, title = {Resilient circuits - Enabling energy-efficient performance and reliability}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {71--73}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687414}, doi = {10.1145/1687399.1687414}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TschanzBWLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LeeWZYLB09, author = {Seung Eun Lee and Chris Wilkerson and Ming Zhang and Rajendra S. Yavatkar and Shih{-}Lien Lu and Nader Bagherzadeh}, title = {Low power adaptive pipeline based on instruction isolation}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {788--793}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810393}, doi = {10.1109/ISQED.2009.4810393}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LeeWZYLB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ChishtiAWWL09, author = {Zeshan Chishti and Alaa R. Alameldeen and Chris Wilkerson and Wei Wu and Shih{-}Lien Lu}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Improving cache lifetime reliability at ultra-low voltages}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {89--99}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669126}, doi = {10.1145/1669112.1669126}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/ChishtiAWWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WilkersonGACKL08, author = {Chris Wilkerson and Hongliang Gao and Alaa R. Alameldeen and Zeshan Chishti and Muhammad M. Khellah and Shih{-}Lien Lu}, title = {Trading off Cache Capacity for Reliability to Enable Low Voltage Operation}, booktitle = {35th International Symposium on Computer Architecture {(ISCA} 2008), June 21-25, 2008, Beijing, China}, pages = {203--214}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISCA.2008.22}, doi = {10.1109/ISCA.2008.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/WilkersonGACKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BowmanTKLWLKD08, author = {Keith A. Bowman and James W. Tschanz and Nam{-}Sung Kim and Janice C. Lee and Chris Wilkerson and Shih{-}Lien Lu and Tanay Karnik and Vivek K. De}, title = {Energy-Efficient and Metastability-Immune Timing-Error Detection and Instruction-Replay-Based Recovery Circuits for Dynamic-Variation Tolerance}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {402--403}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523227}, doi = {10.1109/ISSCC.2008.4523227}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BowmanTKLWLKD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BowmanASW07, author = {Keith A. Bowman and Alaa R. Alameldeen and Srikanth T. Srinivasan and Chris Wilkerson}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {50--55}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283792}, doi = {10.1145/1283780.1283792}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/BowmanASW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/ChenGKLABFFHMW07, author = {Shimin Chen and Phillip B. Gibbons and Michael Kozuch and Vasileios Liaskovitis and Anastassia Ailamaki and Guy E. Blelloch and Babak Falsafi and Limor Fix and Nikos Hardavellas and Todd C. Mowry and Chris Wilkerson}, editor = {Phillip B. Gibbons and Christian Scheideler}, title = {Scheduling threads for constructive cache sharing on CMPs}, booktitle = {{SPAA} 2007: Proceedings of the 19th Annual {ACM} Symposium on Parallelism in Algorithms and Architectures, San Diego, California, USA, June 9-11, 2007}, pages = {105--115}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1248377.1248396}, doi = {10.1145/1248377.1248396}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spaa/ChenGKLABFFHMW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/LiaskovitisCGABFFHKMW06, author = {Vasileios Liaskovitis and Shimin Chen and Phillip B. Gibbons and Anastassia Ailamaki and Guy E. Blelloch and Babak Falsafi and Limor Fix and Nikos Hardavellas and Michael Kozuch and Todd C. Mowry and Chris Wilkerson}, editor = {Phillip B. Gibbons and Uzi Vishkin}, title = {Parallel depth first vs. work stealing schedulers on {CMP} architectures}, booktitle = {{SPAA} 2006: Proceedings of the 18th Annual {ACM} Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006}, pages = {330}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1148109.1148167}, doi = {10.1145/1148109.1148167}, timestamp = {Wed, 21 Nov 2018 11:13:10 +0100}, biburl = {https://dblp.org/rec/conf/spaa/LiaskovitisCGABFFHKMW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jilp/WilkersonS05, author = {Chris Wilkerson and Jared Stark}, title = {Guest Editors Introduction}, journal = {J. Instr. Level Parallelism}, volume = {7}, year = {2005}, url = {http://www.jilp.org/vol7/v7paper5.pdf}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jilp/WilkersonS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/MutluSWP03, author = {Onur Mutlu and Jared Stark and Chris Wilkerson and Yale N. Patt}, title = {Runahead Execution: An Effective Alternative to Large Instruction Windows}, journal = {{IEEE} Micro}, volume = {23}, number = {6}, pages = {20--25}, year = {2003}, url = {https://doi.org/10.1109/MM.2003.1261383}, doi = {10.1109/MM.2003.1261383}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/MutluSWP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/MutluSWP03, author = {Onur Mutlu and Jared Stark and Chris Wilkerson and Yale N. Patt}, title = {Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-Order Processors}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {129--140}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183532}, doi = {10.1109/HPCA.2003.1183532}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/MutluSWP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ThomasFWS03, author = {Renju Thomas and Manoj Franklin and Chris Wilkerson and Jared Stark}, editor = {Allan Gottlieb and Kai Li}, title = {Improving Branch Prediction by Dynamic Dataflow-Based Identification of Correlated Branches from a Large Global History}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {314--323}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISCA.2003.1207010}, doi = {10.1109/ISCA.2003.1207010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ThomasFWS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/BrekelbaumRWB02, author = {Edward Brekelbaum and Jeff Rupley and Chris Wilkerson and Bryan Black}, editor = {Erik R. Altman and Kemal Ebcioglu and Scott A. Mahlke and B. Ramakrishna Rau and Sanjay J. Patel}, title = {Hierarchical Scheduling Windows}, booktitle = {Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002}, pages = {27--36}, publisher = {{ACM/IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/MICRO.2002.1176236}, doi = {10.1109/MICRO.2002.1176236}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/BrekelbaumRWB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SrinivasanJLW01, author = {Srikanth T. Srinivasan and Roy Dz{-}Ching Ju and Alvin R. Lebeck and Chris Wilkerson}, editor = {Per Stenstr{\"{o}}m}, title = {Locality vs. criticality}, booktitle = {Proceedings of the 28th Annual International Symposium on Computer Architecture, {ISCA} 2001, G{\"{o}}teborg, Sweden, June 30-July 4, 2001}, pages = {132--143}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/379240.379258}, doi = {10.1145/379240.379258}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SrinivasanJLW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KumarW98, author = {Sanjeev Kumar and Christopher B. Wilkerson}, editor = {Mateo Valero and Gurindar S. Sohi and Doug DeGroot}, title = {Exploiting Spatial Locality in Data Caches Using Spatial Footprints}, booktitle = {Proceedings of the 25th Annual International Symposium on Computer Architecture, {ISCA} 1998, Barcelona, Spain, June 27 - July 1, 1998}, pages = {357--368}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISCA.1998.694794}, doi = {10.1109/ISCA.1998.694794}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KumarW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LipastiWS96, author = {Mikko H. Lipasti and Christopher B. Wilkerson and John Paul Shen}, editor = {Bill Dally and Susan J. Eggers}, title = {Value Locality and Load Value Prediction}, booktitle = {{ASPLOS-VII} Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996}, pages = {138--147}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/237090.237173}, doi = {10.1145/237090.237173}, timestamp = {Wed, 07 Jul 2021 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/asplos/LipastiWS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.