Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Lei Wang
@article{DBLP:journals/access/ZhaoHCHZSW24, author = {Fuzhou Zhao and Ling Han and Mingyang Cui and Heye Huang and Shan Zhong and Feifei Su and Lei Wang}, title = {On-Road Trajectory Planning of Connected and Automated Vehicles in Complex Traffic Settings: {A} Hierarchical Framework of Trajectory Refinement}, journal = {{IEEE} Access}, volume = {12}, pages = {7456--7468}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3352919}, doi = {10.1109/ACCESS.2024.3352919}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhaoHCHZSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengWZSZZ24, author = {Ziwei Cheng and Lei Wang and Siming Zeng and Can Su and Runtao Zhang and Wen Zhou}, title = {Partition-Global Dual-Layer Collaborative Voltage Control Strategy for Active Distribution Network With High Proportion of Renewable Energy}, journal = {{IEEE} Access}, volume = {12}, pages = {22546--22556}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3364543}, doi = {10.1109/ACCESS.2024.3364543}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChengWZSZZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongHYPWCD24, author = {Wenle Song and Yu Han and Fuyao Yang and Jing Pang and Lei Wang and Jianfei Cao and Siying Deng}, title = {Magnetostrictive Vibration Characteristics of Amorphous Alloy Transformer With Three-Dimensional Wound Core}, journal = {{IEEE} Access}, volume = {12}, pages = {43958--43967}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3380456}, doi = {10.1109/ACCESS.2024.3380456}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongHYPWCD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KuangZZLZXW24, author = {Haiyue Kuang and Zhen Zhang and Bin Zeng and Xin Liu and Hao Zuo and Xingye Xu and Lei Wang}, title = {A novel microbe-drug association prediction model based on graph attention networks and bilayer random forest}, journal = {{BMC} Bioinform.}, volume = {25}, number = {1}, pages = {78}, year = {2024}, url = {https://doi.org/10.1186/s12859-024-05687-9}, doi = {10.1186/S12859-024-05687-9}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/KuangZZLZXW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/XuQWZGH24, author = {Xiaolu Xu and Zitong Qi and Lei Wang and Meiwei Zhang and Zhaohong Geng and Xiumei Han}, title = {Gsw-fi: a {GLM} model incorporating shrinkage and double-weighted strategies for identifying cancer driver genes with functional impact}, journal = {{BMC} Bioinform.}, volume = {25}, number = {1}, pages = {99}, year = {2024}, url = {https://doi.org/10.1186/s12859-024-05707-8}, doi = {10.1186/S12859-024-05707-8}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/XuQWZGH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/SunWLLYCW24, author = {Qinghua Sun and Lei Wang and Jiali Li and Chunmiao Liang and Jianmin Yang and Yuguo Chen and Cong Wang}, title = {Multi-phase {ECG} dynamic features for detecting myocardial ischemia and identifying its etiology using deterministic learning}, journal = {Biomed. Signal Process. Control.}, volume = {88}, number = {Part {B}}, pages = {105498}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2023.105498}, doi = {10.1016/J.BSPC.2023.105498}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/SunWLLYCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/YangSWLL24, author = {Shuo Yang and Aoyang Shan and Lei Wang and Yangzheng Li and Shuo Liu}, title = {Cross-subject and cross-experimental classification of mental fatigue based on two-stream self-attention network}, journal = {Biomed. Signal Process. Control.}, volume = {88}, number = {Part {B}}, pages = {105638}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2023.105638}, doi = {10.1016/J.BSPC.2023.105638}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/YangSWLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eninf/Wang24, author = {Lei Wang}, title = {Improved electrical coupling integrated energy system based on particle swarm optimization}, journal = {Energy Inform.}, volume = {7}, number = {1}, pages = {9}, year = {2024}, url = {https://doi.org/10.1186/s42162-024-00309-3}, doi = {10.1186/S42162-024-00309-3}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eninf/Wang24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcsc/WangMFZYZCTCLZWW24, author = {Lei Wang and Chen Ma and Xueyang Feng and Zeyu Zhang and Hao Yang and Jingsen Zhang and Zhiyuan Chen and Jiakai Tang and Xu Chen and Yankai Lin and Wayne Xin Zhao and Zhewei Wei and Jirong Wen}, title = {A survey on large language model based autonomous agents}, journal = {Frontiers Comput. Sci.}, volume = {18}, number = {6}, pages = {186345}, year = {2024}, url = {https://doi.org/10.1007/s11704-024-40231-1}, doi = {10.1007/S11704-024-40231-1}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fcsc/WangMFZYZCTCLZWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/QinCWXNP24, author = {Wei Qin and Haiming Chen and Lei Wang and Yinshui Xia and Alfredo Nascita and Antonio Pescap{\`{e}}}, title = {{MCOTM:} Mobility-aware computation offloading and task migration for edge computing in industrial IoT}, journal = {Future Gener. Comput. Syst.}, volume = {151}, pages = {232--241}, year = {2024}, url = {https://doi.org/10.1016/j.future.2023.10.004}, doi = {10.1016/J.FUTURE.2023.10.004}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/QinCWXNP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/TanZZWWZLW24, author = {Tao Tan and Liming Zhang and Mingwang Zhang and Shuai Wang and Lei Wang and Ziyi Zhang and Shuaikang Liu and Pengbin Wang}, title = {Commutative encryption and watermarking algorithm based on compound chaotic systems and zero-watermarking for vector map}, journal = {Comput. Geosci.}, volume = {184}, pages = {105530}, year = {2024}, url = {https://doi.org/10.1016/j.cageo.2024.105530}, doi = {10.1016/J.CAGEO.2024.105530}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gandc/TanZZWWZLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/HanWWG24, author = {Yongming Han and Lei Wang and Youqing Wang and Zhiqiang Geng}, title = {Intelligent Small Sample Defect Detection of Concrete Surface Using Novel Deep Learning Integrating Improved YOLOv5}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {11}, number = {2}, pages = {545--547}, year = {2024}, url = {https://doi.org/10.1109/JAS.2023.124035}, doi = {10.1109/JAS.2023.124035}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeejas/HanWWG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/CuiWZDDWL24, author = {Yuanzheng Cui and Qiuting Wang and Guixiang Zha and Yunxiao Dang and Xuejun Duan and Lei Wang and Ming Luo}, title = {Evaluation of the Accessibility of Children's Spaces at the Community Scale: The Case Study of Hangzhou}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {13}, number = {2}, pages = {55}, year = {2024}, url = {https://doi.org/10.3390/ijgi13020055}, doi = {10.3390/IJGI13020055}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/CuiWZDDWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijict/WangZLZ24, author = {Lei Wang and Zhiyong Zhang and Tianyu Li and Tianhe Zhang}, title = {A {PRI} estimation and signal deinterleaving method based on density-based clustering}, journal = {Int. J. Inf. Commun. Technol.}, volume = {24}, number = {1}, pages = {72--85}, year = {2024}, url = {https://doi.org/10.1504/IJICT.2024.135307}, doi = {10.1504/IJICT.2024.135307}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijict/WangZLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiFHHDWZ24, author = {Xiaohe Li and Zide Fan and Feilong Huang and Xuming Hu and Yawen Deng and Lei Wang and Xinyu Zhao}, title = {Graph Neural Network with curriculum learning for imbalanced node classification}, journal = {Neurocomputing}, volume = {574}, pages = {127229}, year = {2024}, url = {https://doi.org/10.1016/j.neucom.2023.127229}, doi = {10.1016/J.NEUCOM.2023.127229}, timestamp = {Sun, 25 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LiFHHDWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/WangC24, author = {Lei Wang and Haoxun Chen}, title = {A decomposition and coordination method for optimising {(} \emph{Q} , \emph{S} {)} policies in a two-echelon distribution system with joint replenishment}, journal = {Int. J. Prod. Res.}, volume = {62}, number = {1-2}, pages = {556--573}, year = {2024}, url = {https://doi.org/10.1080/00207543.2023.2276808}, doi = {10.1080/00207543.2023.2276808}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/WangC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/WangGWLY24, author = {Lei Wang and Deke Guo and Huaming Wu and Keqiu Li and Wei Yu}, title = {{TC-GCN:} Triple cross-attention and graph convolutional network for traffic forecasting}, journal = {Inf. Fusion}, volume = {105}, pages = {102229}, year = {2024}, url = {https://doi.org/10.1016/j.inffus.2024.102229}, doi = {10.1016/J.INFFUS.2024.102229}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/WangGWLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ShanYZZHW24, author = {Yao Shan and Yu Yao and Xiaoming Zhou and Tong Zhao and Bo Hu and Lei Wang}, title = {{CFL-IDS:} An Effective Clustered Federated Learning Framework for Industrial Internet of Things Intrusion Detection}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {6}, pages = {10007--10019}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3324302}, doi = {10.1109/JIOT.2023.3324302}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ShanYZZHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/WangLZ24, author = {Lei Wang and Hongbin Liang and Dongmei Zhao}, title = {Deep-Reinforcement-Learning-Based Computation Offloading and Power Allocation Within Dynamic Platoon Network}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {6}, pages = {10500--10512}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3327712}, doi = {10.1109/JIOT.2023.3327712}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/WangLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MaoLYWZ24, author = {Guotao Mao and Hongbin Liang and Yiting Yao and Lei Wang and Han Zhang}, title = {Split-and-Shuffle Detector for Real-Time Traffic Object Detection in Aerial Image}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {8}, pages = {13312--13326}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3334742}, doi = {10.1109/JIOT.2023.3334742}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/MaoLYWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jais/ShankarWGL24, author = {Ramesh Shankar and Lei Wang and Kunter Gunasti and Hongfei Li}, title = {Nonverbal Peer Feedback and User Contribution in Online Forums: Experimental Evidence of the Role of Attribution and Emotions}, journal = {J. Assoc. Inf. Syst.}, volume = {25}, number = {2}, pages = {7}, year = {2024}, url = {https://aisel.aisnet.org/jais/vol25/iss2/7}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jais/ShankarWGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/WangCYCLWY24, author = {Jing Wang and Sheng Chen and Qianmu Yuan and Jianwen Chen and Danping Li and Lei Wang and Yuedong Yang}, title = {Predicting the effects of mutations on protein solubility using graph convolution network and protein language model representation}, journal = {J. Comput. Chem.}, volume = {45}, number = {8}, pages = {436--445}, year = {2024}, url = {https://doi.org/10.1002/jcc.27249}, doi = {10.1002/JCC.27249}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcc/WangCYCLWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/LiWZS24, author = {Jiaxuan Li and Lei Wang and Zefeng Zhu and Chen Song}, title = {Exploring the Alternative Conformation of a Known Protein Structure Based on Contact Map Prediction}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {1}, pages = {301--315}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c01381}, doi = {10.1021/ACS.JCIM.3C01381}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/LiWZS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcondec/WangZ24, author = {Lei Wang and Jiandong Zhu}, title = {Semi-tensor product approach for partially symmetric games}, journal = {J. Control. Decis.}, volume = {11}, number = {1}, pages = {98--106}, year = {2024}, url = {https://doi.org/10.1080/23307706.2022.2141360}, doi = {10.1080/23307706.2022.2141360}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcondec/WangZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jns/ZhaoW24, author = {Xiaodan Zhao and Lei Wang}, title = {A Two-Component Sasa-Satsuma Equation: Large-Time Asymptotics on the Line}, journal = {J. Nonlinear Sci.}, volume = {34}, number = {2}, pages = {38}, year = {2024}, url = {https://doi.org/10.1007/s00332-024-10015-9}, doi = {10.1007/S00332-024-10015-9}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jns/ZhaoW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossac/LiuZW24, author = {Haiyi Liu and Yabin Zhang and Lei Wang}, title = {Pre-Training Physics-Informed Neural Network with Mixed Sampling and Its Application in High-Dimensional Systems}, journal = {J. Syst. Sci. Complex.}, volume = {37}, number = {2}, pages = {494--510}, year = {2024}, url = {https://doi.org/10.1007/s11424-024-3321-y}, doi = {10.1007/S11424-024-3321-Y}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossac/LiuZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WangHL24, author = {Lei Wang and Kai He and Zikang Liu}, title = {{MCS:} a metric confidence selection framework for few shot image classification}, journal = {Multim. Tools Appl.}, volume = {83}, number = {4}, pages = {10865--10880}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-15892-y}, doi = {10.1007/S11042-023-15892-Y}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/WangHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SchneiderGWWWL24, author = {Manuel Schneider and Norbert Greifzu and Lei Wang and Christian Walther and Andreas Wenzel and Pu Li}, title = {An end-to-end machine learning approach with explanation for time series with varying lengths}, journal = {Neural Comput. Appl.}, volume = {36}, number = {13}, pages = {7491--7508}, year = {2024}, url = {https://doi.org/10.1007/s00521-024-09473-9}, doi = {10.1007/S00521-024-09473-9}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/SchneiderGWWWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/WanLW24, author = {Xuran Wan and Lulu Li and Lei Wang}, title = {Finite-Time Stability of Inertial Neural Networks with Delayed Impulses}, journal = {Neural Process. Lett.}, volume = {56}, number = {2}, pages = {48}, year = {2024}, url = {https://doi.org/10.1007/s11063-024-11476-5}, doi = {10.1007/S11063-024-11476-5}, timestamp = {Mon, 22 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/WanLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/GongLWY24, author = {Yi Gong and Hongbin Liang and Lei Wang and Yiting Yao}, title = {Anonymous credentials for the internet of vehicles}, journal = {Peer Peer Netw. Appl.}, volume = {17}, number = {2}, pages = {871--880}, year = {2024}, url = {https://doi.org/10.1007/s12083-024-01645-7}, doi = {10.1007/S12083-024-01645-7}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/GongLWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/TangWCWYZL24, author = {Dahai Tang and Jiali Wang and Rong Chen and Lei Wang and Wenyuan Yu and Jingren Zhou and Kenli Li}, title = {{XGNN:} Boosting Multi-GPU {GNN} Training via Global {GNN} Memory Store}, journal = {Proc. {VLDB} Endow.}, volume = {17}, number = {5}, pages = {1105--1118}, year = {2024}, url = {https://www.vldb.org/pvldb/vol17/p1105-chen.pdf}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/TangWCWYZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MouFJZWL24, author = {Fangli Mou and Zide Fan and Chuan'ao Jiang and Yidan Zhang and Lei Wang and Xinming Li}, title = {Double Augmentation: {A} Modal Transforming Method for Ship Detection in Remote Sensing Imagery}, journal = {Remote. Sens.}, volume = {16}, number = {3}, pages = {600}, year = {2024}, url = {https://doi.org/10.3390/rs16030600}, doi = {10.3390/RS16030600}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/MouFJZWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuWGLWM24, author = {Xiangzeng Liu and Ziyao Wang and Haojie Gao and Xiang Li and Lei Wang and Qiguang Miao}, title = {{HATF:} Multi-Modal Feature Learning for Infrared and Visible Image Fusion via Hybrid Attention Transformer}, journal = {Remote. Sens.}, volume = {16}, number = {5}, pages = {803}, year = {2024}, url = {https://doi.org/10.3390/rs16050803}, doi = {10.3390/RS16050803}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuWGLWM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangHJC24, author = {Lei Wang and Jun Hu and Rundong Jiang and Zengping Chen}, title = {A Deep Long-Term Joint Temporal-Spectral Network for Spectrum Prediction}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1498}, year = {2024}, url = {https://doi.org/10.3390/s24051498}, doi = {10.3390/S24051498}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangHJC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/ZhouWMG24, author = {Hongping Zhou and Lei Wang and Minghui Ma and Zhongyi Guo}, title = {Compound radar jamming recognition based on signal source separation}, journal = {Signal Process.}, volume = {214}, pages = {109246}, year = {2024}, url = {https://doi.org/10.1016/j.sigpro.2023.109246}, doi = {10.1016/J.SIGPRO.2023.109246}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/ZhouWMG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/Wang24a, author = {Lei Wang}, title = {Establishing enterprise information management platform using cloud storage technology under e-commerce environment}, journal = {Soft Comput.}, volume = {28}, number = {4}, pages = {3649--3665}, year = {2024}, url = {https://doi.org/10.1007/s00500-024-09635-w}, doi = {10.1007/S00500-024-09635-W}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/Wang24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/ChenMWY24, author = {Donglin Chen and Yifan Ma and Lei Wang and Mengdi Yao}, title = {Spatio-temporal management of renewable energy consumption, carbon emissions, and cost in data centers}, journal = {Sustain. Comput. Informatics Syst.}, volume = {41}, pages = {100950}, year = {2024}, url = {https://doi.org/10.1016/j.suscom.2023.100950}, doi = {10.1016/J.SUSCOM.2023.100950}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/ChenMWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/WangLLHWQ24, author = {Xueli Wang and Fengbo Li and Yuanzhe Li and Dailing Hou and Lei Wang and Xionghe Qin}, title = {Does inter-regional investment by publicly listed companies promote local technological catch-up? {A} study of the mediation effects of patents in China}, journal = {Technol. Anal. Strateg. Manag.}, volume = {36}, number = {2}, pages = {279--292}, year = {2024}, url = {https://doi.org/10.1080/09537325.2022.2030469}, doi = {10.1080/09537325.2022.2030469}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taasm/WangLLHWQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/PangZWWW24, author = {Ying Pang and Feiyan Zhang and Qiong Wang and Lei Wang and Chengyuan Wang}, title = {High- and new-technology enterprise certification, enterprise innovation ability and export product quality}, journal = {Technol. Anal. Strateg. Manag.}, volume = {36}, number = {2}, pages = {349--364}, year = {2024}, url = {https://doi.org/10.1080/09537325.2022.2033719}, doi = {10.1080/09537325.2022.2033719}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taasm/PangZWWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/WangZZCK24, author = {Lei Wang and Yunzhou Zhang and Delong Zhu and Sonya Coleman and Dermot Kerr}, title = {Supervised Meta-Reinforcement Learning With Trajectory Optimization for Manipulation Tasks}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {16}, number = {2}, pages = {681--691}, year = {2024}, url = {https://doi.org/10.1109/TCDS.2023.3286465}, doi = {10.1109/TCDS.2023.3286465}, timestamp = {Sun, 14 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/WangZZCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/ZhuWZY24, author = {Danjie Zhu and Lei Wang and Hua Zhang and Simon X. Yang}, title = {A GOA-Based Fault-Tolerant Trajectory Tracking Control for an Underwater Vehicle of Multi-Thruster System Without Actuator Saturation}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {21}, number = {1}, pages = {771--782}, year = {2024}, url = {https://doi.org/10.1109/TASE.2022.3230951}, doi = {10.1109/TASE.2022.3230951}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tase/ZhuWZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/XuCLZWXWWLP24, author = {Wen{-}Xing Xu and Jun{-}Rong Chen and Fei{-}Fan Li and Lei Zhou and Xiao{-}Qin Wei and Miao Xu and Lei Wang and Wei{-}Jing Wu and Yu{-}Rong Liu and Jun{-}Biao Peng}, title = {A 65-dB {SNDR} Continuous-Time Delta-Sigma {ADC} Integrated by {IZO} Thin-Film Transistors}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {1804--1808}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3332049}, doi = {10.1109/TCSII.2023.3332049}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/XuCLZWXWWLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/ChenWQZC24, author = {Haiming Chen and Lei Wang and Wei Qin and Xinyan Zhou and Li Cui}, title = {{VSA-SD:} {A} Service Discovery Method Based on Vector Symbol Architecture for Low-Cost IoT System Development}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {12}, number = {1}, pages = {145--158}, year = {2024}, url = {https://doi.org/10.1109/TCC.2023.3344512}, doi = {10.1109/TCC.2023.3344512}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcc/ChenWQZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/GuoLWQHH24, author = {Yanrong Guo and Jilong Liu and Lei Wang and Wei Qin and Shijie Hao and Richang Hong}, title = {A Prompt-Based Topic-Modeling Method for Depression Detection on Low-Resource Data}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {1}, pages = {1430--1439}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3260080}, doi = {10.1109/TCSS.2023.3260080}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcss/GuoLWQHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/SuWQWH24, author = {Taiyi Su and Hanli Wang and Qiuping Qi and Lei Wang and Bin He}, title = {Transductive Learning With Prior Knowledge for Generalized Zero-Shot Action Recognition}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {34}, number = {1}, pages = {260--273}, year = {2024}, url = {https://doi.org/10.1109/TCSVT.2023.3284977}, doi = {10.1109/TCSVT.2023.3284977}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/SuWQWH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/HuoQDWZHW24, author = {Yadong Huo and Qibing Qin and Jiangyan Dai and Lei Wang and Wenfeng Zhang and Lei Huang and Chengduan Wang}, title = {Deep Semantic-Aware Proxy Hashing for Multi-Label Cross-Modal Retrieval}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {34}, number = {1}, pages = {576--589}, year = {2024}, url = {https://doi.org/10.1109/TCSVT.2023.3285266}, doi = {10.1109/TCSVT.2023.3285266}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/HuoQDWZHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/LiWLGWL24, author = {Dongyang Li and Lei Wang and Li Li and Weian Guo and Qidi Wu and Alexander Lerch}, title = {A Large-Scale Multiobjective Particle Swarm Optimizer With Enhanced Balance of Convergence and Diversity}, journal = {{IEEE} Trans. Cybern.}, volume = {54}, number = {3}, pages = {1596--1607}, year = {2024}, url = {https://doi.org/10.1109/TCYB.2022.3225341}, doi = {10.1109/TCYB.2022.3225341}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcyb/LiWLGWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/ZhangGGLWW24, author = {Yuanqiao Zhang and Maoguo Gong and Yuan Gao and Hao Li and Lei Wang and Yixin Wang}, title = {{MMPL:} Multi-Objective Multi-Party Learning via Diverse Steps}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {8}, number = {1}, pages = {684--696}, year = {2024}, url = {https://doi.org/10.1109/TETCI.2023.3279666}, doi = {10.1109/TETCI.2023.3279666}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetci/ZhangGGLWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HaoMGYZW24, author = {Guocheng Hao and Jieting Meng and Juan Guo and Jiantao Yu and Wei Zhang and Lei Wang}, title = {A High-Precision Chaotic {LSTM} Network Model Optimized by FrVMD for the Earth Natural Pulse Electromagnetic Signal Intensity Trend Forecast}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3373593}, doi = {10.1109/TGRS.2024.3373593}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/HaoMGYZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HuWZW24, author = {Yi{-}Di Hu and Xiao{-}Hua Wang and Hui Zhou and Lei Wang}, title = {A Priori Knowledge-Based Physics-Informed Neural Networks for Electromagnetic Inverse Scattering}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--9}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3371528}, doi = {10.1109/TGRS.2024.3371528}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/HuWZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/SongYWWS24, author = {Tiancheng Song and Xianxun Yao and Lei Wang and Yangying Wang and Guolin Sun}, title = {Fast Factorized Kirchhoff Migration Algorithm for Near-Field Radar Imaging With Sparse {MIMO} Arrays}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--14}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3354732}, doi = {10.1109/TGRS.2024.3354732}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/SongYWWS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/WangYSWS24, author = {Lei Wang and Xianxun Yao and Tiancheng Song and Yangying Wang and Guolin Sun}, title = {An Elliptical Bipolar Cylindrical Coordinates Based Mixed Domain Near-Field Imaging Algorithm for Scanning 1-D Nonuniform Sparse {MIMO} Arrays}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--14}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3384490}, doi = {10.1109/TGRS.2024.3384490}, timestamp = {Mon, 22 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/WangYSWS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZhangWLZLWL24, author = {Jianhua Zhang and Yongyue Wang and Hongrui Li and Guiping Zhou and Bin Li and Lei Wang and Kang Li}, title = {{SFR} Modeling for Hybrid Power Systems Based on Deep Transfer Learning}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {1}, pages = {399--410}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3262856}, doi = {10.1109/TII.2023.3262856}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/ZhangWLZLWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/WangLZZ24, author = {Lei Wang and Jinhai Liu and Huaguang Zhang and Fengyuan Zuo}, title = {KMSA-Net: {A} Knowledge-Mining-Based Semantic-Aware Network for Cross-Domain Industrial Process Fault Diagnosis}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {2}, pages = {2738--2750}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3296919}, doi = {10.1109/TII.2023.3296919}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/WangLZZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/FanXWW24, author = {Chenxi Fan and Kaishun Xiahou and Lei Wang and Q. H. Wu}, title = {Hybrid Fault Diagnosis of Multiple Open-Circuit Faults for Cascaded H-Bridge Multilevel Converter Based on Perturbation Estimation Convolution Network}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3351234}, doi = {10.1109/TIM.2024.3351234}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/FanXWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LuoDFWCF24, author = {Chengyang Luo and Rui Ding and Wenxiao Fang and Lei Wang and Yiqiang Chen and Ra{\'{u}}l Fern{\'{a}}ndez{-}Garc{\'{\i}}a}, title = {Noninvasive Flexible Current Probe as a Diagnosis Tool Inside a {PWM} Chopper Module}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--7}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3381702}, doi = {10.1109/TIM.2024.3381702}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LuoDFWCF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/WangMLWFDZQ24, author = {Wei Wang and Guo{-}Ming Ma and Hao Liu and Lei Wang and Zhenxing Fan and Houxian Du and Shu Zhou and Wei{-}Qi Qin}, title = {Fast Transient Measurement at Transformer Terminal Based on Built-In Capacitive Sensor}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--8}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3381258}, doi = {10.1109/TIM.2024.3381258}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/WangMLWFDZQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZuoLFWZ24, author = {Fengyuan Zuo and Jinhai Liu and Mingrui Fu and Lei Wang and Zhen Zhao}, title = {STMA-Net: {A} Spatial Transformation-Based Multiscale Attention Network for Complex Defect Detection With X-Ray Images}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--11}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3376014}, doi = {10.1109/TIM.2024.3376014}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZuoLFWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/WangGLYCF24, author = {Lei Wang and Linlin Ge and Shan Luo and Zihan Yan and Zhaopeng Cui and Jieqing Feng}, title = {TC-SfM: Robust Track-Community-Based Structure-From-Motion}, journal = {{IEEE} Trans. Image Process.}, volume = {33}, pages = {1534--1548}, year = {2024}, url = {https://doi.org/10.1109/TIP.2024.3364843}, doi = {10.1109/TIP.2024.3364843}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/WangGLYCF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LiGW24, author = {Wei Li and Yetong Gao and Lei Wang}, title = {A multipopulation particle swarm optimization based on divergent guidance and knowledge transfer for multimodal multiobjective problems}, journal = {J. Supercomput.}, volume = {80}, number = {3}, pages = {3480--3527}, year = {2024}, url = {https://doi.org/10.1007/s11227-023-05624-2}, doi = {10.1007/S11227-023-05624-2}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/LiGW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/YuZZWZWZH24, author = {Hongtao Yu and Pengfei Zhu and Kaihua Zhang and Yu Wang and Shuai Zhao and Lei Wang and Tianzhu Zhang and Qinghua Hu}, title = {Learning Dynamic Compact Memory Embedding for Deformable Visual Object Tracking}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {35}, number = {4}, pages = {5656--5670}, year = {2024}, url = {https://doi.org/10.1109/TNNLS.2022.3208605}, doi = {10.1109/TNNLS.2022.3208605}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/YuZZWZWZH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhangXWD024, author = {Chiyu Zhang and Xiaogang Xu and Lei Wang and Zaiyan Dai and Jun Yang}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {{S2WAT:} Image Style Transfer via Hierarchical Vision Transformer Using Strips Window Attention}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {7024--7032}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i7.28529}, doi = {10.1609/AAAI.V38I7.28529}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangXWD024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangCDD24, author = {Lei Wang and Xu Chen and Zhenhua Dong and Quanyu Dai}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Would You Like Your Data to Be Trained? {A} User Controllable Recommendation Framework}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {21673--21680}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i19.30166}, doi = {10.1609/AAAI.V38I19.30166}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangCDD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/HeYWO24, author = {Wenlei He and Hongtao Yu and Lei Wang and Taewook Oh}, editor = {Tobias Grosser and Christophe Dubach and Michel Steuwer and Jingling Xue and Guilherme Ottoni and ernando Magno Quint{\~{a}}o Pereira}, title = {Revamping Sampling-Based {PGO} with Context-Sensitivity and Pseudo-instrumentation}, booktitle = {{IEEE/ACM} International Symposium on Code Generation and Optimization, {CGO} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {322--333}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CGO57630.2024.10444807}, doi = {10.1109/CGO57630.2024.10444807}, timestamp = {Mon, 11 Mar 2024 13:45:28 +0100}, biburl = {https://dblp.org/rec/conf/cgo/HeYWO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GuoWCSYQHWTWHY24, author = {Ruiqi Guo and Lei Wang and Xiaofeng Chen and Hao Sun and Zhiheng Yue and Yubin Qin and Huiming Han and Yang Wang and Fengbin Tu and Shaojun Wei and Yang Hu and Shouyi Yin}, title = {20.2 {A} 28nm 74.34TFLOPS/W {BF16} Heterogenous CIM-Based Accelerator Exploiting Denoising-Similarity for Diffusion Models}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {362--364}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454308}, doi = {10.1109/ISSCC49657.2024.10454308}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/GuoWCSYQHWTWHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/ChenLWBWMYZCY24, author = {Yuetao Chen and Kun Li and Yuhao Wang and Donglin Bai and Lei Wang and Lingxiao Ma and Liang Yuan and Yunquan Zhang and Ting Cao and Mao Yang}, editor = {Michel Steuwer and I{-}Ting Angelina Lee and Milind Chabbi}, title = {ConvStencil: Transform Stencil Computation to Matrix Multiplication on Tensor Cores}, booktitle = {Proceedings of the 29th {ACM} {SIGPLAN} Annual Symposium on Principles and Practice of Parallel Programming, PPoPP 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {333--347}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627535.3638476}, doi = {10.1145/3627535.3638476}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/ChenLWBWMYZCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-03158, author = {Hui Wu and Yuanben Zhang and Zhonghe Han and Yingyan Hou and Lei Wang and Siye Liu and Qihang Gong and Yunping Ge}, title = {Quartet Logic: {A} Four-Step Reasoning {(QLFR)} framework for advancing Short Text Classification}, journal = {CoRR}, volume = {abs/2401.03158}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.03158}, doi = {10.48550/ARXIV.2401.03158}, eprinttype = {arXiv}, eprint = {2401.03158}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-03158.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-06332, author = {Lei Wang and Zihao Ren and Deming Yuan and Guodong Shi}, title = {Distributed Solvers for Network Linear Equations with Scalarized Compression}, journal = {CoRR}, volume = {abs/2401.06332}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.06332}, doi = {10.48550/ARXIV.2401.06332}, eprinttype = {arXiv}, eprint = {2401.06332}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-06332.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-09796, author = {Wei Huang and Yinggui Wang and Anda Cheng and Aihui Zhou and Chaofan Yu and Lei Wang}, title = {A Fast, Performant, Secure Distributed Training Framework For Large Language Model}, journal = {CoRR}, volume = {abs/2401.09796}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.09796}, doi = {10.48550/ARXIV.2401.09796}, eprinttype = {arXiv}, eprint = {2401.09796}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-09796.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11132, author = {Zhiguang Zhou and Li Ye and Lihong Cai and Lei Wang and Yigang Wang and Yongheng Wang and Wei Chen and Yong Wang}, title = {ConceptThread: Visualizing Threaded Concepts in {MOOC} Videos}, journal = {CoRR}, volume = {abs/2401.11132}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11132}, doi = {10.48550/ARXIV.2401.11132}, eprinttype = {arXiv}, eprint = {2401.11132}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11132.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12586, author = {Yihan Hou and Manling Yang and Hao Cui and Lei Wang and Jie Xu and Wei Zeng}, title = {C2Ideas: Supporting Creative Interior Color Design Ideation with Large Language Model}, journal = {CoRR}, volume = {abs/2401.12586}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12586}, doi = {10.48550/ARXIV.2401.12586}, eprinttype = {arXiv}, eprint = {2401.12586}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12586.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-13719, author = {Yuanqing Huang and Huilong Chen and Yinggui Wang and Lei Wang}, title = {Inference Attacks Against Face Recognition Model without Classification Layers}, journal = {CoRR}, volume = {abs/2401.13719}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.13719}, doi = {10.48550/ARXIV.2401.13719}, eprinttype = {arXiv}, eprint = {2401.13719}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-13719.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15321, author = {Zhaoyang Qu and Yunchang Dong and Yang Li and Siqi Song and Tao Jiang and Min Li and Qiming Wang and Lei Wang and Xiaoyong Bo and Jiye Zang and Qi Xu}, title = {Localization of Dummy Data Injection Attacks in Power Systems Considering Incomplete Topological Information: {A} Spatio-Temporal Graph Wavelet Convolutional Neural Network Approach}, journal = {CoRR}, volume = {abs/2401.15321}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15321}, doi = {10.48550/ARXIV.2401.15321}, eprinttype = {arXiv}, eprint = {2401.15321}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15321.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03019, author = {Lei Wang and Xiuyuan Yuan and Tom Gedeon and Liang Zheng}, title = {Taylor Videos for Action Recognition}, journal = {CoRR}, volume = {abs/2402.03019}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03019}, doi = {10.48550/ARXIV.2402.03019}, eprinttype = {arXiv}, eprint = {2402.03019}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-04857, author = {Liyun Zhu and Arjun Raj and Lei Wang}, title = {Advancing Anomaly Detection: An Adaptation Model and a New Dataset}, journal = {CoRR}, volume = {abs/2402.04857}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.04857}, doi = {10.48550/ARXIV.2402.04857}, eprinttype = {arXiv}, eprint = {2402.04857}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-04857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13582, author = {Yifan Yanggong and Hao Pan and Lei Wang}, title = {Mastering the Game of Guandan with Deep Reinforcement Learning and Behavior Regulating}, journal = {CoRR}, volume = {abs/2402.13582}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13582}, doi = {10.48550/ARXIV.2402.13582}, eprinttype = {arXiv}, eprint = {2402.13582}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13582.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-17764, author = {Shuming Ma and Hongyu Wang and Lingxiao Ma and Lei Wang and Wenhui Wang and Shaohan Huang and Li Dong and Ruiping Wang and Jilong Xue and Furu Wei}, title = {The Era of 1-bit LLMs: All Large Language Models are in 1.58 Bits}, journal = {CoRR}, volume = {abs/2402.17764}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.17764}, doi = {10.48550/ARXIV.2402.17764}, eprinttype = {arXiv}, eprint = {2402.17764}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-17764.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-17971, author = {Lei Wang and Wanyu Xu and Zhiqiang Hu and Yihuai Lan and Shan Dong and Hao Wang and Roy Ka{-}Wei Lee and Ee{-}Peng Lim}, title = {All in a Single Image: Large Multimodal Models are In-Image Learners}, journal = {CoRR}, volume = {abs/2402.17971}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.17971}, doi = {10.48550/ARXIV.2402.17971}, eprinttype = {arXiv}, eprint = {2402.17971}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-17971.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18114, author = {Wanqian Li and Xiaotian Sun and Xinyu Wang and Lei Wang and Yinhe Han and Xiaoming Chen}, title = {{PIMSYN:} Synthesizing Processing-in-memory {CNN} Accelerators}, journal = {CoRR}, volume = {abs/2402.18114}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18114}, doi = {10.48550/ARXIV.2402.18114}, eprinttype = {arXiv}, eprint = {2402.18114}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18114.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18865, author = {Weijieying Ren and Xinlong Li and Lei Wang and Tianxiang Zhao and Wei Qin}, title = {Analyzing and Reducing Catastrophic Forgetting in Parameter Efficient Tuning}, journal = {CoRR}, volume = {abs/2402.18865}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18865}, doi = {10.48550/ARXIV.2402.18865}, eprinttype = {arXiv}, eprint = {2402.18865}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18865.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09036, author = {Fan Zhang and Wei Qin and Weijieying Ren and Lei Wang and Zetong Chen and Richang Hong}, title = {Gradient-Aware Logit Adjustment Loss for Long-tailed Classifier}, journal = {CoRR}, volume = {abs/2403.09036}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09036}, doi = {10.48550/ARXIV.2403.09036}, eprinttype = {arXiv}, eprint = {2403.09036}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09036.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09048, author = {Lei Wang and Jieming Bian and Letian Zhang and Chen Chen and Jie Xu}, title = {Taming Cross-Domain Representation Variance in Federated Prototype Learning with Heterogeneous Data Domains}, journal = {CoRR}, volume = {abs/2403.09048}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09048}, doi = {10.48550/ARXIV.2403.09048}, eprinttype = {arXiv}, eprint = {2403.09048}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09048.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10135, author = {Lei Wang and Ee{-}Peng Lim}, title = {The Whole is Better than the Sum: Using Aggregated Demonstrations in In-Context Learning for Sequential Recommendation}, journal = {CoRR}, volume = {abs/2403.10135}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10135}, doi = {10.48550/ARXIV.2403.10135}, eprinttype = {arXiv}, eprint = {2403.10135}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10558, author = {Yuanqing Huang and Yinggui Wang and Jianshu Li and Le Yang and Kai Song and Lei Wang}, title = {Adaptive Hybrid Masking Strategy for Privacy-Preserving Face Recognition Against Model Inversion Attack}, journal = {CoRR}, volume = {abs/2403.10558}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10558}, doi = {10.48550/ARXIV.2403.10558}, eprinttype = {arXiv}, eprint = {2403.10558}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10558.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14513, author = {Quan Zhang and Lei Wang and Vishal M. Patel and Xiaohua Xie and Jianhuang Lai}, title = {View-decoupled Transformer for Person Re-identification under Aerial-ground Camera Network}, journal = {CoRR}, volume = {abs/2403.14513}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14513}, doi = {10.48550/ARXIV.2403.14513}, eprinttype = {arXiv}, eprint = {2403.14513}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14513.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15734, author = {Zhendong Cao and Xiaoshan Luo and Jian Lv and Lei Wang}, title = {Space Group Informed Transformer for Crystalline Materials Generation}, journal = {CoRR}, volume = {abs/2403.15734}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15734}, doi = {10.48550/ARXIV.2403.15734}, eprinttype = {arXiv}, eprint = {2403.15734}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15734.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17411, author = {Jinyi Li and Yihuai Lan and Lei Wang and Hao Wang}, title = {PCToolkit: {A} Unified Plug-and-Play Prompt Compression Toolkit of Large Language Models}, journal = {CoRR}, volume = {abs/2403.17411}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17411}, doi = {10.48550/ARXIV.2403.17411}, eprinttype = {arXiv}, eprint = {2403.17411}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17411.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-18840, author = {Pengcheng Hou and Tao Wang and Daniel Cerkoney and Xiansheng Cai and Zhiyi Li and Youjin Deng and Lei Wang and Kun Chen}, title = {Feynman Diagrams as Computational Graphs}, journal = {CoRR}, volume = {abs/2403.18840}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.18840}, doi = {10.48550/ARXIV.2403.18840}, eprinttype = {arXiv}, eprint = {2403.18840}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-18840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DengGDQWYZ23, author = {Rujin Deng and Xingjun Ge and Fangchao Dang and Baoliang Qian and Lei Wang and Fuxiang Yang and Yunxiao Zhou}, title = {Improved Power Capacity in a Low-Magnetic Field and High-Efficiency Transit-Time Oscillator by a Double-Gap Extractor}, journal = {{IEEE} Access}, volume = {11}, pages = {18442--18447}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3244882}, doi = {10.1109/ACCESS.2023.3244882}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/DengGDQWYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangACOW23, author = {Jingcheng Zhang and Yuqiang An and Jianing Cao and Shibo Ouyang and Lei Wang}, title = {{UAV} Trajectory Planning for Complex Open Storage Environments Based on an Improved {RRT} Algorithm}, journal = {{IEEE} Access}, volume = {11}, pages = {23189--23204}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3252018}, doi = {10.1109/ACCESS.2023.3252018}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangACOW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XueZJHLLWZ23, author = {Shiwei Xue and Siming Zeng and Qingquan Jia and Xuekai Hu and Peng Luo and Jifeng Liang and Lei Wang and Wen Zhou}, title = {Collaborative Optimization Allocation of Grid-Forming and Grid-Following Reactive Power Resources Considering Auxiliary Equipment Services}, journal = {{IEEE} Access}, volume = {11}, pages = {95840--95857}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3308293}, doi = {10.1109/ACCESS.2023.3308293}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/XueZJHLLWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangWLWZ23, author = {Hechuan Zhang and Lei Wang and Wenhui Li and Yujun Wang and Ge Zhang}, title = {Construction and Application of {VS-DBN} Anti-Theft Diagnosis Model Based on Neural Architecture Search}, journal = {{IEEE} Access}, volume = {11}, pages = {100683--100694}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3314841}, doi = {10.1109/ACCESS.2023.3314841}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangWLWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenFW23, author = {Dong{-}Lin Chen and Min Fu and Lei Wang}, title = {Blockchain Enabling Government to Establish a Timely Supervision Mechanism for the Platform Ecosystem}, journal = {{IEEE} Access}, volume = {11}, pages = {107798--107811}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3316688}, doi = {10.1109/ACCESS.2023.3316688}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenFW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WeiWG23, author = {Jianguo Wei and Lei Wang and Meiling Ge}, title = {Deep Adaptive Interest Network for {CTR} Prediction}, journal = {{IEEE} Access}, volume = {11}, pages = {109397--109407}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3320142}, doi = {10.1109/ACCESS.2023.3320142}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WeiWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhongCZWYB23, author = {Yan Zhong and Liangyu Chen and Lei Zhao and Lei Wang and Zhuo Yan and Haoxi Bai}, title = {Analysis of Influence Factors of Guide Vanes Torque in Variable Geometry Turbine Adjusting Mechanism}, journal = {{IEEE} Access}, volume = {11}, pages = {131726--131748}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3335814}, doi = {10.1109/ACCESS.2023.3335814}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhongCZWYB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoZW23, author = {Yunjie Zhao and Tai{-}Hong Zhang and Lei Wang}, title = {A Multi-Scale Attention Network for Uncertainty Analysis of Ground Penetrating Radar Modeling}, journal = {{IEEE} Access}, volume = {11}, pages = {142725--142733}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2022.3227134}, doi = {10.1109/ACCESS.2022.3227134}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhaoZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/WangCLLZ23, author = {Lei Wang and Hongyu Chen and Yang Liu and Heng Li and Wenjing Zhang}, title = {Application of copula-based Bayesian network method to water leakage risk analysis in cross river tunnel of Wuhan Rail Transit Line 3}, journal = {Adv. Eng. Informatics}, volume = {57}, pages = {102056}, year = {2023}, url = {https://doi.org/10.1016/j.aei.2023.102056}, doi = {10.1016/J.AEI.2023.102056}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aei/WangCLLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/WangLYHYLW23, author = {Lei Wang and Heng Li and Yizhi Yao and Dongliang Han and Changyuan Yu and Weimin Lyu and Haitao Wu}, title = {Smart cushion-based non-invasive mental fatigue assessment of construction equipment operators: {A} feasible study}, journal = {Adv. Eng. Informatics}, volume = {58}, pages = {102134}, year = {2023}, url = {https://doi.org/10.1016/j.aei.2023.102134}, doi = {10.1016/J.AEI.2023.102134}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aei/WangLYHYLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/air/GongJLWGWZG23, author = {Haimei Gong and Liangjun Jiang and Xiaoyang Liu and Yuanqi Wang and Omary Gastro and Lei Wang and Ke Zhang and Zhen Guo}, title = {Gradient leakage attacks in federated learning}, journal = {Artif. Intell. Rev.}, volume = {56}, number = {{S1}}, pages = {1337--1374}, year = {2023}, url = {https://doi.org/10.1007/s10462-023-10550-z}, doi = {10.1007/S10462-023-10550-Z}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/air/GongJLWGWZG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/CaoWG23, author = {Chongjing Cao and Lei Wang and Xing Gao}, title = {A Monolithic Electrostatic-Hydraulic Coupled Suction Pad}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {6}, year = {2023}, url = {https://doi.org/10.1002/aisy.202200425}, doi = {10.1002/AISY.202200425}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/CaoWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ZhangGWXFYHH23, author = {Guangyao Zhang and Xin Gao and Lei Wang and Bing Xue and Shiyuan Fu and Jiahao Yu and Zijian Huang and Xu Huang}, title = {Probabilistic autoencoder with multi-scale feature extraction for multivariate time series anomaly detection}, journal = {Appl. Intell.}, volume = {53}, number = {12}, pages = {15855--15872}, year = {2023}, url = {https://doi.org/10.1007/s10489-022-04324-3}, doi = {10.1007/S10489-022-04324-3}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/ZhangGWXFYHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/GuoLW23, author = {Jie Guo and Xia Liang and Lei Wang}, title = {Online reviews-oriented hotel selection: {A} large-scale group decision-making method based on the expectations of decision makers}, journal = {Appl. Intell.}, volume = {53}, number = {13}, pages = {16347--16366}, year = {2023}, url = {https://doi.org/10.1007/s10489-022-04273-x}, doi = {10.1007/S10489-022-04273-X}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/GuoLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/WangZP23, author = {Lei Wang and Yan Zhu and Qiang Peng}, title = {{TNSEIR:} {A} {SEIR} pattern-based embedding approach for temporal network}, journal = {Appl. Intell.}, volume = {53}, number = {20}, pages = {24202--24216}, year = {2023}, url = {https://doi.org/10.1007/s10489-023-04842-8}, doi = {10.1007/S10489-023-04842-8}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/WangZP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ShiHCMWHH23, author = {Yu Shi and Zhigao Huang and Jisong Chen and Lei Ma and Lei Wang and Xia Hua and Hanyu Hong}, title = {DDABNet: a dense Do-conv residual network with multisupervision and mixed attention for image deblurring}, journal = {Appl. Intell.}, volume = {53}, number = {24}, pages = {30911--30926}, year = {2023}, url = {https://doi.org/10.1007/s10489-023-05122-1}, doi = {10.1007/S10489-023-05122-1}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/ShiHCMWHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/WangWWT23, author = {Jianpeng Wang and Kai Wang and Lei Wang and Zhidong Teng}, title = {The bifurcation analysis for a degenerate reaction-diffusion host-pathogen model}, journal = {Appl. Math. Lett.}, volume = {144}, pages = {108719}, year = {2023}, url = {https://doi.org/10.1016/j.aml.2023.108719}, doi = {10.1016/J.AML.2023.108719}, timestamp = {Sun, 20 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/WangWWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/WangMTS23, author = {Lei Wang and Ian R. Manchester and Jochen Trumpf and Guodong Shi}, title = {Differential initial-value privacy and observability of linear dynamical systems}, journal = {Autom.}, volume = {148}, pages = {110722}, year = {2023}, url = {https://doi.org/10.1016/j.automatica.2022.110722}, doi = {10.1016/J.AUTOMATICA.2022.110722}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/WangMTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/WangOB23, author = {Lei Wang and Romeo Ortega and Alexey A. Bobtsov}, title = {Observability is sufficient for the design of globally exponentially stable state observers for state-affine nonlinear systems}, journal = {Autom.}, volume = {149}, pages = {110838}, year = {2023}, url = {https://doi.org/10.1016/j.automatica.2022.110838}, doi = {10.1016/J.AUTOMATICA.2022.110838}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/WangOB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WangSLZLLDLHC23, author = {Lei Wang and Shao{-}Hua Shi and Hui Li and Xiangxiang Zeng and Su{-}You Liu and Zhao{-}Qian Liu and Yafeng Deng and Ai{-}Ping Lu and Tingjun Hou and Dong{-}Sheng Cao}, title = {Reducing false positive rate of docking-based virtual screening by active learning}, journal = {Briefings Bioinform.}, volume = {24}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bib/bbac626}, doi = {10.1093/BIB/BBAC626}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WangSLZLLDLHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WangHWXW23, author = {Lei Wang and Chen Huang and Mingxia Wang and Zhidong Xue and Yan Wang}, title = {NeuroPred-PLM: an interpretable and robust model for neuropeptide prediction by protein language model}, journal = {Briefings Bioinform.}, volume = {24}, number = {2}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad077}, doi = {10.1093/BIB/BBAD077}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WangHWXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WangDZLCWWW23, author = {Zhongyu Wang and Zhaohong Deng and Wei Zhang and Qiongdan Lou and Kup{-}Sze Choi and Zhisheng Wei and Lei Wang and Jing Wu}, title = {MMSMAPlus: a multi-view multi-scale multi-attention embedding model for protein function prediction}, journal = {Briefings Bioinform.}, volume = {24}, number = {4}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad201}, doi = {10.1093/BIB/BBAD201}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WangDZLCWWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/MaTW23, author = {Qing Ma and Yaqin Tan and Lei Wang}, title = {{GACNNMDA:} a computational model for predicting potential human microbe-drug associations based on graph attention network and CNN-based classifier}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {35}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05158-7}, doi = {10.1186/S12859-023-05158-7}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/MaTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/WangCYGC23, author = {Lei Wang and Han Cao and Liu Yuan and Xiaoxu Guo and Yachao Cui}, title = {Child-Sum EATree-LSTMs: enhanced attentive Child-Sum Tree-LSTMs for biomedical event extraction}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {253}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05336-7}, doi = {10.1186/S12859-023-05336-7}, timestamp = {Tue, 12 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/WangCYGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/WangWTZZZN23, author = {Yunhan Wang and Lei Wang and Xiaohua Tang and Yong Zhang and Na Zhang and Biao Zhi and Xiangke Niu}, title = {Development and validation of a nomogram based on biparametric {MRI} {PI-RADS} v2.1 and clinical parameters to avoid unnecessary prostate biopsies}, journal = {{BMC} Medical Imaging}, volume = {23}, number = {1}, pages = {106}, year = {2023}, url = {https://doi.org/10.1186/s12880-023-01074-7}, doi = {10.1186/S12880-023-01074-7}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/WangWTZZZN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WangXCZSW23, author = {Lei Wang and Rong Xiao and Jing Chen and Lingling Zhu and Dawei Shi and Junzheng Wang}, title = {A slow feature based {LSTM} network for susceptibility assessment of acute mountain sickness with heterogeneous data}, journal = {Biomed. Signal Process. Control.}, volume = {80}, number = {Part}, pages = {104355}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2022.104355}, doi = {10.1016/J.BSPC.2022.104355}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/WangXCZSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WangDZSZ23, author = {Lei Wang and Tianyu Du and Le Zhao and Yuhu Shi and Weiming Zeng}, title = {Research on the lateralization of brain functional complexity in mild cognitive impairment-Alzheimer's disease progression based on multiscale lateralized brain entropy}, journal = {Biomed. Signal Process. Control.}, volume = {86}, number = {Part {B}}, pages = {105216}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.105216}, doi = {10.1016/J.BSPC.2023.105216}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/WangDZSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/LiLDW23, author = {Min Li and Mingzhu Lou and Shaobo Deng and Lei Wang}, title = {{TRF-WGHC} - Top-Ranking filter and wrapper-based greedy hill-climbing gene selection for microarray-based cancer classification}, journal = {Biomed. Signal Process. Control.}, volume = {86}, number = {Part {C}}, pages = {105309}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.105309}, doi = {10.1016/J.BSPC.2023.105309}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/LiLDW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/GuoGSWWZ23, author = {Haixiang Guo and Lijuan Gao and Yong Shi and Yang Wu and Lei Wang and Wenkai Zhang}, title = {Optimization for vaccination demand allocation and distribution routes in pandemics based on a hierarchy decision model}, journal = {Comput. Ind. Eng.}, volume = {184}, pages = {109568}, year = {2023}, url = {https://doi.org/10.1016/j.cie.2023.109568}, doi = {10.1016/J.CIE.2023.109568}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/GuoGSWWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/ZhengYNYJWCL23, author = {Qingyuan Zheng and Rui Yang and Xinmiao Ni and Song Yang and Zhengyu Jiang and Lei Wang and Zhiyuan Chen and Xiuheng Liu}, title = {Development and validation of a deep learning-based laparoscopic system for improving video quality}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {18}, number = {2}, pages = {257--268}, year = {2023}, url = {https://doi.org/10.1007/s11548-022-02777-y}, doi = {10.1007/S11548-022-02777-Y}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/ZhengYNYJWCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/WangFTWWWLSZ23, author = {Yufei Wang and Junwen Fan and Yu Tong and Lei Wang and Lingya Wang and Cuiye Weng and Chuqiao Lai and Jingjing Song and Weixi Zhang}, title = {Bioinformatics analysis of ferroptosis-related gene {AKR1C3} as a potential biomarker of asthma and its identification in {BEAS-2B} cells}, journal = {Comput. Biol. Medicine}, volume = {158}, pages = {106740}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106740}, doi = {10.1016/J.COMPBIOMED.2023.106740}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/WangFTWWWLSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/XuWZALWJDY23, author = {Jing Xu and Yiran Wang and Jiali Zhang and Amr Abbas Abdelmoneim and Zhongjie Liang and Lei Wang and Jia Jin and Qi Dai and Fei Ye}, title = {Elastic network models and molecular dynamic simulations reveal the molecular basis of allosteric regulation in ubiquitin-specific protease 7 {(USP7)}}, journal = {Comput. Biol. Medicine}, volume = {162}, pages = {107068}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107068}, doi = {10.1016/J.COMPBIOMED.2023.107068}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/XuWZALWJDY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ZhouWWZ23, author = {Juanying Zhou and Lufeng Wang and Lei Wang and Jianyou Zhao}, title = {Adaptive energy management strategy of plug-in hybrid electric bus}, journal = {Comput. Electr. Eng.}, volume = {112}, pages = {108983}, year = {2023}, url = {https://doi.org/10.1016/j.compeleceng.2023.108983}, doi = {10.1016/J.COMPELECENG.2023.108983}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/ZhouWWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/YeYZZLWWW23, author = {Zijian Ye and Ying Ye and Chengping Zhang and Zhiming Zhang and Wei Li and Xuejie Wang and Lei Wang and Libin Wang}, title = {A digital twin approach for tunnel construction safety early warning and management}, journal = {Comput. Ind.}, volume = {144}, pages = {103783}, year = {2023}, url = {https://doi.org/10.1016/j.compind.2022.103783}, doi = {10.1016/J.COMPIND.2022.103783}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/YeYZZLWWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/WeiWLCWWF23, author = {Zixian Wei and Lei Wang and Zhenhao Li and Chien{-}Ju Chen and Meng{-}Chyi Wu and Lai Wang and H. Y. Fu}, title = {Micro-LEDs Illuminate Visible Light Communication}, journal = {{IEEE} Commun. Mag.}, volume = {61}, number = {4}, pages = {108--114}, year = {2023}, url = {https://doi.org/10.1109/MCOM.002.2200109}, doi = {10.1109/MCOM.002.2200109}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/WeiWLCWWF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/JiangBWXCYLDYB23, author = {Zhengyu Jiang and Lulong Bo and Lei Wang and Yan Xie and Jianping Cao and Ying Yao and Wenbin Lu and Xiaoming Deng and Tao Yang and Jinjun Bian}, title = {Interpretable machine-learning model for real-time, clustered risk factor analysis of sepsis and septic death in critical care}, journal = {Comput. Methods Programs Biomed.}, volume = {241}, pages = {107772}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107772}, doi = {10.1016/J.CMPB.2023.107772}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/JiangBWXCYLDYB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/CuiLW23, author = {Qian Cui and Lulu Li and Lei Wang}, title = {Exponential stability of delayed nonlinear systems with state-dependent delayed impulses and its application in delayed neural networks}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {125}, pages = {107375}, year = {2023}, url = {https://doi.org/10.1016/j.cnsns.2023.107375}, doi = {10.1016/J.CNSNS.2023.107375}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cnsns/CuiLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/coap/WangLZ23, author = {Lei Wang and Xin Liu and Yin Zhang}, title = {A communication-efficient and privacy-aware distributed algorithm for sparse {PCA}}, journal = {Comput. Optim. Appl.}, volume = {85}, number = {3}, pages = {1033--1072}, year = {2023}, url = {https://doi.org/10.1007/s10589-023-00481-4}, doi = {10.1007/S10589-023-00481-4}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/coap/WangLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/HuoLYWA23, author = {Jiuyuan Huo and Shubin Lu and Jiguang Yang and Lei Wang and Hamzah Murad Mohammed Al{-}Neshmi}, title = {An area autonomous routing protocol based on multi-objective optimization methods for field observation instrument network}, journal = {Comput. Commun.}, volume = {197}, pages = {71--86}, year = {2023}, url = {https://doi.org/10.1016/j.comcom.2022.10.015}, doi = {10.1016/J.COMCOM.2022.10.015}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/HuoLYWA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsis/YaoLW23, author = {Baofeng Yao and Shijun Liu and Lei Wang}, title = {Using machine learning approach to construct the people flow tracking system for smart cities}, journal = {Comput. Sci. Inf. Syst.}, volume = {20}, number = {2}, pages = {679--700}, year = {2023}, url = {https://doi.org/10.2298/csis220813014y}, doi = {10.2298/CSIS220813014Y}, timestamp = {Sun, 21 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsis/YaoLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/YangWLLL23, author = {Yaohong Yang and Lei Wang and Jiamin Liu and Rui Li and Heng Lian}, title = {Communication-efficient estimation of quantile matrix regression for massive datasets}, journal = {Comput. Stat. Data Anal.}, volume = {187}, pages = {107812}, year = {2023}, url = {https://doi.org/10.1016/j.csda.2023.107812}, doi = {10.1016/J.CSDA.2023.107812}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/YangWLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ZhaoZWWLW23, author = {Yike Zhao and Xin Zhang and Jiaxu Wang and Lei Wu and Zhiwen Liu and Lei Wang}, title = {A new data fusion driven-sparse representation learning method for bearing intelligent diagnosis in small and unbalanced samples}, journal = {Eng. Appl. Artif. Intell.}, volume = {117}, number = {Part}, pages = {105513}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2022.105513}, doi = {10.1016/J.ENGAPPAI.2022.105513}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/ZhaoZWWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ZhangWHW23, author = {Shihui Zhang and Lei Wang and Xueqiang Han and Shi Wang}, title = {A sketch semantic segmentation method based on point-segment level interaction}, journal = {Eng. Appl. Artif. Intell.}, volume = {121}, pages = {105996}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.105996}, doi = {10.1016/J.ENGAPPAI.2023.105996}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ZhangWHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChenWFLWQX23, author = {Bin Chen and Lei Wang and Zongbao Feng and Yang Liu and Xianguo Wu and Yawei Qin and Lingyu Xia}, title = {Optimization of high-performance concrete mix ratio design using machine learning}, journal = {Eng. Appl. Artif. Intell.}, volume = {122}, pages = {106047}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106047}, doi = {10.1016/J.ENGAPPAI.2023.106047}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/ChenWFLWQX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/WangW23, author = {Chen Wang and Lei Wang}, title = {Investigation of Fluid Characteristic and Performance of an Ejector by a Wet Steam Model}, journal = {Entropy}, volume = {25}, number = {1}, pages = {85}, year = {2023}, url = {https://doi.org/10.3390/e25010085}, doi = {10.3390/E25010085}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/WangW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LiuLZLWYC23, author = {Jing Liu and Huibin Lu and Xiuru Zhang and Xiaoli Li and Lei Wang and Shimin Yin and Dong Cui}, title = {Which Multivariate Multi-Scale Entropy Algorithm Is More Suitable for Analyzing the {EEG} Characteristics of Mild Cognitive Impairment?}, journal = {Entropy}, volume = {25}, number = {3}, pages = {396}, year = {2023}, url = {https://doi.org/10.3390/e25030396}, doi = {10.3390/E25030396}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/LiuLZLWYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/WangHKQL23, author = {Lei Wang and Ziming Hu and Quan Kong and Qian Qi and Qing Liao}, title = {Infrared and Visible Image Fusion via Attention-Based Adaptive Feature Fusion}, journal = {Entropy}, volume = {25}, number = {3}, pages = {407}, year = {2023}, url = {https://doi.org/10.3390/e25030407}, doi = {10.3390/E25030407}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/WangHKQL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LiLWWPZ23, author = {Mingyang Li and Mingxing Lin and Lei Wang and Yanbo Wang and Fengwen Pan and Xiaojun Zhao}, title = {Observation and Analysis of Ejector Hysteresis Phenomena in the Hydrogen Recirculation Subsystem of PEMFCs}, journal = {Entropy}, volume = {25}, number = {3}, pages = {426}, year = {2023}, url = {https://doi.org/10.3390/e25030426}, doi = {10.3390/E25030426}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/LiLWWPZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/QiFLWS23, author = {Dengliang Qi and Jingan Feng and Yongbin Li and Lei Wang and Bao Song}, title = {A Robust Hierarchical Estimation Scheme for Vehicle State Based on Maximum Correntropy Square-Root Cubature Kalman Filter}, journal = {Entropy}, volume = {25}, number = {3}, pages = {453}, year = {2023}, url = {https://doi.org/10.3390/e25030453}, doi = {10.3390/E25030453}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/QiFLWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/DuXZTWYYA23, author = {Bin Du and Quan Xu and Junfu Zhang and Yi Tang and Lei Wang and Ruihao Yuan and Yu Yuan and Jiaju An}, title = {Periodic Intermittent Adaptive Control with Saturation for Pinning Quasi-Consensus of Heterogeneous Multi-Agent Systems with External Disturbances}, journal = {Entropy}, volume = {25}, number = {9}, pages = {1266}, year = {2023}, url = {https://doi.org/10.3390/e25091266}, doi = {10.3390/E25091266}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/DuXZTWYYA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/DingWLSLWYH23, author = {Guohui Ding and Yankai Wang and Chenyang Li and Haohan Sun and Cailong Li and Lei Wang and Haijun Yin and Tiantian Huang}, title = {{HSCFC:} High-dimensional streaming data clustering algorithm based on feedback control system}, journal = {Future Gener. Comput. Syst.}, volume = {146}, pages = {156--165}, year = {2023}, url = {https://doi.org/10.1016/j.future.2023.04.008}, doi = {10.1016/J.FUTURE.2023.04.008}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/DingWLSLWYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/XingAWLLHWLWZZW23, author = {Xiumei Xing and Cheng Ai and Tianjiao Wang and Yang Li and Huitao Liu and Pengfei Hu and Guiwu Wang and Huamiao Liu and Hongliang Wang and Ranran Zhang and Junjun Zheng and Xiaobo Wang and Lei Wang and Yuxiao Chang and Qian Qian and Jinghua Yu and Lixin Tang and Shigang Wu and Xiujuan Shao and Alun Li and Peng Cui and Wei Zhan and Sheng Zhao and Zhichao Wu and Xiqun Shao and Yimeng Dong and Min Rong and Yihong Tan and Xuezhe Cui and Shuzhuo Chang and Xingchao Song and Tongao Yang and Limin Sun and Yan Ju and Pei Zhao and Huanhuan Fan and Ying Liu and Xinhui Wang and Wanyun Yang and Min Yang and Tao Wei and Shanshan Song and Jiaping Xu and Zhigang Yue and Qiqi Liang and Chunyi Li and Jue Ruan and Fuhe Yang}, title = {The First High-quality Reference Genome of Sika Deer Provides Insights into High-tannin Adaptation}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {1}, pages = {203--215}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2022.05.008}, doi = {10.1016/J.GPB.2022.05.008}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/XingAWLLHWLWZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/LiZWW23, author = {Hongjian Li and Lisha Zhu and Shuaicheng Wang and Lei Wang}, title = {Cost-Aware Scheduling and Data Skew Alleviation for Big Data Processing in Heterogeneous Cloud Environment}, journal = {J. Grid Comput.}, volume = {21}, number = {3}, pages = {33}, year = {2023}, url = {https://doi.org/10.1007/s10723-023-09661-2}, doi = {10.1007/S10723-023-09661-2}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/grid/LiZWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/WangZLSWL23, author = {Bingbing Wang and Fengxiang Zhang and Kaipeng Li and Kuijie Shi and Lei Wang and Gang Liu}, title = {Small object detection based on attention mechanism and enhanced network}, journal = {Intell. Data Anal.}, volume = {27}, number = {6}, pages = {1725--1739}, year = {2023}, url = {https://doi.org/10.3233/IDA-227154}, doi = {10.3233/IDA-227154}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ida/WangZLSWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieWCW23, author = {Weikun Xie and Lei Wang and Long Chen and Houjun Wang}, title = {Blade-type phase-change random access memory technology, challenge and prospect}, journal = {{IEICE} Electron. Express}, volume = {20}, number = {19}, pages = {20230307}, year = {2023}, url = {https://doi.org/10.1587/elex.20.20230307}, doi = {10.1587/ELEX.20.20230307}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieWCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/YuMWLY23, author = {Xiao{-}Han Yu and Shaochen Mao and Lei Wang and Shi{-}Jie Lu and Kun Yu}, title = {Research on neural processes with multiple latent variables}, journal = {{IET} Image Process.}, volume = {17}, number = {11}, pages = {3323--3336}, year = {2023}, url = {https://doi.org/10.1049/ipr2.12864}, doi = {10.1049/IPR2.12864}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ipr/YuMWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/WangZYG23, author = {Lei Wang and Jianwei Zhang and Shanmin Yang and Song Gu}, title = {Two-stream spatiotemporal networks for skeleton action recognition}, journal = {{IET} Image Process.}, volume = {17}, number = {11}, pages = {3358--3370}, year = {2023}, url = {https://doi.org/10.1049/ipr2.12868}, doi = {10.1049/IPR2.12868}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ipr/WangZYG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/DengWGLW23, author = {Shaobo Deng and Lei Wang and Sujie Guan and Min Li}, title = {Non-parametric Nearest Neighbor Classification Based on Global Variance Difference}, journal = {Int. J. Comput. Intell. Syst.}, volume = {16}, number = {1}, pages = {26}, year = {2023}, url = {https://doi.org/10.1007/s44196-023-00200-1}, doi = {10.1007/S44196-023-00200-1}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/DengWGLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/XuFZW23, author = {Wenkai Xu and Fengchen Fu and Qingqing Zhang and Lei Wang}, title = {A Deep Learning-Based Multi-objective Optimization Model for {PM2.5} Prediction}, journal = {Int. J. Comput. Intell. Syst.}, volume = {16}, number = {1}, pages = {141}, year = {2023}, url = {https://doi.org/10.1007/s44196-023-00322-6}, doi = {10.1007/S44196-023-00322-6}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/XuFZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcsm/YeYW23, author = {Tingyu Ye and Jun Ye and Lei Wang}, title = {Improved rough \emph{K}-means clustering algorithm based on firefly algorithm}, journal = {Int. J. Comput. Sci. Math.}, volume = {17}, number = {1}, pages = {1--12}, year = {2023}, url = {https://doi.org/10.1504/IJCSM.2023.10055622}, doi = {10.1504/IJCSM.2023.10055622}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcsm/YeYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/WangYD23, author = {Lei Wang and Ying Yuan and Yan Ding}, title = {Analysis and Design of Identity Authentication for IoT Devices in the Blockchain Using Hashing and Digital Signature Algorithms}, journal = {Int. J. Distributed Sens. Networks}, volume = {2023}, pages = {1--12}, year = {2023}, url = {https://doi.org/10.1155/2023/2524051}, doi = {10.1155/2023/2524051}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/WangYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijet/WangFZ23, author = {Lei Wang and Yuanyuan Fu and Yingchao Zhang}, title = {A Career Recommendation Method for College Students Based on Occupational Values}, journal = {Int. J. Emerg. Technol. Learn.}, volume = {18}, number = {1}, pages = {201--215}, year = {2023}, url = {https://doi.org/10.3991/ijet.v18i01.37127}, doi = {10.3991/IJET.V18I01.37127}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijet/WangFZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/GeZWL23, author = {Chao Ge and Zongyu Zhang and Lei Wang and Yajuan Liu}, title = {Novel Stability Criteria for Sampled-Data {T-S} Fuzzy Systems with Actuator Failures}, journal = {Int. J. Fuzzy Syst.}, volume = {25}, number = {2}, pages = {940--949}, year = {2023}, url = {https://doi.org/10.1007/s40815-022-01380-2}, doi = {10.1007/S40815-022-01380-2}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/GeZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/HuDWT23, author = {Li Hu and Shaofu Du and Lei Wang and Wenzhi Tang}, title = {Differentiated premium membership mechanism in platform competition}, journal = {Int. J. Prod. Res.}, volume = {61}, number = {2}, pages = {649--667}, year = {2023}, url = {https://doi.org/10.1080/00207543.2021.2012614}, doi = {10.1080/00207543.2021.2012614}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/HuDWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/CaiLWW23, author = {Jingcao Cai and Deming Lei and Jing Wang and Lei Wang}, title = {A novel shuffled frog-leaping algorithm with reinforcement learning for distributed assembly hybrid flow shop scheduling}, journal = {Int. J. Prod. Res.}, volume = {61}, number = {4}, pages = {1233--1251}, year = {2023}, url = {https://doi.org/10.1080/00207543.2022.2031331}, doi = {10.1080/00207543.2022.2031331}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/CaiLWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imamci/YuLJWM23, author = {Mingzheng Yu and Jian Liu and Ticao Jiao and Lei Wang and Qian Ma}, title = {Stability analysis for time-varying positive systems with stochastic impulses}, journal = {{IMA} J. Math. Control. Inf.}, volume = {40}, number = {1}, pages = {20--37}, year = {2023}, url = {https://doi.org/10.1093/imamci/dnac030}, doi = {10.1093/IMAMCI/DNAC030}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imamci/YuLJWM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/WangCLBLR23, author = {Lei Wang and Meng Chen and Li Lu and Zhongjie Ba and Feng Lin and Kui Ren}, title = {VoiceListener: {A} Training-free and Universal Eavesdropping Attack on Built-in Speakers of Mobile Devices}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {1}, pages = {32:1--32:22}, year = {2023}, url = {https://doi.org/10.1145/3580789}, doi = {10.1145/3580789}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/WangCLBLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/CongZWWGYLX23, author = {Peizhuang Cong and Yuchao Zhang and Lei Wang and Wendong Wang and Xiangyang Gong and Tong Yang and Dan Li and Ke Xu}, title = {{DIT} and Beyond: Interdomain Routing With Intradomain Awareness for IIoT}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {23}, pages = {20602--20616}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3293500}, doi = {10.1109/JIOT.2023.3293500}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/CongZWWGYLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/ZhengYWZH23, author = {Shiyong Zheng and Zahrah Yahya and Lei Wang and Ruihang Zhang and Azadeh Noori Hoshyar}, title = {Multiheaded deep learning chatbot for increasing production and marketing}, journal = {Inf. Process. Manag.}, volume = {60}, number = {5}, pages = {103446}, year = {2023}, url = {https://doi.org/10.1016/j.ipm.2023.103446}, doi = {10.1016/J.IPM.2023.103446}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/ZhengYWZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/QiCRWXW23, author = {Shuhan Qi and Zhengying Cao and Jun Rao and Lei Wang and Jing Xiao and Xuan Wang}, title = {What is the limitation of multimodal LLMs? {A} deeper look into multimodal LLMs through prompt probing}, journal = {Inf. Process. Manag.}, volume = {60}, number = {6}, pages = {103510}, year = {2023}, url = {https://doi.org/10.1016/j.ipm.2023.103510}, doi = {10.1016/J.IPM.2023.103510}, timestamp = {Sat, 02 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/QiCRWXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChenLFWQS0L23, author = {Hongyu Chen and Xinyi Li and Zongbao Feng and Lei Wang and Yawei Qin and Miroslaw J. Skibniewski and Zhen{-}Song Chen and Yang Liu}, title = {Shield attitude prediction based on Bayesian-LGBM machine learning}, journal = {Inf. Sci.}, volume = {632}, pages = {105--129}, year = {2023}, url = {https://doi.org/10.1016/j.ins.2023.03.004}, doi = {10.1016/J.INS.2023.03.004}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ChenLFWQS0L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WangZZWWL23, author = {Xin Wang and Qingjie Zhao and Changchun Zhang and Binglu Wang and Lei Wang and Wangwang Liu}, title = {Enhanced dynamic feature representation learning framework by Fourier transform for domain generalization}, journal = {Inf. Sci.}, volume = {649}, pages = {119624}, year = {2023}, url = {https://doi.org/10.1016/j.ins.2023.119624}, doi = {10.1016/J.INS.2023.119624}, timestamp = {Fri, 29 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/WangZZWWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itc/YinHLXW23, author = {Kangyong Yin and Haosheng Huang and Wei Liang and Hongwu Xiao and Lei Wang}, title = {Network Coding for Efficient File Transfer in Narrowband Environments}, journal = {Inf. Technol. Control.}, volume = {52}, number = {3}, pages = {638--652}, year = {2023}, url = {https://doi.org/10.5755/j01.itc.52.3.33092}, doi = {10.5755/J01.ITC.52.3.33092}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itc/YinHLXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/ZhangWZWL23, author = {Shihui Zhang and Wei Wang and Weibo Zhao and Lei Wang and Qunpeng Li}, title = {A cross-modal crowd counting method combining {CNN} and cross-modal transformer}, journal = {Image Vis. Comput.}, volume = {129}, pages = {104592}, year = {2023}, url = {https://doi.org/10.1016/j.imavis.2022.104592}, doi = {10.1016/J.IMAVIS.2022.104592}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/ZhangWZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/AgbodikeZCW23, author = {Obinna Agbodike and Weijin Zhang and Jenhui Chen and Lei Wang}, title = {Face and body-shape integration model for cloth-changing person re-identification}, journal = {Image Vis. Comput.}, volume = {140}, pages = {104843}, year = {2023}, url = {https://doi.org/10.1016/j.imavis.2023.104843}, doi = {10.1016/J.IMAVIS.2023.104843}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/AgbodikeZCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/ZhuWLZW23, author = {Xiaobin Zhu and Qian Wang and Peng Li and Xiao{-}Yu Zhang and Lei Wang}, title = {Learning region-wise deep feature representation for image analysis}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {11}, pages = {14775--14784}, year = {2023}, url = {https://doi.org/10.1007/s12652-018-0894-0}, doi = {10.1007/S12652-018-0894-0}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/ZhuWLZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/WangPLWJS23, author = {Lei Wang and Zhenglin Pan and Wei Liu and Junzheng Wang and Linong Ji and Dawei Shi}, title = {A dual-attention based coupling network for diabetes classification with heterogeneous data}, journal = {J. Biomed. Informatics}, volume = {139}, pages = {104300}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104300}, doi = {10.1016/J.JBI.2023.104300}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/WangPLWJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/ChenWWWLCZYS23, author = {Yangyang Chen and Zixu Wang and Lei Wang and Jianmin Wang and Pengyong Li and Dongsheng Cao and Xiangxiang Zeng and Xiucai Ye and Tetsuya Sakurai}, title = {Deep generative model for drug design from protein target sequence}, journal = {J. Cheminformatics}, volume = {15}, number = {1}, pages = {38}, year = {2023}, url = {https://doi.org/10.1186/s13321-023-00702-2}, doi = {10.1186/S13321-023-00702-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcheminf/ChenWWWLCZYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/KangWS23, author = {Kai Kang and Lei Wang and Chen Song}, title = {ProtRAP: Predicting Lipid Accessibility Together with Solvent Accessibility of Proteins in One Run}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {3}, pages = {1058--1065}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.2c01235}, doi = {10.1021/ACS.JCIM.2C01235}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/KangWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/GuoWRLXFLSCWW23, author = {Zhiyong Guo and Lei Wang and Deming Rao and Weiqiong Liu and Miaomiao Xue and Qisheng Fu and Mengwei Lu and Lingqia Su and Sheng Chen and Binju Wang and Jing Wu}, title = {Conformational Switch of the 250s Loop Enables the Efficient Transglycosylation in {GH} Family 77}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {19}, pages = {6118--6128}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c00635}, doi = {10.1021/ACS.JCIM.3C00635}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/GuoWRLXFLSCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcloudc/FengZSWLZ23, author = {Yuan Feng and Zhangdui Zhong and Xiaofang Sun and Lei Wang and Yunlong Lu and Yongsheng Zhu}, title = {Blockchain enabled zero trust based authentication scheme for railway communication networks}, journal = {J. Cloud Comput.}, volume = {12}, number = {1}, pages = {62}, year = {2023}, url = {https://doi.org/10.1186/s13677-023-00411-z}, doi = {10.1186/S13677-023-00411-Z}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcloudc/FengZSWLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/QiuW23, author = {Lingcong Qiu and Lei Wang}, title = {Abnormal Traffic Detection Method of Internet of Things Based on Deep Learning in Edge Computing Environment}, journal = {J. Circuits Syst. Comput.}, volume = {32}, number = {16}, pages = {2350283:1--2350283:14}, year = {2023}, url = {https://doi.org/10.1142/S0218126623502833}, doi = {10.1142/S0218126623502833}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/QiuW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/KangLWQGSXW23, author = {Ziyang Kang and Shiming Li and Shi{-}Ying Wang and Lianhua Qu and Rui Gong and Wei Shi and Weixia Xu and Lei Wang}, title = {Path-Based Multicast Routing for Network-on-Chip of the Neuromorphic Processor}, journal = {J. Comput. Sci. Technol.}, volume = {38}, number = {5}, pages = {1098--1112}, year = {2023}, url = {https://doi.org/10.1007/s11390-022-1232-8}, doi = {10.1007/S11390-022-1232-8}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcst/KangLWQGSXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/RifhatTWZZW23, author = {Ramziya Rifhat and Zhidong Teng and Lei Wang and Ting Zeng and Liping Zhang and Kai Wang}, title = {Dynamical behavior and density function of a stochastic model of {HPV} infection and cervical cancer with a case study for Xinjiang, China}, journal = {J. Frankl. Inst.}, volume = {360}, number = {12}, pages = {7770--7807}, year = {2023}, url = {https://doi.org/10.1016/j.jfranklin.2023.06.008}, doi = {10.1016/J.JFRANKLIN.2023.06.008}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/RifhatTWZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/WangPWLH23, author = {Lei Wang and Hui Pang and Peng Wang and Minhao Liu and Chuan Hu}, title = {A yaw stability-guaranteed hierarchical coordination control strategy for four-wheel drive electric vehicles using an unscented Kalman filter}, journal = {J. Frankl. Inst.}, volume = {360}, number = {13}, pages = {9663--9688}, year = {2023}, url = {https://doi.org/10.1016/j.jfranklin.2023.06.048}, doi = {10.1016/J.JFRANKLIN.2023.06.048}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfi/WangPWLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/Wang23o, author = {Lei Wang}, title = {Construction and application of logistics scheduling model based on heterogeneous graph neural network}, journal = {J. Intell. Fuzzy Syst.}, volume = {45}, number = {6}, pages = {12301--12312}, year = {2023}, url = {https://doi.org/10.3233/jifs-234562}, doi = {10.3233/JIFS-234562}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/Wang23o.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/WangWLCW23, author = {Tiancheng Wang and Lei Wang and Dongdong Li and Jingcao Cai and Yixuan Wang}, title = {Monte Carlo-based improved ant colony optimization for path planning of welding robot}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {35}, number = {7}, pages = {101603}, year = {2023}, url = {https://doi.org/10.1016/j.jksuci.2023.101603}, doi = {10.1016/J.JKSUCI.2023.101603}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jksucis/WangWLCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsce/WangWPH23, author = {Lei Wang and Yue Wu and Xingyu Pan and Shuanghui Hao}, title = {Speed feedback observation algorithm based on parameter introduction and its application in asynchronous motor}, journal = {J. Syst. Control. Eng.}, volume = {237}, number = {1}, pages = {58--71}, year = {2023}, url = {https://doi.org/10.1177/09596518221119591}, doi = {10.1177/09596518221119591}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsce/WangWPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhuoXZSWWYXWLLQBCC23, author = {Shenglong Zhuo and Tao Xia and Lei Zhao and Miao Sun and Yifan Wu and Lei Wang and Hengwei Yu and Jiqing Xu and Jier Wang and Zhihong Lin and Yuan Li and Lei Qiu and Rui Bai and Xuefeng Chen and Patrick Yin Chiang}, title = {Solid-State dToF LiDAR System Using an Eight-Channel Addressable, 20-W/Ch Transmitter, and a 128 {\texttimes} 128 {SPAD} Receiver With SNR-Based Pixel Binning and Resolution Upscaling}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {3}, pages = {757--770}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3227078}, doi = {10.1109/JSSC.2022.3227078}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ZhuoXZSWWYXWLLQBCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiKWDY23, author = {Min Li and Lin Ke and Lei Wang and Shaobo Deng and Xiang Yu}, title = {A novel hybrid gene selection for tumor identification by combining multifilter integration and a recursive flower pollination search algorithm}, journal = {Knowl. Based Syst.}, volume = {262}, pages = {110250}, year = {2023}, url = {https://doi.org/10.1016/j.knosys.2022.110250}, doi = {10.1016/J.KNOSYS.2022.110250}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/LiKWDY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiuW0QZ023, author = {Huiting Liu and Lei Wang and Peipei Li and Cheng Qian and Peng Zhao and Xindong Wu}, title = {Relation-propagation meta-learning on an explicit preference graph for cold-start recommendation}, journal = {Knowl. Based Syst.}, volume = {272}, pages = {110579}, year = {2023}, url = {https://doi.org/10.1016/j.knosys.2023.110579}, doi = {10.1016/J.KNOSYS.2023.110579}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/LiuW0QZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetes/ChenFYW23, author = {Dong{-}Lin Chen and Min Fu and Meng{-}Di Yao and Lei Wang}, title = {Two-level cooperation and competition strategies of government and technology service platforms: considering government subsidies}, journal = {Kybernetes}, volume = {52}, number = {11}, pages = {5119--5138}, year = {2023}, url = {https://doi.org/10.1108/K-12-2021-1266}, doi = {10.1108/K-12-2021-1266}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kybernetes/ChenFYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LiLWTLWY23, author = {Wenchao Li and Dan Liu and Lei Wang and Xiaojun Tao and Zhongyu Li and Junjie Wu and Jianyu Yang}, title = {A Shadow Simulation Scheme for {SAR} Images of Undulating Terrain Based on Facet Cell Fitting and Elevation Angle Comparison}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--5}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3256445}, doi = {10.1109/LGRS.2023.3256445}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/LiLWTLWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LiTLWLWY23, author = {Wenchao Li and Xiaojun Tao and Dan Liu and Lei Wang and Zhongyu Li and Junjie Wu and Jianyu Yang}, title = {An Improved Iterative Simulation and Matching Scheme for Building Height Retrieval From {SAR} Image}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--5}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3298640}, doi = {10.1109/LGRS.2023.3298640}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/LiTLWLWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/WuXWCCC23, author = {Yifan Wu and Linlin Xu and Lei Wang and Qi Chen and Yuhao Chen and David A. Clausi}, title = {Multi-Task Edge Detection for Building Vectorization From Aerial Images}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--5}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3244131}, doi = {10.1109/LGRS.2023.3244131}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/WuXWCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/make/YuanDCSWDC23, author = {Zhaoyi Yuan and Hao Ding and Guoqing Chao and Mingqiang Song and Lei Wang and Weiping Ding and Dianhui Chu}, title = {A Diabetes Prediction System Based on Incomplete Fused Data Sources}, journal = {Mach. Learn. Knowl. Extr.}, volume = {5}, number = {1}, pages = {384--399}, year = {2023}, url = {https://doi.org/10.3390/make5020023}, doi = {10.3390/MAKE5020023}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/make/YuanDCSWDC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/WangZWZ23, author = {Lei Wang and Shihui Zhang and Wei Wang and Weibo Zhao}, title = {A sketch semantic segmentation method using novel local feature aggregation and segment-level self-attention}, journal = {Neural Comput. Appl.}, volume = {35}, number = {21}, pages = {15295--15313}, year = {2023}, url = {https://doi.org/10.1007/s00521-023-08504-1}, doi = {10.1007/S00521-023-08504-1}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/WangZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ZhangTLSLZJZWSZZWHZZW23, author = {Nina Zhang and Binyu Teng and Xinyi Lu and Liangliang Shi and Li Liu and Fan Zhou and Ni Jiang and Xin Zhang and Cong Wang and Shanshan Shen and Ruhua Zheng and Shu Zhang and Yi Wang and Yuzheng Hu and Bing Zhang and Qipeng Zhang and Lei Wang}, title = {Exploring the neural mechanisms underlying achalasia: {A} study of functional connectivity and regional brain activity}, journal = {NeuroImage}, volume = {284}, pages = {120447}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.120447}, doi = {10.1016/J.NEUROIMAGE.2023.120447}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ZhangTLSLZJZWSZZWHZZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/LiGW23, author = {Wei Li and Yetong Gao and Lei Wang}, title = {An Improved Competitive Swarm Optimizer with Super-Particle-Leading}, journal = {Neural Process. Lett.}, volume = {55}, number = {8}, pages = {10501--10533}, year = {2023}, url = {https://doi.org/10.1007/s11063-023-11336-8}, doi = {10.1007/S11063-023-11336-8}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npl/LiGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paa/KeLWDYY23, author = {Lin Ke and Min Li and Lei Wang and Shaobo Deng and Jun Ye and Xiang Yu}, title = {Improved swarm-optimization-based filter-wrapper gene selection from microarray data for gene expression tumor classification}, journal = {Pattern Anal. Appl.}, volume = {26}, number = {2}, pages = {455--472}, year = {2023}, url = {https://doi.org/10.1007/s10044-022-01117-9}, doi = {10.1007/S10044-022-01117-9}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paa/KeLWDYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmmod/YuHWMCZLZ23, author = {Wenyuan Yu and Tao He and Lei Wang and Ke Meng and Ye Cao and Diwen Zhu and Sanhong Li and Jingren Zhou}, title = {Vineyard: Optimizing Data Sharing in Data-Intensive Analytics}, journal = {Proc. {ACM} Manag. Data}, volume = {1}, number = {2}, pages = {200:1--200:27}, year = {2023}, url = {https://doi.org/10.1145/3589780}, doi = {10.1145/3589780}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmmod/YuHWMCZLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChenWHY23, author = {Sentao Chen and Lei Wang and Zijie Hong and Xiaowei Yang}, title = {Domain Generalization by Joint-Product Distribution Alignment}, journal = {Pattern Recognit.}, volume = {134}, pages = {109086}, year = {2023}, url = {https://doi.org/10.1016/j.patcog.2022.109086}, doi = {10.1016/J.PATCOG.2022.109086}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/ChenWHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/QiWZGG23, author = {Han Qi and Lei Wang and Hongsheng Zhu and Abdullah Gani and Changqing Gong}, title = {The barren plateaus of quantum neural networks: review, taxonomy and trends}, journal = {Quantum Inf. Process.}, volume = {22}, number = {12}, pages = {435}, year = {2023}, url = {https://doi.org/10.1007/s11128-023-04188-7}, doi = {10.1007/S11128-023-04188-7}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/QiWZGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/quanbio/WangLWCKMLS23, author = {Dali Wang and Jiaxuan Li and Lei Wang and Yipeng Cao and Bo Kang and Xiangfei Meng and Sai Li and Chen Song}, title = {Toward atomistic models of intact severe acute respiratory syndrome coronavirus 2 via Martini coarse-grained molecular dynamics simulations}, journal = {Quant. Biol.}, volume = {11}, number = {4}, pages = {421--433}, year = {2023}, url = {https://doi.org/10.1002/qub2.20}, doi = {10.1002/QUB2.20}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/quanbio/WangLWCKMLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/XiaoLLQHWW23, author = {Fengjin Xiao and Qiufeng Liu and Shuai Li and Yun Qin and Dapeng Huang and Yanjiao Wang and Lei Wang}, title = {A Study of the Method for Retrieving the Vegetation Index from {FY-3D} {MERSI-II} Data}, journal = {Remote. Sens.}, volume = {15}, number = {2}, pages = {491}, year = {2023}, url = {https://doi.org/10.3390/rs15020491}, doi = {10.3390/RS15020491}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/XiaoLLQHWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangYZWWPDMWH23, author = {Xuyan Yang and Qinke Yang and Haonan Zhu and Lei Wang and Chunmei Wang and Guowei Pang and Chaozheng Du and Muhammad Mubeen and Mirza Waleed and Sajjad Hussain}, title = {Quantitative Evaluation of Soil Water and Wind Erosion Rates in Pakistan}, journal = {Remote. Sens.}, volume = {15}, number = {9}, pages = {2404}, year = {2023}, url = {https://doi.org/10.3390/rs15092404}, doi = {10.3390/RS15092404}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YangYZWWPDMWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangWDL23, author = {Lei Wang and Jinjie Wang and Jianli Ding and Xiang Li}, title = {Estimation and Spatiotemporal Evolution Analysis of Actual Evapotranspiration in Turpan and Hami Cities Based on Multi-Source Data}, journal = {Remote. Sens.}, volume = {15}, number = {10}, pages = {2565}, year = {2023}, url = {https://doi.org/10.3390/rs15102565}, doi = {10.3390/RS15102565}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangWDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DuLWLL23, author = {Libin Du and Huming Li and Lei Wang and Xu Lin and Zhichao Lv}, title = {Research on High Robustness Underwater Target Estimation Method Based on Variational Sparse Bayesian Inference}, journal = {Remote. Sens.}, volume = {15}, number = {13}, pages = {3222}, year = {2023}, url = {https://doi.org/10.3390/rs15133222}, doi = {10.3390/RS15133222}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DuLWLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhaoLW23, author = {Bingxue Zhao and Yongxue Liu and Lei Wang}, title = {Evaluation of the Stability of Muddy Coastline Based on Satellite Imagery: {A} Case Study in the Central Coasts of Jiangsu, China}, journal = {Remote. Sens.}, volume = {15}, number = {13}, pages = {3323}, year = {2023}, url = {https://doi.org/10.3390/rs15133323}, doi = {10.3390/RS15133323}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhaoLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuXZMWCL23, author = {Xiangzeng Liu and Xueling Xu and Xiaodong Zhang and Qiguang Miao and Lei Wang and Liang Chang and Ruyi Liu}, title = {{SRTPN:} Scale and Rotation Transform Prediction Net for Multimodal Remote Sensing Image Registration}, journal = {Remote. Sens.}, volume = {15}, number = {14}, pages = {3469}, year = {2023}, url = {https://doi.org/10.3390/rs15143469}, doi = {10.3390/RS15143469}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuXZMWCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangLZHZWH23, author = {Yalu Wang and Jie Li and Wei Zhao and Zhijie Han and Hang Zhao and Lei Wang and Xin He}, title = {{N-STGAT:} Spatio-Temporal Graph Neural Network Based Network Intrusion Detection for Near-Earth Remote Sensing}, journal = {Remote. Sens.}, volume = {15}, number = {14}, pages = {3611}, year = {2023}, url = {https://doi.org/10.3390/rs15143611}, doi = {10.3390/RS15143611}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/WangLZHZWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LuoCWH23, author = {Jianhui Luo and Qiang Chen and Lei Wang and Yixiao Huang}, title = {Multi-Difference Image Fusion Change Detection Using a Visual Attention Model on {VHR} Satellite Data}, journal = {Remote. Sens.}, volume = {15}, number = {15}, pages = {3799}, year = {2023}, url = {https://doi.org/10.3390/rs15153799}, doi = {10.3390/RS15153799}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LuoCWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiWWLYXN23, author = {Shiyao Li and Run Wang and Lei Wang and Shaoyu Liu and Jiang Ye and Hang Xu and Ruiqing Niu}, title = {An Approach for Monitoring Shallow Surface Outcrop Mining Activities Based on Multisource Satellite Remote Sensing Data}, journal = {Remote. Sens.}, volume = {15}, number = {16}, pages = {4062}, year = {2023}, url = {https://doi.org/10.3390/rs15164062}, doi = {10.3390/RS15164062}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LiWWLYXN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhaoWZTHL23, author = {Guobing Zhao and Lei Wang and Jianghua Zheng and Nigela Tuerxun and Wanqiang Han and Liang Liu}, title = {Optimized Extraction Method of Fruit Planting Distribution Based on Spectral and Radar Data Fusion of Key Time Phase}, journal = {Remote. Sens.}, volume = {15}, number = {17}, pages = {4140}, year = {2023}, url = {https://doi.org/10.3390/rs15174140}, doi = {10.3390/RS15174140}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhaoWZTHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangWLPSWY23, author = {Chunmei Zhang and Chunmei Wang and Yongqing Long and Guowei Pang and Huazhen Shen and Lei Wang and Qinke Yang}, title = {Comparative Analysis of Gully Morphology Extraction Suitability Using Unmanned Aerial Vehicle and Google Earth Imagery}, journal = {Remote. Sens.}, volume = {15}, number = {17}, pages = {4302}, year = {2023}, url = {https://doi.org/10.3390/rs15174302}, doi = {10.3390/RS15174302}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangWLPSWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiJW23, author = {Xiehui Li and Hejia Jia and Lei Wang}, title = {Remote Sensing Monitoring of Drought in Southwest China Using Random Forest and eXtreme Gradient Boosting Methods}, journal = {Remote. Sens.}, volume = {15}, number = {19}, pages = {4840}, year = {2023}, url = {https://doi.org/10.3390/rs15194840}, doi = {10.3390/RS15194840}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LiJW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhaoWWWLL23, author = {Qingjie Zhao and Xin Wang and Binglu Wang and Lei Wang and Wangwang Liu and Shanshan Li}, title = {A Dual-Attention Deep Discriminative Domain Generalization Model for Hyperspectral Image Classification}, journal = {Remote. Sens.}, volume = {15}, number = {23}, pages = {5492}, year = {2023}, url = {https://doi.org/10.3390/rs15235492}, doi = {10.3390/RS15235492}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhaoWWWLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/LiuW23, author = {Jiaxiang Liu and Lei Wang}, title = {Two-stage vibration-suppression framework for optimal robust placements design and reliable {PID} gains design via set-crossing theory and artificial neural network}, journal = {Reliab. Eng. Syst. Saf.}, volume = {230}, pages = {108956}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2022.108956}, doi = {10.1016/J.RESS.2022.108956}, timestamp = {Sun, 04 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/LiuW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ZhaoCZWSW23, author = {Yunjie Zhao and Xi Cheng and Taihong Zhang and Lei Wang and Wei Shao and Joe Wiart}, title = {A global-local attention network for uncertainty analysis of ground penetrating radar modeling}, journal = {Reliab. Eng. Syst. Saf.}, volume = {234}, pages = {109176}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2023.109176}, doi = {10.1016/J.RESS.2023.109176}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/ZhaoCZWSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/HouLWHWL23, author = {Hui Hou and Chao Liu and Ruizeng Wei and Huan He and Lei Wang and Weibo Li}, title = {Outage duration prediction under typhoon disaster with stacking ensemble learning}, journal = {Reliab. Eng. Syst. Saf.}, volume = {237}, pages = {109398}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2023.109398}, doi = {10.1016/J.RESS.2023.109398}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/HouLWHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ZhangSWJWL23, author = {Xin Zhang and Jiankai Sun and Jiaxu Wang and Yulin Jin and Lei Wang and Zhiwen Liu}, title = {PAOLTransformer: Pruning-adaptive optimal lightweight Transformer model for aero-engine remaining useful life prediction}, journal = {Reliab. Eng. Syst. Saf.}, volume = {240}, pages = {109605}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2023.109605}, doi = {10.1016/J.RESS.2023.109605}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/ZhangSWJWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/HuangWL23, author = {Xiaoling Huang and Lei Wang and Weishu Liu}, title = {Identification of national research output using Scopus/Web of Science Core Collection: a revisit and further investigation}, journal = {Scientometrics}, volume = {128}, number = {4}, pages = {2337--2347}, year = {2023}, url = {https://doi.org/10.1007/s11192-023-04649-x}, doi = {10.1007/S11192-023-04649-X}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/HuangWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangWCZL23, author = {Zhen Zhang and Lei Wang and Bingzhang Cao and Haoze Zhang and Jiawei Liu}, title = {A Moving Magnetic Grid-Type Long-Range Linear Absolute Displacement Sensor}, journal = {Sensors}, volume = {23}, number = {2}, pages = {700}, year = {2023}, url = {https://doi.org/10.3390/s23020700}, doi = {10.3390/S23020700}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhangWCZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangZDSC23, author = {Lei Wang and Mingchao Zhou and Zhonghao Dongye and Yanbei Sha and Jingcao Chen}, title = {A Condition Evaluation Simplified Method for Traction Converter Power Module Based on Operating Interval Segmentation}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2537}, year = {2023}, url = {https://doi.org/10.3390/s23052537}, doi = {10.3390/S23052537}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangZDSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GaoLW23, author = {Meixiang Gao and Tingyu Lu and Lei Wang}, title = {Crop Mapping Based on Sentinel-2 Images Using Semantic Segmentation Model of Attention Mechanism}, journal = {Sensors}, volume = {23}, number = {15}, pages = {7008}, year = {2023}, url = {https://doi.org/10.3390/s23157008}, doi = {10.3390/S23157008}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GaoLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiHWCFZ23, author = {Bo Li and Yanping He and Lei Wang and Min Cao and Zhihong Fu and Huiyuan Zhang}, title = {Calibration Method of a Wideband {AC} Resistance Voltage Divider Based on an Equivalent Model}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7181}, year = {2023}, url = {https://doi.org/10.3390/s23167181}, doi = {10.3390/S23167181}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiHWCFZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LvWHW23, author = {Xiaoyang Lv and Lei Wang and Dexiang Huang and Shengli Wang}, title = {A Novel Cone Model Filtering Method for Outlier Rejection of Multibeam Bathymetric Point Cloud: Principles and Applications}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7483}, year = {2023}, url = {https://doi.org/10.3390/s23177483}, doi = {10.3390/S23177483}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LvWHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangWLZH23, author = {Lei Wang and Xin Wei and Pengbo Liang and Yongde Zhang and Shuanghui Hao}, title = {A Novel Angle Segmentation Method for Magnetic Encoders Based on Filtering Window Adaptive Adjustment Using Improved Particle Swarm Optimization}, journal = {Sensors}, volume = {23}, number = {21}, pages = {8695}, year = {2023}, url = {https://doi.org/10.3390/s23218695}, doi = {10.3390/S23218695}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangWLZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangL23a, author = {Lei Wang and Wei Lu}, title = {A New Approach to Studying the Mechanical Characteristics of the Anchoring-Grouting System in Broken Surrounding Rock}, journal = {Sensors}, volume = {23}, number = {21}, pages = {8931}, year = {2023}, url = {https://doi.org/10.3390/s23218931}, doi = {10.3390/S23218931}, timestamp = {Sat, 20 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanWWZX23, author = {Xiaowei Han and Lei Wang and Xiaopeng Wang and Pengchao Zhang and Haoran Xu}, title = {A Multi-Scale Recursive Attention Feature Fusion Network for Image Super-Resolution Reconstruction Algorithm}, journal = {Sensors}, volume = {23}, number = {23}, pages = {9458}, year = {2023}, url = {https://doi.org/10.3390/s23239458}, doi = {10.3390/S23239458}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HanWWZX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/MaQYWS23, author = {Qianli Ma and Junping Qin and Kai Yan and Lei Wang and Hao Sun}, title = {Stealthy Frequency-Domain Backdoor Attacks: Fourier Decomposition and Fundamental Frequency Injection}, journal = {{IEEE} Signal Process. Lett.}, volume = {30}, pages = {1677--1681}, year = {2023}, url = {https://doi.org/10.1109/LSP.2023.3330126}, doi = {10.1109/LSP.2023.3330126}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spl/MaQYWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ZhaoWLZ23, author = {Yan Zhao and Lei Wang and Yangmei Li and Zhi Zhu}, title = {Terahertz Waves Enhance the Permeability of Sodium Channels}, journal = {Symmetry}, volume = {15}, number = {2}, pages = {427}, year = {2023}, url = {https://doi.org/10.3390/sym15020427}, doi = {10.3390/SYM15020427}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/ZhaoWLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/WangS23a, author = {Lei Wang and Lifan Sun}, title = {Path Planning Algorithm Based on Obstacle Clustering Analysis and Graph Search}, journal = {Symmetry}, volume = {15}, number = {8}, pages = {1498}, year = {2023}, url = {https://doi.org/10.3390/sym15081498}, doi = {10.3390/SYM15081498}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/WangS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/XuTLW23, author = {Lei Xu and Hu Tao and Shanshan Liu and Lei Wang}, title = {A Method to Identify Main Paths of Knowledge Diffusion for Collaborative Innovation Projects}, journal = {Syst.}, volume = {11}, number = {7}, pages = {370}, year = {2023}, url = {https://doi.org/10.3390/systems11070370}, doi = {10.3390/SYSTEMS11070370}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/systems/XuTLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ZhouWG23, author = {Hongping Zhou and Lei Wang and Zhongyi Guo}, title = {Recognition of Radar Compound Jamming Based on Convolutional Neural Network}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {59}, number = {6}, pages = {7380--7394}, year = {2023}, url = {https://doi.org/10.1109/TAES.2023.3288080}, doi = {10.1109/TAES.2023.3288080}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/ZhouWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/PanWHXWLXLX23, author = {Jiahui Pan and Lei Wang and Haiyun Huang and Jun Xiao and Fei Wang and Qimei Liang and Chengwei Xu and Yuanqing Li and Qiuyou Xie}, title = {A Hybrid Brain-Computer Interface Combining {P300} Potentials and Emotion Patterns for Detecting Awareness in Patients With Disorders of Consciousness}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {15}, number = {3}, pages = {1386--1395}, year = {2023}, url = {https://doi.org/10.1109/TCDS.2022.3213194}, doi = {10.1109/TCDS.2022.3213194}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/PanWHXWLXLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/LuWYCFWWC23, author = {Tao Lu and Chengkun Wei and Ruijing Yu and Chaochao Chen and Wenjing Fang and Lei Wang and Zeke Wang and Wenzhi Chen}, title = {cuZK: Accelerating Zero-Knowledge Proof with {A} Faster Parallel Multi-Scalar Multiplication Algorithm on GPUs}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2023}, number = {3}, pages = {194--220}, year = {2023}, url = {https://doi.org/10.46586/tches.v2023.i3.194-220}, doi = {10.46586/TCHES.V2023.I3.194-220}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/LuWYCFWWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tci/YanLLWZBG23, author = {Rongbiao Yan and Yi Liu and Yuhang Liu and Lei Wang and Rongge Zhao and Yunjiao Bai and Zhiguo Gui}, title = {Image Denoising for Low-Dose {CT} via Convolutional Dictionary Learning and Neural Network}, journal = {{IEEE} Trans. Computational Imaging}, volume = {9}, pages = {83--93}, year = {2023}, url = {https://doi.org/10.1109/TCI.2023.3241546}, doi = {10.1109/TCI.2023.3241546}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tci/YanLLWZBG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/Ma0BWLTH23, author = {Yuqing Ma and Xianglong Liu and Shihao Bai and Lei Wang and Aishan Liu and Dacheng Tao and Edwin R. Hancock}, title = {Regionwise Generative Adversarial Image Inpainting for Large Missing Areas}, journal = {{IEEE} Trans. Cybern.}, volume = {53}, number = {8}, pages = {5226--5239}, year = {2023}, url = {https://doi.org/10.1109/TCYB.2022.3194149}, doi = {10.1109/TCYB.2022.3194149}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/Ma0BWLTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LiangWSG023, author = {Tailin Liang and Lei Wang and Shaobo Shi and John Glossner and Xiaotong Zhang}, title = {{TCX:} {A} {RISC} Style Tensor Computing Extension and a Programmable Tensor Processor}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {3}, pages = {47:1--47:27}, year = {2023}, url = {https://doi.org/10.1145/3568310}, doi = {10.1145/3568310}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LiangWSG023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/WangANZMWH23, author = {Lei Wang and Bo Ai and Yong Niu and Zhangdui Zhong and Shiwen Mao and Ning Wang and Zhu Han}, title = {Energy Efficient Train-Ground mmWave Mobile Relay System for High Speed Railways}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {7}, number = {1}, pages = {16--28}, year = {2023}, url = {https://doi.org/10.1109/TGCN.2022.3194036}, doi = {10.1109/TGCN.2022.3194036}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgcn/WangANZMWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HuWZWW23, author = {Yi{-}Di Hu and Xiao{-}Hua Wang and Hui Zhou and Lei Wang and Bing{-}Zhong Wang}, title = {A More General Electromagnetic Inverse Scattering Method Based on Physics-Informed Neural Network}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--9}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3301455}, doi = {10.1109/TGRS.2023.3301455}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/HuWZWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/WeiWRW23, author = {Tao Wei and Xiao{-}Hua Wang and Hong{-}Yu Ren and Lei Wang}, title = {Inversion of Perfectly Electric Conductors by an Iteration Method Based on Linear Approximation}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--7}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3323511}, doi = {10.1109/TGRS.2023.3323511}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/WeiWRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/YangHJPWWW23, author = {Bo Yang and Yi He and Changzhe Jiao and Xiao Pan and Guozhen Wang and Lei Wang and Jinjian Wu}, title = {Multiple-Instance Metric Learning Network for Hyperspectral Target Detection}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--16}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3291439}, doi = {10.1109/TGRS.2023.3291439}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/YangHJPWWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/WangZLQZ23, author = {Lei Wang and Huaguang Zhang and Jinhai Liu and Fuming Qu and Fengyuan Zuo}, title = {Defect Size Quantification for Pipeline Magnetic Flux Leakage Detection System via Multilevel Knowledge-Guided Neural Network}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {9}, pages = {9550--9560}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3210557}, doi = {10.1109/TIE.2022.3210557}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/WangZLQZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiuLWWZ23, author = {Xiaoyuan Liu and Jinhai Liu and Zi Wang and Lei Wang and Huaguang Zhang}, title = {Basic-Class and Cross-Class Hybrid Feature Learning for Class-Imbalanced Weld Defect Recognition}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {9}, pages = {9436--9446}, year = {2023}, url = {https://doi.org/10.1109/TII.2022.3228702}, doi = {10.1109/TII.2022.3228702}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiuLWWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/WangLTGL23, author = {Lei Wang and Zhengda Luo and Hongtao Tang and Shunsheng Guo and Xixing Li}, title = {A Novel Model for Dynamic Manufacturing Service Collaboration on Industrial Internet}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {12}, pages = {11788--11799}, year = {2023}, url = {https://doi.org/10.1109/TII.2023.3252408}, doi = {10.1109/TII.2023.3252408}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/WangLTGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JiangZLSW23, author = {Lin Jiang and Huaguang Zhang and Jinhai Liu and Xiangkai Shen and Lei Wang}, title = {Pipeline Irregular Defect Inversion for Magnetic Flux Leakage Detection System Based on Heterogeneous Multiclass Feature Fusion}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--9}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3265110}, doi = {10.1109/TIM.2023.3265110}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JiangZLSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LiuMGWWQZ23, author = {Hao Liu and Guo{-}Ming Ma and Yuntian Guo and Lei Wang and Wei Wang and Wei{-}Qi Qin and Shicong Zhai}, title = {Low Cut-Off Frequency Extension and Amplitude Decoupling Analysis in Non-Contact Transient Measurement}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--9}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3314806}, doi = {10.1109/TIM.2023.3314806}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LiuMGWWQZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShiWZPWS23, author = {Zhaomin Shi and Zengmin Wang and Jiangtao Zhang and Xianlin Pan and Lei Wang and Ying Song}, title = {{AC-DC} Transfer and Verification of Ultra-Low Frequency Voltage From 0.1 to 10 Hz}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--7}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3249226}, doi = {10.1109/TIM.2023.3249226}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ShiWZPWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/WangMSNL23, author = {Lei Wang and Yongtao Ma and Dianfei Su and Wanru Ning and Kaihua Liu}, title = {{RSAR:} {A} New Method for Accurate Localization of Tagged Objects via RFID-Equipped Robots}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--11}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3312757}, doi = {10.1109/TIM.2023.3312757}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/WangMSNL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZhaoMQWZ23, author = {Xiaoxi Zhao and Yue Meng and Fei Qi and Lei Wang and Xinyao Zhu}, title = {A Vertical Channel-Enhanced Fusion Method Based on {RINS} and Barometric Altimeter for UAVs in {GNSS} Denial Environments}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--12}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3284018}, doi = {10.1109/TIM.2023.3284018}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZhaoMQWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/SuWW23, author = {Taiyi Su and Hanli Wang and Lei Wang}, title = {Multi-Level Content-Aware Boundary Detection for Temporal Action Proposal Generation}, journal = {{IEEE} Trans. Image Process.}, volume = {32}, pages = {6090--6101}, year = {2023}, url = {https://doi.org/10.1109/TIP.2023.3328471}, doi = {10.1109/TIP.2023.3328471}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/SuWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZouWLCWT23, author = {Awei Zou and Lei Wang and Weimin Li and Jingcao Cai and Hai Wang and Tielong Tan}, title = {Mobile robot path planning using improved mayfly optimization algorithm and dynamic window approach}, journal = {J. Supercomput.}, volume = {79}, number = {8}, pages = {8340--8367}, year = {2023}, url = {https://doi.org/10.1007/s11227-022-04998-z}, doi = {10.1007/S11227-022-04998-Z}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ZouWLCWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/RenF0HTWB23, author = {Haocheng Ren and Hangming Fan and Rui Wang and Yuchi Huo and Rui Tang and Lei Wang and Hujun Bao}, title = {Data-driven Digital Lighting Design for Residential Indoor Spaces}, journal = {{ACM} Trans. Graph.}, volume = {42}, number = {3}, pages = {28:1--28:18}, year = {2023}, url = {https://doi.org/10.1145/3582001}, doi = {10.1145/3582001}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/RenF0HTWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ZhuZWH23, author = {Daqi Zhu and Huapeng Zhang and Lei Wang and Zhen Hu}, title = {Fault-Tolerant Trajectory Tracking Based on Improved Chaotic Firefly Algorithm Model Prediction Control for Human-Occupied Vehicle With Ocean Current}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {53}, number = {5}, pages = {2875--2886}, year = {2023}, url = {https://doi.org/10.1109/TSMC.2022.3220641}, doi = {10.1109/TSMC.2022.3220641}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/ZhuZWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenBCWZZ023, author = {Xin Chen and Yuxin Bai and Jianpeng Cao and Lei Wang and Xinjie Zhou and Ying Zhang and Weiqiang Liu}, title = {Low-Overhead Triple-Node-Upset-Tolerant Latch Design in 28-nm {CMOS}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {31}, number = {7}, pages = {1039--1050}, year = {2023}, url = {https://doi.org/10.1109/TVLSI.2023.3274632}, doi = {10.1109/TVLSI.2023.3274632}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenBCWZZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/QiuYWZZ23, author = {Mingming Qiu and Wei Yu and Lei Wang and Bingzhan Zhang and Han Zhao}, title = {A Regenerative Braking Control Strategy for ICVs Considering the Coupling Effect of Driving Conditions and Driving Styles}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {6}, pages = {7195--7210}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3242729}, doi = {10.1109/TVT.2023.3242729}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/QiuYWZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhangWZI23, author = {Yongkang Zhang and Lei Wang and Hui Zhang and Makoto Iwasaki}, title = {Optimal Intelligent Chassis Layout Design Framework Based on Particle Swarm Optimization and Robust Finite-Frequency {\textdollar}H{\_}\{{\textbackslash}infty \}{\textdollar} Control}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {10}, pages = {12814--12829}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3275959}, doi = {10.1109/TVT.2023.3275959}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ZhangWZI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/WangANJMZW23, author = {Lei Wang and Bo Ai and Yong Niu and Haiyan Jiang and Shiwen Mao and Zhangdui Zhong and Ning Wang}, title = {Joint User Association and Transmission Scheduling in Integrated mmWave Access and Terahertz Backhaul Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {12}, pages = {15930--15940}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3293788}, doi = {10.1109/TVT.2023.3293788}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/WangANJMZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/WangRZ23, author = {Lei Wang and Chunhui Ren and Zhi Zheng}, title = {{DOA} Estimation for Monostatic Coprime {MIMO} Radar With Mixed-Resolution Quantization}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {12}, pages = {16737--16741}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3293135}, doi = {10.1109/TVT.2023.3293135}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/WangRZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/LiuHZW23, author = {Zikang Liu and Kai He and Dazhuang Zhang and Lei Wang}, title = {Local feature guidance framework for robust 3D point cloud registration}, journal = {Vis. Comput.}, volume = {39}, number = {12}, pages = {6459--6472}, year = {2023}, url = {https://doi.org/10.1007/s00371-022-02739-0}, doi = {10.1007/S00371-022-02739-0}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vc/LiuHZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/WangQXLCXZ23, author = {Lei Wang and Jianfeng Qu and Tianyu Xu and Zhixu Li and Wei Chen and Jiajie Xu and Lei Zhao}, title = {Hybrid Enhancement-based prototypical networks for few-shot relation classification}, journal = {World Wide Web {(WWW)}}, volume = {26}, number = {5}, pages = {3207--3226}, year = {2023}, url = {https://doi.org/10.1007/s11280-023-01184-w}, doi = {10.1007/S11280-023-01184-W}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/www/WangQXLCXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangYC23, author = {Lei Wang and Zejian Yuan and Badong Chen}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Learning to Generate an Unbiased Scene Graph by Using Attribute-Guided Predicate Features}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {2581--2589}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i2.25356}, doi = {10.1609/AAAI.V37I2.25356}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/Wu0HHW023, author = {Fuxiang Wu and Liu Liu and Fusheng Hao and Fengxiang He and Lei Wang and Jun Cheng}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Reject Decoding via Language-Vision Models for Text-to-Image Synthesis}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {2785--2794}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i3.25379}, doi = {10.1609/AAAI.V37I3.25379}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/Wu0HHW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/Wang0L23, author = {Lei Wang and Liang Zeng and Jian Li}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {{AEC-GAN:} Adversarial Error Correction GANs for Auto-Regressive Long Time-Series Generation}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {10140--10148}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i8.26208}, doi = {10.1609/AAAI.V37I8.26208}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/Wang0L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiangZWWS023, author = {Zhenwen Liang and Jipeng Zhang and Lei Wang and Yan Wang and Jie Shao and Xiangliang Zhang}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Generalizing Math Word Problem Solvers via Solution Diversification}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {13183--13191}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i11.26548}, doi = {10.1609/AAAI.V37I11.26548}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaai/LiangZWWS023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agro-geoinformatics/TuerxunZW23, author = {Nigela Tuerxun and Jianghua Zheng and Lei Wang}, title = {{SPAD} values estimation in jujube leaves based on lasso-based optimized spectral indices and a Stacking Algorithm}, booktitle = {11th International Conference on Agro-Geoinformatics, Agro-Geoinformatics 2023, Wuhan, China, July 25-28, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/Agro-Geoinformatics59224.2023.10233630}, doi = {10.1109/AGRO-GEOINFORMATICS59224.2023.10233630}, timestamp = {Wed, 13 Sep 2023 08:43:29 +0200}, biburl = {https://dblp.org/rec/conf/agro-geoinformatics/TuerxunZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agro-geoinformatics/ZhaoZWWT23, author = {Guobing Zhao and Jianghua Zheng and Lei Wang and Rui Wu and Nigela Tuerxun}, title = {Study on planting suitability and planting structure optimization of fruit trees in Xinjiang}, booktitle = {11th International Conference on Agro-Geoinformatics, Agro-Geoinformatics 2023, Wuhan, China, July 25-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/Agro-Geoinformatics59224.2023.10233379}, doi = {10.1109/AGRO-GEOINFORMATICS59224.2023.10233379}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/agro-geoinformatics/ZhaoZWWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/GuoWCWSWLWHY23, author = {Ruiqi Guo and Yang Wang and Xiaofeng Chen and Lei Wang and Hao Sun and Jingchuan Wei and Leibo Liu and Shaojun Wei and Yang Hu and Shouyi Yin}, title = {CIMFormer: {A} 38.9TOPS/W-8b Systolic CIM-Array Based Transformer Processor with Token-Slimmed Attention Reformulating and Principal Possibility Gathering}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347930}, doi = {10.1109/A-SSCC58667.2023.10347930}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/GuoWCWSWLWHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausdm/SangrasiWHW23, author = {Din Muhammad Sangrasi and Lei Wang and Markus Hagenbuchner and Peng Wang}, editor = {Diana Benavides{-}Prado and Sarah M. Erfani and Philippe Fournier{-}Viger and Yee Ling Boo and Yun Sing Koh}, title = {Mitigating the Adverse Effects of Long-Tailed Data on Deep Learning Models}, booktitle = {Data Science and Machine Learning - 21st Australasian Conference, AusDM 2023, Auckland, New Zealand, December 11-13, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1943}, pages = {150--162}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8696-5\_11}, doi = {10.1007/978-981-99-8696-5\_11}, timestamp = {Thu, 18 Apr 2024 16:40:05 +0200}, biburl = {https://dblp.org/rec/conf/ausdm/SangrasiWHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/YanLXW23, author = {Yan Yan and Chengdong Li and Jing Xiong and Lei Wang}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {Learning Topological Representation of Sensor Network with Persistent Homology in {HCI} Systems}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {2702--2708}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385451}, doi = {10.1109/BIBM58861.2023.10385451}, timestamp = {Thu, 14 Mar 2024 16:59:09 +0100}, biburl = {https://dblp.org/rec/conf/bibm/YanLXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/MaXHOWY23, author = {Liang Ma and Fuhai Xiong and Zhiwen Huang and Olatunji Omisore and Lei Wang and Yan Yan}, title = {A Comparative Study of Deep Learning Models for Patient-Ventilator Asynchrony Classification using Phase Space Reconstruction}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2023, Toronto, ON, Canada, October 19-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BioCAS58349.2023.10388786}, doi = {10.1109/BIOCAS58349.2023.10388786}, timestamp = {Thu, 08 Feb 2024 15:34:19 +0100}, biburl = {https://dblp.org/rec/conf/biocas/MaXHOWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LuFHMWWPGW23, author = {Yifan Lu and Meixia Fu and Liang Hao and Zhangchao Ma and Lei Wang and Jiansheng Wu and Jing Peng and Wanqing Guan and Jianquan Wang}, title = {A Novel Direction Vector-based Coordinate Deduplication Algorithm in the Visual Sorting System with Cloud Programmable Logic Controller}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2023, Beijing, China, June 14-16, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BMSB58369.2023.10211241}, doi = {10.1109/BMSB58369.2023.10211241}, timestamp = {Tue, 29 Aug 2023 20:54:28 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/LuFHMWWPGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccis/ShiZZZZWZ23, author = {Chenbo Shi and Junsheng Zhang and Jie Zhang and Chun Zhang and Xiangteng Zang and Lei Wang and Changsheng Zhu}, title = {Unsupervised Optical Mark Localization for Answer Sheet Based on Energy Optimization}, booktitle = {9th {IEEE} International Conference on Cloud Computing and Intelligent Systems, {CCIS} 2023, Dali, China, August 12-13, 2023}, pages = {386--392}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCIS59572.2023.10263214}, doi = {10.1109/CCIS59572.2023.10263214}, timestamp = {Mon, 16 Oct 2023 18:35:31 +0200}, biburl = {https://dblp.org/rec/conf/ccis/ShiZZZZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccris/LiGW23, author = {Mingliang Li and Li Guo and Lei Wang}, title = {{RSAA:} Relation-Specific Attention and Global Alignment Based Joint Entity and Relation Extraction}, booktitle = {Proceedings of the 2023 4th International Conference on Control, Robotics and Intelligent System, {CCRIS} 2023, Guangzhou, China, August 25-27, 2023}, pages = {53--58}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3622896.3622905}, doi = {10.1145/3622896.3622905}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccris/LiGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WangL23, author = {Lei Wang and Xin Liu}, title = {Smoothing Gradient Tracking for Decentralized Optimization over the Stiefel Manifold with Non-Smooth Regularizers}, booktitle = {62nd {IEEE} Conference on Decision and Control, {CDC} 2023, Singapore, December 13-15, 2023}, pages = {126--132}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CDC49753.2023.10383237}, doi = {10.1109/CDC49753.2023.10383237}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/WangL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cecnet/BaoXW23, author = {Yiqin Bao and Wenbin Xu and Lei Wang}, editor = {Antonio J. Tall{\'{o}}n{-}Ballesteros and Estefan{\'{\i}}a Cort{\'{e}}s{-}Ancos and Diego A. L{\'{o}}pez Garc{\'{\i}}a}, title = {Design and Implementation on Citation Network Link Prediction System Based on {GAT}}, booktitle = {Electronics, Communications and Networks - Proceedings of the 13th International Conference (CECNet 2023), Macao, China, 17-20 November 2023}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {381}, pages = {723--730}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA231258}, doi = {10.3233/FAIA231258}, timestamp = {Wed, 31 Jan 2024 15:27:35 +0100}, biburl = {https://dblp.org/rec/conf/cecnet/BaoXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WuZSXQWSCWLYLQQSBCCZ23, author = {Yifan Wu and Sifan Zhou and Miao Sun and Tao Xia and Jian Qian and Lei Wang and Shi Shi and Lebei Cui and Chill Wang and Yuan Li and Hengwei Yu and Zhihong Lin and Lei Qiu and Yajie Qin and Min Sun and Rui Bai and Xuefeng Chen and Patrick Yin Chiang and Shenglong Zhuo}, title = {dToF {LIDAR} System Using Addressable Multi-Channel {VCSEL} Transmitter, 128x80 {SPAD} Sensor, and ML-Based Object Detection for Adaptive Beam-Steering}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio, TX, USA, April 23-26, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CICC57935.2023.10121184}, doi = {10.1109/CICC57935.2023.10121184}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/WuZSXQWSCWLYLQQSBCCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ZhengL0CTWH23, author = {Longfei Zheng and Yingting Liu and Xiaolong Xu and Chaochao Chen and Yuzhou Tang and Lei Wang and Xiaolong Hu}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {FedPSE: Personalized Sparsification with Element-wise Aggregation for Federated Learning}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {3514--3523}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3614882}, doi = {10.1145/3583780.3614882}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/ZhengL0CTWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiuLZMCWYZ23, author = {Han Liu and Hongxiang Lin and Xiaotong Zhang and Fenglong Ma and Hongyang Chen and Lei Wang and Hong Yu and Xianchao Zhang}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Boosting Meta-Learning Cold-Start Recommendation with Graph Neural Network}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {4105--4109}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615283}, doi = {10.1145/3583780.3615283}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LiuLZMCWYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/complexnetworks/ZhangWW23, author = {Shuyuan Zhang and Lei Wang and Wei Wang}, editor = {Hocine Cherifi and Luis M. Rocha and Chantal Cherifi and Murat Donduran}, title = {Synchronization Analysis and Verification for Complex Networked Systems Under Directed Topology}, booktitle = {Complex Networks {\&} Their Applications {XII} - Proceedings of The Twelfth International Conference on Complex Networks and their Applications: {COMPLEX} {NETWORKS} 2023, Menton, France, 28-30 November, 2023, Volume 4}, series = {Studies in Computational Intelligence}, volume = {1144}, pages = {438--448}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-53503-1\_36}, doi = {10.1007/978-3-031-53503-1\_36}, timestamp = {Mon, 15 Apr 2024 15:57:37 +0200}, biburl = {https://dblp.org/rec/conf/complexnetworks/ZhangWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csai/WeiCLYJWL23, author = {Mingxuan Wei and Xingyang Cui and Kaijie Lai and Fulin Yang and Kaipeng Ji and Lei Wang and Guannan Li}, title = {Design of an experiment platform for robot-fish swarm interaction}, booktitle = {Proceedings of the 2023 7th International Conference on Computer Science and Artificial Intelligence, {CSAI} 2023, Beijing, China, December 8-10, 2023}, pages = {495--500}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3638584.3638638}, doi = {10.1145/3638584.3638638}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csai/WeiCLYJWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/JinWWBN23, author = {Shiwei Jin and Zhen Wang and Lei Wang and Ning Bi and Truong Q. Nguyen}, title = {ReDirTrans: Latent-to-Latent Translation for Gaze and Head Redirection}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5547--5556}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00537}, doi = {10.1109/CVPR52729.2023.00537}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/JinWWBN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuLCZXZZLWWQZ23, author = {Jun Yu and Renda Li and Zhongpeng Cai and Gongpeng Zhao and Guochen Xie and Jichao Zhu and Wangyuan Zhu and Qiang Ling and Lei Wang and Cong Wang and Luyu Qiu and Wei Zheng}, title = {Local Region Perception and Relationship Learning Combined with Feature Fusion for Facial Action Unit Detection}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5785--5792}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00614}, doi = {10.1109/CVPRW59228.2023.00614}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuLCZXZZLWWQZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuCLZXZZLWWQZ23, author = {Jun Yu and Zhongpeng Cai and Renda Li and Gongpeng Zhao and Guochen Xie and Jichao Zhu and Wangyuan Zhu and Qiang Ling and Lei Wang and Cong Wang and Luyu Qiu and Wei Zheng}, title = {Exploring Large-scale Unlabeled Faces to Enhance Facial Expression Recognition}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5803--5810}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00616}, doi = {10.1109/CVPRW59228.2023.00616}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuCLZXZZLWWQZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuZZCXLZLWWQZ23, author = {Jun Yu and Jichao Zhu and Wangyuan Zhu and Zhongpeng Cai and Guochen Xie and Renda Li and Gongpeng Zhao and Qiang Ling and Lei Wang and Cong Wang and Luyu Qiu and Wei Zheng}, title = {A Dual Branch Network for Emotional Reaction Intensity Estimation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5811--5818}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00617}, doi = {10.1109/CVPRW59228.2023.00617}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuZZCXLZLWWQZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cyberc/WangHCC23, author = {Lei Wang and Wei Huang and Yiping Chen and Ran Chen}, title = {Point Cloud Compression Method Based on Curvature Grading and Inter-point Compression for Industrial Products}, booktitle = {International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, CyberC 2023, Jiangsu, China, November 2-4, 2023}, pages = {192--199}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CyberC58899.2023.00040}, doi = {10.1109/CYBERC58899.2023.00040}, timestamp = {Fri, 08 Mar 2024 08:28:28 +0100}, biburl = {https://dblp.org/rec/conf/cyberc/WangHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SunWLWHC23, author = {Xiaotian Sun and Xinyu Wang and Wanqian Li and Lei Wang and Yinhe Han and Xiaoming Chen}, title = {{PIMCOMP:} {A} Universal Compilation Framework for Crossbar-based {PIM} {DNN} Accelerators}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247928}, doi = {10.1109/DAC56929.2023.10247928}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/SunWLWHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsc/ZhangLWLXY23, author = {Youming Zhang and Zhizheng Li and Lei Wang and Yan Lv and Yan Xiong and Liu Yang}, title = {An Interpretable Data-Driven Approach for Employee Ability Assessment}, booktitle = {8th International Conference on Data Science in Cyberspace, {DSC} 2023, Hefei, China, August 18-20, 2023}, pages = {270--276}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSC59305.2023.00046}, doi = {10.1109/DSC59305.2023.00046}, timestamp = {Fri, 09 Feb 2024 20:38:47 +0100}, biburl = {https://dblp.org/rec/conf/dsc/ZhangLWLXY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsc/WangLYLCW23, author = {Lei Wang and Tong Li and Chao Yang and Yang Liu and Jian Chen and Zhongjie Wang}, title = {A Intrusion Detection Method of Industrial Internet of Things Based on One-Dimensional Cropping Multi-Model}, booktitle = {8th International Conference on Data Science in Cyberspace, {DSC} 2023, Hefei, China, August 18-20, 2023}, pages = {512--519}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSC59305.2023.00080}, doi = {10.1109/DSC59305.2023.00080}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsc/WangLYLCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsit/WangL23, author = {Lei Wang and Kunqin Li}, title = {Design and Implementation of Intelligent Fire Alarm System Based on IoT}, booktitle = {6th International Conference on Data Science and Information Technology, {DSIT} 2023, Shanghai, China, July 28-30, 2023}, pages = {137--142}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSIT60026.2023.00030}, doi = {10.1109/DSIT60026.2023.00030}, timestamp = {Thu, 29 Feb 2024 20:53:02 +0100}, biburl = {https://dblp.org/rec/conf/dsit/WangL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsit/WangL23a, author = {Lei Wang and Kunqin Li}, title = {Design and Implementation of Laboratory Security Monitoring System Based on IoT}, booktitle = {6th International Conference on Data Science and Information Technology, {DSIT} 2023, Shanghai, China, July 28-30, 2023}, pages = {143--148}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSIT60026.2023.00031}, doi = {10.1109/DSIT60026.2023.00031}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsit/WangL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/MaSWZ023, author = {Leilei Ma and Dengdi Sun and Lei Wang and Haifeng Zhao and Bin Luo}, editor = {Kobi Gal and Ann Now{\'{e}} and Grzegorz J. Nalepa and Roy Fairstein and Roxana Radulescu}, title = {Semantic-Aware Dual Contrastive Learning for Multi-Label Image Classification}, booktitle = {{ECAI} 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Krak{\'{o}}w, Poland - Including 12th Conference on Prestigious Applications of Intelligent Systems {(PAIS} 2023)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {372}, pages = {1656--1663}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA230449}, doi = {10.3233/FAIA230449}, timestamp = {Wed, 18 Oct 2023 09:31:16 +0200}, biburl = {https://dblp.org/rec/conf/ecai/MaSWZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eitce/YanWWFLL23, author = {Jun Yan and Lei Wang and Weibing Weng and Xiong Fan and Cong Lin and Wanpeng Li}, title = {Research on Dynamic Scheduling Algorithm for Emergency Repair of Power Grid Disaster Relief Based on Improved Q-Learning}, booktitle = {Proceedings of the 2023 7th International Conference on Electronic Information Technology and Computer Engineering, {EITCE} 2023, Xiamen, China, October 20-22, 2023}, pages = {180--185}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3650400.3650429}, doi = {10.1145/3650400.3650429}, timestamp = {Fri, 19 Apr 2024 08:09:03 +0200}, biburl = {https://dblp.org/rec/conf/eitce/YanWWFLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/DuYODACWLL23, author = {Wenjing Du and Guanlin Yi and Olatunji Mumini Omisore and Wenke Duan and Toluwanimi Oluwadara Akinyemi and Xingyu Chen and Lei Wang and Boon{-}Giin Lee and Jiang Liu}, title = {Guidewire Endpoint Detection Based on Pixel Adjacent Relation in Robot-assisted Cardiovascular Interventions}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340841}, doi = {10.1109/EMBC40787.2023.10340841}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/DuYODACWLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OmisoreYZADDCW23, author = {Olatunji Mumini Omisore and Guanlin Yi and Yuhong Zheng and Toluwanimi Oluwadara Akinyemi and Wenke Duan and Wenjing Du and Xingyu Chen and Lei Wang}, title = {Endovascular Tool Segmentation with Multi-lateral Branched Network during Robot-assisted Catheterization}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340692}, doi = {10.1109/EMBC40787.2023.10340692}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/OmisoreYZADDCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/TianZWLL23, author = {Qingyuan Tian and Hanlun Zhu and Lei Wang and Yang Li and Yunshi Lan}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {R{\({^3}\)} Prompting: Review, Rephrase and Resolve for Chain-of-Thought Reasoning in Large Language Models under Noisy Context}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {1670--1685}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.114}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.114}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/TianZWLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LiangWZWQL23, author = {Yuanyuan Liang and Jianing Wang and Hanlun Zhu and Lei Wang and Weining Qian and Yunshi Lan}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Prompting Large Language Models with Chain-of-Thought for Few-Shot Knowledge Base Question Generation}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {4329--4343}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.263}, doi = {10.18653/V1/2023.EMNLP-MAIN.263}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LiangWZWQL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/GanLKZWLYW23, author = {Leilei Gan and Baokui Li and Kun Kuang and Yating Zhang and Lei Wang and Anh Luu and Yi Yang and Fei Wu}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Exploiting Contrastive Learning and Numerical Evidence for Confusing Legal Judgment Prediction}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {12174--12185}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.814}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.814}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/GanLKZWLYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsdm/BaoXW23, author = {Yiqin Bao and Wenbin Xu and Lei Wang}, editor = {Antonio J. Tall{\'{o}}n{-}Ballesteros and Raquel Beltran{-}Barba}, title = {Design and Implementation of an Intelligent Mattress for Elderly Night Care Based on ZigBee Technology}, booktitle = {Fuzzy Systems and Data Mining {IX} - Proceedings of {FSDM} 2023, Hybrid Event, Chongqing, China, 10-13 November 2023}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {378}, pages = {345--351}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA231040}, doi = {10.3233/FAIA231040}, timestamp = {Tue, 30 Jan 2024 11:42:22 +0100}, biburl = {https://dblp.org/rec/conf/fsdm/BaoXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YinZZZWY23, author = {Jun Yin and Meiqi Zhan and Ming Zhu and Zhaowei Zhang and Lei Wang and Yuwang Yang}, title = {Salango: {A} Simplified Load Balancing Scheme for Edge Nodes in Mobile Edge Caching Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {1681--1686}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437764}, doi = {10.1109/GLOBECOM54140.2023.10437764}, timestamp = {Sun, 10 Mar 2024 10:39:12 +0100}, biburl = {https://dblp.org/rec/conf/globecom/YinZZZWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ZhangWAQ23, author = {Nan Zhang and Lei Wang and Jianing An and Xinge Qi}, editor = {Don Harris and Wen{-}Chin Li}, title = {Risk Analysis of Human Factors in Aircraft Tail Strike During Landing: {A} Study Based on {QAR} Data}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 20th International Conference, {EPCE} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14018}, pages = {178--187}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35389-5\_13}, doi = {10.1007/978-3-031-35389-5\_13}, timestamp = {Sat, 30 Sep 2023 09:42:13 +0200}, biburl = {https://dblp.org/rec/conf/hci/ZhangWAQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/GaoXW23, author = {Shan Gao and Yuanyuan Xian and Lei Wang}, editor = {Don Harris and Wen{-}Chin Li}, title = {An Evaluation Framework on Pilot's Competency-Based Flying Style}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 20th International Conference, {EPCE} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14017}, pages = {190--199}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35392-5\_15}, doi = {10.1007/978-3-031-35392-5\_15}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/GaoXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WangPZZL23, author = {Lei Wang and Jiahua Peng and Ying Zou and Mengxi Zhang and Danfeng Li}, editor = {Don Harris and Wen{-}Chin Li}, title = {A Measurement Framework and Method on Airline Transport Pilot's Psychological Competency}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 20th International Conference, {EPCE} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14017}, pages = {276--285}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35392-5\_22}, doi = {10.1007/978-3-031-35392-5\_22}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/WangPZZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WeiZW23, author = {Zixin Wei and Ying Zou and Lei Wang}, editor = {Don Harris and Wen{-}Chin Li}, title = {Applying Multi-source Data to Evaluate Pilots' Flight Safety Style Based on Safety-II Theory}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 20th International Conference, {EPCE} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14017}, pages = {320--330}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35392-5\_25}, doi = {10.1007/978-3-031-35392-5\_25}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/WeiZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hp3c/XuMLQBW23, author = {Xiaoyu Xu and Bowen Ma and Meng Li and Zhaoyang Qu and Shandong Bao and Lei Wang}, title = {YOLOv5-based automatic recognition model for environmental anomalies in power grid distribution rooms}, booktitle = {Proceedings of the 7th International Conference on High Performance Compilation, Computing and Communications, {HP3C} 2023, Jinan, China, June 17-19, 2023}, pages = {253--259}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3606043.3606079}, doi = {10.1145/3606043.3606079}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hp3c/XuMLQBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iaic/CaiDXWHLC23, author = {Tianqi Cai and Yuhan Dong and Zitao Xuan and Lei Wang and Kun Huang and Zhide Li and Hengjin Cai}, title = {A Secure Circulation Mechanism of Personal Data Based on Blockchains}, booktitle = {{IAIC} {(2)}}, pages = {29--44}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-1280-9\_3}, doi = {10.1007/978-981-97-1280-9\_3}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iaic/CaiDXWHLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/JiangZHWQJW23, author = {Haiyang Jiang and Yuchao Zhang and Haoqiang Huang and Lei Wang and Xirong Que and Zhuo Jiang and Wendong Wang}, editor = {Zahir Tari and Keqiu Li and Hongyi Wu}, title = {FaCa: Fast Aware and Competition-Avoided Balancing for Data Center Network}, booktitle = {Algorithms and Architectures for Parallel Processing - 23rd International Conference, {ICA3PP} 2023, Tianjin, China, October 20-22, 2023, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {14492}, pages = {39--58}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-0811-6\_3}, doi = {10.1007/978-981-97-0811-6\_3}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ica3pp/JiangZHWQJW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/LiuWYG23, author = {Quansheng Liu and Lei Wang and Jun Yu and Fang Gao}, editor = {Lazaros Iliadis and Antonios Papaleonidas and Plamen P. Angelov and Chrisina Jayne}, title = {Cross-Domain Transformer with Adaptive Thresholding for Domain Adaptive Semantic Segmentation}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2023 - 32nd International Conference on Artificial Neural Networks, Heraklion, Crete, Greece, September 26-29, 2023, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {14261}, pages = {147--159}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-44198-1\_13}, doi = {10.1007/978-3-031-44198-1\_13}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icann/LiuWYG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/XiaoWLGTW23, author = {Xun Xiao and Zhong Wan and Yuan Li and Shasha Guo and Junbo Tie and Lei Wang}, editor = {Lazaros Iliadis and Antonios Papaleonidas and Plamen P. Angelov and Chrisina Jayne}, title = {{F-E} Fusion: {A} Fast Detection Method of Moving {UAV} Based on Frame and Event Flow}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2023 - 32nd International Conference on Artificial Neural Networks, Heraklion, Crete, Greece, September 26-29, 2023, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {14261}, pages = {220--231}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-44198-1\_19}, doi = {10.1007/978-3-031-44198-1\_19}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icann/XiaoWLGTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangJZZF23, author = {Lei Wang and Zhibin Jiao and Qiyong Zhao and Jie Zhu and Yang Fu}, title = {Framewise Multiple Sound Source Localization and Counting Using Binaural Spatial Audio Signals}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096463}, doi = {10.1109/ICASSP49357.2023.10096463}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/WangJZZF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icb/GuoHLSWWL23, author = {Yanliang Guo and Xianxu Hou and Feng Liu and Linlin Shen and Lei Wang and Zhen Wang and Peng Liu}, title = {StyleAU: StyleGAN based Facial Action Unit Manipulation for Expression Editing}, booktitle = {{IEEE} International Joint Conference on Biometrics, {IJCB} 2023, Ljubljana, Slovenia, September 25-28, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCB57857.2023.10448612}, doi = {10.1109/IJCB57857.2023.10448612}, timestamp = {Wed, 13 Mar 2024 19:10:51 +0100}, biburl = {https://dblp.org/rec/conf/icb/GuoHLSWWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbase/JiangWZFTH23, author = {Biao Jiang and Lei Wang and Li Zhou and Yi Feng and Yuzhao Tian and Yiqi He}, title = {A Method for Improving the Reliability of {DSP28335} Chip Power-on Based on Software Reset}, booktitle = {4th International Conference on Big Data {\&} Artificial Intelligence {\&} Software Engineering, {ICBASE} 2023, Nanjing, China, August 25-27, 2023}, pages = {197--200}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICBASE59196.2023.10303264}, doi = {10.1109/ICBASE59196.2023.10303264}, timestamp = {Fri, 29 Dec 2023 10:52:52 +0100}, biburl = {https://dblp.org/rec/conf/icbase/JiangWZFTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/ChenLWDW23, author = {Mingkai Chen and Minghao Liu and Wenjun Wang and Haie Dou and Lei Wang}, title = {Cross-modal Semantic Communications in 6G}, booktitle = {{IEEE/CIC} International Conference on Communications in China, {ICCC} 2023, Dalian, China, August 10-12, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCC57788.2023.10233481}, doi = {10.1109/ICCC57788.2023.10233481}, timestamp = {Wed, 13 Sep 2023 08:43:35 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/ChenLWDW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/XuDCW23, author = {Xinmian Xu and Haie Dou and Mingkai Chen and Lei Wang}, title = {Utility Maximization for NFV-Based Tactile Internet}, booktitle = {{IEEE/CIC} International Conference on Communications in China, {ICCC} 2023, Dalian, China, August 10-12, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCC57788.2023.10233618}, doi = {10.1109/ICCC57788.2023.10233618}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/XuDCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/WangDHH23, author = {Lei Wang and Min Dai and Jianan He and Jingwei Huang}, title = {Regularized Primitive Graph Learning for Unified Vector Mapping}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {16771--16780}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01542}, doi = {10.1109/ICCV51070.2023.01542}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/WangDHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/WangLLW23, author = {Lei Wang and Bo Liu and Fangfang Liang and Bincheng Wang}, title = {Hierarchical Spatio-Temporal Representation Learning for Gait Recognition}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {19582--19592}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01799}, doi = {10.1109/ICCV51070.2023.01799}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/WangLLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/SongJWZZ23, author = {Qi Song and Qianyi Jiang and Lei Wang and Lingling Zhao and Rui Zhang}, editor = {Gernot A. Fink and Rajiv Jain and Koichi Kise and Richard Zanibbi}, title = {{MUGS:} {A} Multiple Granularity Semi-supervised Method for Text Recognition}, booktitle = {Document Analysis and Recognition - {ICDAR} 2023 - 17th International Conference, San Jos{\'{e}}, CA, USA, August 21-26, 2023, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {14191}, pages = {173--188}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-41734-4\_11}, doi = {10.1007/978-3-031-41734-4\_11}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdar/SongJWZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icics/XuWLSY23, author = {Yuwei Xu and Lei Wang and Jiangfeng Li and Kehui Song and Yali Yuan}, editor = {Ding Wang and Moti Yung and Zheli Liu and Xiaofeng Chen}, title = {Zoomer: {A} Website Fingerprinting Attack Against Tor Hidden Services}, booktitle = {Information and Communications Security - 25th International Conference, {ICICS} 2023, Tianjin, China, November 18-20, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14252}, pages = {370--382}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-7356-9\_22}, doi = {10.1007/978-981-99-7356-9\_22}, timestamp = {Tue, 24 Oct 2023 16:55:55 +0200}, biburl = {https://dblp.org/rec/conf/icics/XuWLSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WangLWY23, author = {Lei Wang and Bo Liu and Bincheng Wang and Fuqiang Yu}, title = {{GAITMM:} Multi-Granularity Motion Sequence Learning for Gait Recognition}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2023, Kuala Lumpur, Malaysia, October 8-11, 2023}, pages = {845--849}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIP49359.2023.10221893}, doi = {10.1109/ICIP49359.2023.10221893}, timestamp = {Tue, 21 Nov 2023 12:38:06 +0100}, biburl = {https://dblp.org/rec/conf/icip/WangLWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icise/YuanW23, author = {Fang Yuan and Lei Wang}, title = {Research on Text Mechanism of the Legal Protection of Personal Information Based on Big Data}, booktitle = {8th International Conference on Information Systems Engineering, {ICISE} 2023, Dalian, China, June 23-25, 2023}, pages = {163--166}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICISE60366.2023.00040}, doi = {10.1109/ICISE60366.2023.00040}, timestamp = {Thu, 23 Nov 2023 21:16:33 +0100}, biburl = {https://dblp.org/rec/conf/icise/YuanW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icise/LeW23, author = {Kang Le and Lei Wang}, title = {Research and design of Metadata management system of Party Building Information in universities based on Big data}, booktitle = {8th International Conference on Information Systems Engineering, {ICISE} 2023, Dalian, China, June 23-25, 2023}, pages = {171--175}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICISE60366.2023.00042}, doi = {10.1109/ICISE60366.2023.00042}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icise/LeW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/LiZXCW23, author = {Yuan Li and Jingyue Zhao and Xun Xiao and Renzhi Chen and Lei Wang}, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Brain-Inspired Binaural Sound Source Localization Method Based on Liquid State Machine}, booktitle = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14449}, pages = {198--213}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8067-3\_15}, doi = {10.1007/978-981-99-8067-3\_15}, timestamp = {Fri, 24 Nov 2023 20:33:24 +0100}, biburl = {https://dblp.org/rec/conf/iconip/LiZXCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/YuLFHZWZL23, author = {Youwei Yu and Yanqing Liu and Fengjie Fu and Sihan He and Dongchen Zhu and Lei Wang and Xiaolin Zhang and Jiamao Li}, title = {Fast Extrinsic Calibration for Multiple Inertial Measurement Units in Visual-Inertial System}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161187}, doi = {10.1109/ICRA48891.2023.10161187}, timestamp = {Tue, 08 Aug 2023 10:24:29 +0200}, biburl = {https://dblp.org/rec/conf/icra/YuLFHZWZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/WuCGWP23, author = {Zizhang Wu and Guilian Chen and Yuanzhu Gan and Lei Wang and Jian Pu}, title = {MVFusion: Multi-View 3D Object Detection with Semantic-aligned Radar and Camera Fusion}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {2766--2773}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161329}, doi = {10.1109/ICRA48891.2023.10161329}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/WuCGWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/WuGWCP23, author = {Zizhang Wu and Yuanzhu Gan and Lei Wang and Guilian Chen and Jian Pu}, title = {MonoPGC: Monocular 3D Object Detection with Pixel Geometry Contexts}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {4842--4849}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161442}, doi = {10.1109/ICRA48891.2023.10161442}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/WuGWCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/PengWJZY23, author = {Cong Peng and Lei Wang and Haoran Ji and Shuhao Zhang and Chi Yang}, title = {Multi-Resampling Equalizer for Underwater Acoustic Single Carrier Communication}, booktitle = {{IEEE} International Conference on Signal Processing, Communications and Computing, {ICSPCC} 2023, Zhengzhou, China, November 14-17, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSPCC59353.2023.10400240}, doi = {10.1109/ICSPCC59353.2023.10400240}, timestamp = {Sat, 24 Feb 2024 20:42:50 +0100}, biburl = {https://dblp.org/rec/conf/icspcc/PengWJZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/HongZCWW23, author = {Kai Hong and Junjie Zhong and Linqi Chen and Chengguang Wang and Lei Wang}, editor = {Hamido Fujita and Yinglin Wang and Yanghua Xiao and Ali Moonis}, title = {Scheduling Algorithm Based on Load-Aware Queue Partitioning in Heterogeneous Multi-core Systems}, booktitle = {Advances and Trends in Artificial Intelligence. Theory and Applications - 36th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2023, Shanghai, China, July 19-22, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13926}, pages = {189--200}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36822-6\_17}, doi = {10.1007/978-3-031-36822-6\_17}, timestamp = {Wed, 26 Jul 2023 08:43:10 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/HongZCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/YuanQWLZL23, author = {Shihao Yuan and Feng Qian and Lei Wang and Xiaobo Li and Ting Zhang and Shibin Li}, title = {Additive Engineering for High-Performance Inverted Large-Area Perovskite Solar Modules}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312650}, doi = {10.1109/IECON51785.2023.10312650}, timestamp = {Sat, 25 Nov 2023 16:52:31 +0100}, biburl = {https://dblp.org/rec/conf/iecon/YuanQWLZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LiZBWC23, author = {Xi Li and Jingsen Zhang and Xiaohe Bo and Lei Wang and Xu Chen}, title = {Recommendation with Dynamic Natural Language Explanations}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2023, Gold Coast, Australia, June 18-23, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCNN54540.2023.10191725}, doi = {10.1109/IJCNN54540.2023.10191725}, timestamp = {Wed, 09 Aug 2023 16:25:09 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LiZBWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/LiuWSZZ23, author = {Le Liu and Lei Wang and Jayandra Raj Shrestha and Kaixing Zhao and Yanning Zhang}, editor = {Gerd Bruder and Anne{-}H{\'{e}}l{\`{e}}ne Olivier and Andrew Cunningham and Yifan (Evan) Peng and Jens Grubert and Ian Williams}, title = {Immersive Visualization of The Multifaceted Uncertainties of Hurricane Prediction Ensembles}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2023, Sydney, Australia, October 16-20, 2023}, pages = {103--107}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMAR-Adjunct60411.2023.00029}, doi = {10.1109/ISMAR-ADJUNCT60411.2023.00029}, timestamp = {Wed, 03 Jan 2024 08:34:31 +0100}, biburl = {https://dblp.org/rec/conf/ismar/LiuWSZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/FanYBWZC23, author = {Tianxing Fan and Bangbang Yang and Chong Bao and Lei Wang and Guofeng Zhang and Zhaopeng Cui}, editor = {Gerd Bruder and Anne{-}H{\'{e}}l{\`{e}}ne Olivier and Andrew Cunningham and Yifan (Evan) Peng and Jens Grubert and Ian Williams}, title = {HybridAvatar: Efficient Mesh-based Human Avatar Generation from Few-Shot Monocular Images with Implicit Mesh Displacement}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2023, Sydney, Australia, October 16-20, 2023}, pages = {371--376}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMAR-Adjunct60411.2023.00080}, doi = {10.1109/ISMAR-ADJUNCT60411.2023.00080}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/FanYBWZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/LinLXW23, author = {Dianchao Lin and Li Li and Nian Xue and Lei Wang}, title = {Learning Imminent Throughput for Real-time Intersection Control with Deep Neural Network}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {67--72}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422344}, doi = {10.1109/ITSC57777.2023.10422344}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/LinLXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/JingLHKQW23, author = {Junbo Jing and Jingxuan Liu and Chunan Huang and Patrik Kolaric and Shen Qu and Lei Wang}, title = {A Practical {MPC} Method for Autonomous Driving Longitudinal Dynamic Control's Real-World Challenges}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {1435--1441}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422395}, doi = {10.1109/ITSC57777.2023.10422395}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/JingLHKQW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/TangWL23, author = {Weiyu Tang and Lei Wang and Li Li}, title = {Research on License Plate Recognition Algorithm in Hazy Weather Based on Deep Learning}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {2856--2861}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422631}, doi = {10.1109/ITSC57777.2023.10422631}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/TangWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/KolaricJHQLZW23, author = {Patrik Kolaric and Junbo Jing and Chunan Huang and Shen Qu and Jingxuan Liu and Yufei Zhao and Lei Wang}, title = {Gaussian Process Model of Uncertainty in Safety-Critical Autonomous Driving}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {4436--4442}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422367}, doi = {10.1109/ITSC57777.2023.10422367}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/KolaricJHQLZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwpc/ShiXZJZWL23, author = {Zejian Shi and Yun Xiong and Yao Zhang and Zhijie Jiang and Jinjing Zhao and Lei Wang and Shanshan Li}, title = {Improving Code Search with Multi-Modal Momentum Contrastive Learning}, booktitle = {31st {IEEE/ACM} International Conference on Program Comprehension, {ICPC} 2023, Melbourne, Australia, May 15-16, 2023}, pages = {280--291}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICPC58990.2023.00043}, doi = {10.1109/ICPC58990.2023.00043}, timestamp = {Thu, 20 Jul 2023 21:02:45 +0200}, biburl = {https://dblp.org/rec/conf/iwpc/ShiXZJZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcge/0001DSZSCW23, author = {Jun Yu and Shenshen Du and Haoxiang Shi and Yiwei Zhang and Renbin Su and Zhongpeng Cai and Lei Wang}, editor = {Cheng Jin and Liang He and Mingli Song and Rui Wang}, title = {Responsive Listening Head Synthesis with 3DMM and Dual-Stream Prediction Network}, booktitle = {Proceedings of the 1st International Workshop on Multimedia Content Generation and Evaluation: New Methods and Practice, McGE 2023, Ottawa, ON, Canada, 29 October 2023}, pages = {137--143}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3607541.3616820}, doi = {10.1145/3607541.3616820}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mcge/0001DSZSCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/YuCDSWG23, author = {Jun Yu and Zhongpeng Cai and Shenshen Du and Xiaxin Shen and Lei Wang and Fang Gao}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {Efficient Micro-Expression Spotting Based on Main Directional Mean Optical Flow Feature}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {9541--9545}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3612861}, doi = {10.1145/3581783.3612861}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/YuCDSWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/YeJLWLS23, author = {Kai Ye and Haoqin Ji and Yuan Li and Lei Wang and Peng Liu and Linlin Shen}, editor = {Duc{-}Tien Dang{-}Nguyen and Cathal Gurrin and Martha A. Larson and Alan F. Smeaton and Stevan Rudinac and Minh{-}Son Dao and Christoph Trattner and Phoebe Chen}, title = {CCF-Net: {A} Cascade Center-Based Framework Towards Efficient Human Parts Detection}, booktitle = {MultiMedia Modeling - 29th International Conference, {MMM} 2023, Bergen, Norway, January 9-12, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13834}, pages = {177--189}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-27818-1\_15}, doi = {10.1007/978-3-031-27818-1\_15}, timestamp = {Thu, 06 Apr 2023 11:24:30 +0200}, biburl = {https://dblp.org/rec/conf/mmm/YeJLWLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsports/YuDYWCZWX23, author = {Jun Yu and Shenshen Du and Ruiqiang Yang and Lei Wang and Minchuan Chen and Qingying Zhu and Shaojun Wang and Jing Xiao}, editor = {Rainer Lienhart and Thomas B. Moeslund and Hideo Saito}, title = {Image- and Instance-Level Data Augmentation for Occluded Instance Segmentation}, booktitle = {Proceedings of the 6th International Workshop on Multimedia Content Analysis in Sports, MMSports 2023, Ottawa ON, Canada, 29 October 2023}, pages = {137--142}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3606038.3616166}, doi = {10.1145/3606038.3616166}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsports/YuDYWCZWX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsports/YuLLWYWCZWX23, author = {Jun Yu and Renda Li and Renjie Lu and Leilei Wang and Shuoping Yang and Lei Wang and Minchuan Chen and Qingying Zhu and Shaojun Wang and Jing Xiao}, editor = {Rainer Lienhart and Thomas B. Moeslund and Hideo Saito}, title = {Exploring Loss Function and Rank Fusion for Enhanced Person Re-identification}, booktitle = {Proceedings of the 6th International Workshop on Multimedia Content Analysis in Sports, MMSports 2023, Ottawa ON, Canada, 29 October 2023}, pages = {143--150}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3606038.3616168}, doi = {10.1145/3606038.3616168}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsports/YuLLWYWCZWX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsports/YuWLYLWCZWX23, author = {Jun Yu and Leilei Wang and Renjie Lu and Shuoping Yang and Renda Li and Lei Wang and Minchuan Chen and Qingying Zhu and Shaojun Wang and Jing Xiao}, editor = {Rainer Lienhart and Thomas B. Moeslund and Hideo Saito}, title = {Relative Boundary Modeling: {A} High-Resolution Cricket Bowl Release Detection Framework with {I3D} Features}, booktitle = {Proceedings of the 6th International Workshop on Multimedia Content Analysis in Sports, MMSports 2023, Ottawa ON, Canada, 29 October 2023}, pages = {151--159}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3606038.3616167}, doi = {10.1145/3606038.3616167}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsports/YuWLYLWCZWX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/0002W0W023, author = {Kaixin Chen and Lei Wang and Yongzhi Huang and Kaishun Wu and Lu Wang}, editor = {Xavier Costa{-}P{\'{e}}rez and Joerg Widmer and Diego Perino and Domenico Giustiniano and Haitham Al{-}Hassanieh and Arash Asadi and Landon P. Cox}, title = {LiT: Fine-grained Toothbrushing Monitoring with Commercial {LED} Toothbrush}, booktitle = {Proceedings of the 29th Annual International Conference on Mobile Computing and Networking, {ACM} MobiCom 2023, Madrid, Spain, October 2-6, 2023}, pages = {72:1--72:16}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3570361.3613287}, doi = {10.1145/3570361.3613287}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/0002W0W023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/0001CTBWW23, author = {Xihan Li and Xiang Chen and Rasul Tutunov and Haitham Bou{-}Ammar and Lei Wang and Jun Wang}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Online {PCA} in Converging Self-consistent Field Equations}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/969c14957c0df5ce2db642b3a5fa985c-Abstract-Conference.html}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/0001CTBWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChenZWDDTZCZW23, author = {Xu Chen and Jingsen Zhang and Lei Wang and Quanyu Dai and Zhenhua Dong and Ruiming Tang and Rui Zhang and Li Chen and Xin Zhao and Ji{-}Rong Wen}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {{REASONER:} An Explainable Recommendation Dataset with Comprehensive Labeling Ground Truths}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/2ebf43d20e5933ab6d98225bbb908ade-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ChenZWDDTZCZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/LiHWWMF23, author = {Jiaming Li and Liang He and Lei Wang and Shaolei Wang and Hanhan Ma and Kan Feng}, title = {{MAKBQA:} Multi-hop Knowledge Base Question Answering System Based on Sensors and Internet Agricultural Data}, booktitle = {20th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2023, Madrid, Spain, September 11-14, 2023}, pages = {33--40}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SECON58729.2023.10287501}, doi = {10.1109/SECON58729.2023.10287501}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/secon/LiHWWMF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/XuTZZWZLTZHPZCW23, author = {Lanling Xu and Zhen Tian and Gaowei Zhang and Junjie Zhang and Lei Wang and Bowen Zheng and Yifan Li and Jiakai Tang and Zeyu Zhang and Yupeng Hou and Xingyu Pan and Wayne Xin Zhao and Xu Chen and Ji{-}Rong Wen}, editor = {Hsin{-}Hsi Chen and Wei{-}Jou (Edward) Duh and Hen{-}Hsen Huang and Makoto P. Kato and Josiane Mothe and Barbara Poblete}, title = {Towards a More User-Friendly and Easy-to-Use Benchmark Library for Recommender Systems}, booktitle = {Proceedings of the 46th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2023, Taipei, Taiwan, July 23-27, 2023}, pages = {2837--2847}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539618.3591889}, doi = {10.1145/3539618.3591889}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/XuTZZWZLTZHPZCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sp/RuanXFWWH23, author = {Wenqiang Ruan and Mingxin Xu and Wenjing Fang and Li Wang and Lei Wang and Weili Han}, title = {Private, Efficient, and Accurate: Protecting Models Trained by Multi-party Learning with Differential Privacy}, booktitle = {44th {IEEE} Symposium on Security and Privacy, {SP} 2023, San Francisco, CA, USA, May 21-25, 2023}, pages = {1926--1943}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SP46215.2023.10179422}, doi = {10.1109/SP46215.2023.10179422}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sp/RuanXFWWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swarm/ZhangQZZYWWX23, author = {Zixuan Zhang and Shuhan Qi and Zihao Zhou and Jiajia Zhang and Hao Yuan and Xuan Wang and Lei Wang and Jing Xiao}, editor = {Ying Tan and Yuhui Shi and Wenjian Luo}, title = {Reinforced Vision-and-Language Navigation Based on Historical {BERT}}, booktitle = {Advances in Swarm Intelligence - 14th International Conference, {ICSI} 2023, Shenzhen, China, July 14-18, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13969}, pages = {427--438}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36625-3\_34}, doi = {10.1007/978-3-031-36625-3\_34}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/swarm/ZhangQZZYWWX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/MaZFZWFTYZW23, author = {Junming Ma and Yancheng Zheng and Jun Feng and Derun Zhao and Haoqi Wu and Wenjing Fang and Jin Tan and Chaofan Yu and Benyu Zhang and Lei Wang}, editor = {Julia Lawall and Dan Williams}, title = {SecretFlow-SPU: {A} Performant and User-Friendly Framework for Privacy-Preserving Machine Learning}, booktitle = {2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {17--33}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/ma}, timestamp = {Sat, 15 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/usenix/MaZFZWFTYZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/SunSSSWWZLYZW23, author = {Jie Sun and Li Su and Zuocheng Shi and Wenting Shen and Zeke Wang and Lei Wang and Jie Zhang and Yong Li and Wenyuan Yu and Jingren Zhou and Fei Wu}, editor = {Julia Lawall and Dan Williams}, title = {Legion: Automatically Pushing the Envelope of Multi-GPU System for Billion-Scale {GNN} Training}, booktitle = {2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {165--179}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/sun}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/usenix/SunSSSWWZLYZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ShenYSWLTS0Y0ZZ23, author = {Sijie Shen and Zihang Yao and Lin Shi and Lei Wang and Longbin Lai and Qian Tao and Li Su and Rong Chen and Wenyuan Yu and Haibo Chen and Binyu Zang and Jingren Zhou}, editor = {Julia Lawall and Dan Williams}, title = {Bridging the Gap between Relational {OLTP} and Graph-based {OLAP}}, booktitle = {2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {181--196}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/shen}, timestamp = {Sat, 15 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/usenix/ShenYSWLTS0Y0ZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ialp/2023, editor = {Lei Wang and Yanfeng Lu and Minghui Dong}, title = {International Conference on Asian Language Processing, {IALP} 2023, Singapore, November 18-20, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IALP61005.2023}, doi = {10.1109/IALP61005.2023}, isbn = {979-8-3503-3078-6}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ialp/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-01827, author = {Danjie Zhu and Lei Wang and Hua Zhang and Simon X. Yang}, title = {A GOA-Based Fault-Tolerant Trajectory Tracking Control for an Underwater Vehicle of Multi-Thruster System without Actuator Saturation}, journal = {CoRR}, volume = {abs/2301.01827}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.01827}, doi = {10.48550/ARXIV.2301.01827}, eprinttype = {arXiv}, eprint = {2301.01827}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-01827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-10511, author = {Zizhang Wu and Guilian Chen and Yuanzhu Gan and Lei Wang and Jian Pu}, title = {MVFusion: Multi-View 3D Object Detection with Semantic-aligned Radar and Camera Fusion}, journal = {CoRR}, volume = {abs/2302.10511}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.10511}, doi = {10.48550/ARXIV.2302.10511}, eprinttype = {arXiv}, eprint = {2302.10511}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-10511.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-10549, author = {Zizhang Wu and Yuanzhu Gan and Lei Wang and Guilian Chen and Jian Pu}, title = {MonoPGC: Monocular 3D Object Detection with Pixel Geometry Contexts}, journal = {CoRR}, volume = {abs/2302.10549}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.10549}, doi = {10.48550/ARXIV.2302.10549}, eprinttype = {arXiv}, eprint = {2302.10549}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-10549.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-11866, author = {Ke Liu and Wanling Gao and Chunjie Luo and Cheng Huang and Chunxin Lan and Zhenxing Zhang and Lei Wang and Xiwen He and Nan Li and Jianfeng Zhan}, title = {DCNetBench: Scaleable Data Center Network Benchmarking}, journal = {CoRR}, volume = {abs/2302.11866}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.11866}, doi = {10.48550/ARXIV.2302.11866}, eprinttype = {arXiv}, eprint = {2302.11866}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-11866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12954, author = {Lei Wang and Kaiyong Yang and Chenxi Wang and Wanling Gao and Chunjie Luo and Fan Zhang and Zhongxin Ge and Li Zhang and Guoxin Kang and Jianfeng Zhan}, title = {{WPC:} Whole-picture Workload Characterization}, journal = {CoRR}, volume = {abs/2302.12954}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12954}, doi = {10.48550/ARXIV.2302.12954}, eprinttype = {arXiv}, eprint = {2302.12954}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-00168, author = {Xu Chen and Jingsen Zhang and Lei Wang and Quanyu Dai and Zhenhua Dong and Ruiming Tang and Rui Zhang and Li Chen and Ji{-}Rong Wen}, title = {{REASONER:} An Explainable Recommendation Dataset with Multi-aspect Real User Labeled Ground Truths Towards more Measurable Explainable Recommendation}, journal = {CoRR}, volume = {abs/2303.00168}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.00168}, doi = {10.48550/ARXIV.2303.00168}, eprinttype = {arXiv}, eprint = {2303.00168}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-00168.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13763, author = {Taiqiang Wu and Zhe Zhao and Jiahao Wang and Xingyu Bai and Lei Wang and Ngai Wong and Yujiu Yang}, title = {Edge-free but Structure-aware: Prototype-Guided Knowledge Distillation from GNNs to MLPs}, journal = {CoRR}, volume = {abs/2303.13763}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13763}, doi = {10.48550/ARXIV.2303.13763}, eprinttype = {arXiv}, eprint = {2303.13763}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13763.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-05397, author = {Jieming Bian and Lei Wang and Kun Yang and Cong Shen and Jie Xu}, title = {Accelerating Hybrid Federated Learning Convergence under Partial Participation}, journal = {CoRR}, volume = {abs/2304.05397}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.05397}, doi = {10.48550/ARXIV.2304.05397}, eprinttype = {arXiv}, eprint = {2304.05397}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-05397.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-11327, author = {Bhanu Prakash Voutharoja and Peng Wang and Lei Wang and Vivienne Guan}, title = {{MALM:} Mask Augmentation based Local Matching for Food-Recipe Retrieval}, journal = {CoRR}, volume = {abs/2305.11327}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.11327}, doi = {10.48550/ARXIV.2305.11327}, eprinttype = {arXiv}, eprint = {2305.11327}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-11327.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-11452, author = {Shiwei Jin and Zhen Wang and Lei Wang and Ning Bi and Truong Nguyen}, title = {ReDirTrans: Latent-to-Latent Translation for Gaze and Head Redirection}, journal = {CoRR}, volume = {abs/2305.11452}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.11452}, doi = {10.48550/ARXIV.2305.11452}, eprinttype = {arXiv}, eprint = {2305.11452}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-11452.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-16588, author = {Jie Sun and Li Su and Zuocheng Shi and Wenting Shen and Zeke Wang and Lei Wang and Jie Zhang and Yong Li and Wenyuan Yu and Jingren Zhou and Fei Wu}, title = {Legion: Automatically Pushing the Envelope of Multi-GPU System for Billion-Scale {GNN} Training}, journal = {CoRR}, volume = {abs/2305.16588}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.16588}, doi = {10.48550/ARXIV.2305.16588}, eprinttype = {arXiv}, eprint = {2305.16588}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-16588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-19645, author = {Jiakun Lei and Tao Meng and Kun Wang and Weijia Wang and Shujian Sun and Lei Wang}, title = {Adaptive Reduced Attitude Control for Spacecraft Boresight Alignment under Multiple Constraints with Guaranteed Accuracy}, journal = {CoRR}, volume = {abs/2305.19645}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.19645}, doi = {10.48550/ARXIV.2305.19645}, eprinttype = {arXiv}, eprint = {2305.19645}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-19645.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-01812, author = {Ethan Zhang and Hao Xiao and Yiqian Gan and Lei Wang}, title = {{SAPI:} Surroundings-Aware Vehicle Trajectory Prediction at Intersections}, journal = {CoRR}, volume = {abs/2306.01812}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.01812}, doi = {10.48550/ARXIV.2306.01812}, eprinttype = {arXiv}, eprint = {2306.01812}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-01812.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-02552, author = {Lei Wang and Jingsen Zhang and Xu Chen and Yankai Lin and Ruihua Song and Wayne Xin Zhao and Ji{-}Rong Wen}, title = {RecAgent: {A} Novel Simulation Paradigm for Recommender Systems}, journal = {CoRR}, volume = {abs/2306.02552}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.02552}, doi = {10.48550/ARXIV.2306.02552}, eprinttype = {arXiv}, eprint = {2306.02552}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-02552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-12723, author = {Bowen Yi and Chi Jin and Lei Wang and Guodong Shi and Viorela Ila and Ian R. Manchester}, title = {{PEBO-SLAM:} Observer design for visual inertial {SLAM} with convergence guarantees}, journal = {CoRR}, volume = {abs/2306.12723}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.12723}, doi = {10.48550/ARXIV.2306.12723}, eprinttype = {arXiv}, eprint = {2306.12723}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-12723.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-01475, author = {Xiaotian Sun and Xinyu Wang and Wanqian Li and Lei Wang and Yinhe Han and Xiaoming Chen}, title = {{PIMCOMP:} {A} Universal Compilation Framework for Crossbar-based {PIM} {DNN} Accelerators}, journal = {CoRR}, volume = {abs/2307.01475}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.01475}, doi = {10.48550/ARXIV.2307.01475}, eprinttype = {arXiv}, eprint = {2307.01475}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-01475.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-08315, author = {Hongxiao Li and Wanling Gao and Lei Wang and Jianfeng Zhan}, title = {IterLara: {A} Turing Complete Algebra for Big Data, AI, Scientific Computing, and Database}, journal = {CoRR}, volume = {abs/2307.08315}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.08315}, doi = {10.48550/ARXIV.2307.08315}, eprinttype = {arXiv}, eprint = {2307.08315}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-08315.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09715, author = {Leilei Ma and Dengdi Sun and Lei Wang and Haifeng Zhao and Bin Luo}, title = {Semantic-Aware Dual Contrastive Learning for Multi-label Image Classification}, journal = {CoRR}, volume = {abs/2307.09715}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09715}, doi = {10.48550/ARXIV.2307.09715}, eprinttype = {arXiv}, eprint = {2307.09715}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09856, author = {Lei Wang and Bo Liu and Fangfang Liang and Bincheng Wang}, title = {Hierarchical Spatio-Temporal Representation Learning for Gait Recognition}, journal = {CoRR}, volume = {abs/2307.09856}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09856}, doi = {10.48550/ARXIV.2307.09856}, eprinttype = {arXiv}, eprint = {2307.09856}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-05999, author = {Yatao Li and Wanling Gao and Lei Wang and Lixin Sun and Zun Wang and Jianfeng Zhan}, title = {Does {AI} for science need another ImageNet Or totally different benchmarks? {A} case study of machine learning force fields}, journal = {CoRR}, volume = {abs/2308.05999}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.05999}, doi = {10.48550/ARXIV.2308.05999}, eprinttype = {arXiv}, eprint = {2308.05999}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-05999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-09934, author = {Lei Wang and Bo Ai and Yong Niu and Haiyan Jiang and Shiwen Mao and Zhangdui Zhong and Ning Wang}, title = {Joint User Association and Transmission Scheduling in Integrated mmWave Access and Terahertz Backhaul Networks}, journal = {CoRR}, volume = {abs/2308.09934}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.09934}, doi = {10.48550/ARXIV.2308.09934}, eprinttype = {arXiv}, eprint = {2308.09934}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-09934.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-11432, author = {Lei Wang and Chen Ma and Xueyang Feng and Zeyu Zhang and Hao Yang and Jingsen Zhang and Zhiyuan Chen and Jiakai Tang and Xu Chen and Yankai Lin and Wayne Xin Zhao and Zhewei Wei and Ji{-}Rong Wen}, title = {A Survey on Large Language Model based Autonomous Agents}, journal = {CoRR}, volume = {abs/2308.11432}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.11432}, doi = {10.48550/ARXIV.2308.11432}, eprinttype = {arXiv}, eprint = {2308.11432}, timestamp = {Mon, 18 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-11432.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-12048, author = {Lei Wang and Zejian Yuan and Yao Lu and Badong Chen}, title = {Head-Tail Cooperative Learning Network for Unbiased Scene Graph Generation}, journal = {CoRR}, volume = {abs/2308.12048}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.12048}, doi = {10.48550/ARXIV.2308.12048}, eprinttype = {arXiv}, eprint = {2308.12048}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-12048.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-13904, author = {Chengkun Wei and Wenlong Meng and Zhikun Zhang and Min Chen and Minghu Zhao and Wenjing Fang and Lei Wang and Zihui Zhang and Wenzhi Chen}, title = {LMSanitator: Defending Prompt-Tuning Against Task-Agnostic Backdoors}, journal = {CoRR}, volume = {abs/2308.13904}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.13904}, doi = {10.48550/ARXIV.2308.13904}, eprinttype = {arXiv}, eprint = {2308.13904}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-13904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-16004, author = {Xi Wang and Deming Yuan and Yiguang Hong and Zihao Hu and Lei Wang and Guodong Shi}, title = {Riemannian Optimistic Algorithms}, journal = {CoRR}, volume = {abs/2308.16004}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.16004}, doi = {10.48550/ARXIV.2308.16004}, eprinttype = {arXiv}, eprint = {2308.16004}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-16004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-08464, author = {Lei Wang and Weijia Liu and Fanghong Guo and Zixin Qiao and Zhengguang Wu}, title = {Differentially Private Average Consensus with Improved Accuracy-Privacy Trade-off}, journal = {CoRR}, volume = {abs/2309.08464}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.08464}, doi = {10.48550/ARXIV.2309.08464}, eprinttype = {arXiv}, eprint = {2309.08464}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-08464.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10281, author = {Yikang Yang and Lei Wang and Jianfeng Zhan}, title = {A Linear Combination-based Method to Construct Proxy Benchmarks for Big Data Workloads}, journal = {CoRR}, volume = {abs/2309.10281}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10281}, doi = {10.48550/ARXIV.2309.10281}, eprinttype = {arXiv}, eprint = {2309.10281}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-15768, author = {Lei Wang}, title = {{AI} in Software Engineering: Case Studies and Prospects}, journal = {CoRR}, volume = {abs/2309.15768}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.15768}, doi = {10.48550/ARXIV.2309.15768}, eprinttype = {arXiv}, eprint = {2309.15768}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-15768.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05354, author = {Jiaqi Li and Li Wang and Liumeng Xue and Lei Wang and Zhizheng Wu}, title = {An Initial Investigation of Neural Replay Simulator for Over-the-Air Adversarial Perturbations to Automatic Speaker Verification}, journal = {CoRR}, volume = {abs/2310.05354}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05354}, doi = {10.48550/ARXIV.2310.05354}, eprinttype = {arXiv}, eprint = {2310.05354}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05354.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05369, author = {Li Wang and Jiaqi Li and Yuhao Luo and Jiahao Zheng and Lei Wang and Hao Li and Ke Xu and Chengfang Fang and Jie Shi and Zhizheng Wu}, title = {AdvSV: An Over-the-Air Adversarial Attack Dataset for Speaker Verification}, journal = {CoRR}, volume = {abs/2310.05369}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05369}, doi = {10.48550/ARXIV.2310.05369}, eprinttype = {arXiv}, eprint = {2310.05369}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05369.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05813, author = {Xiangyu Shi and Yuhao Luo and Li Wang and Haorui He and Hao Li and Lei Wang and Zhizheng Wu}, title = {Audio compression-assisted feature extraction for voice replay attack detection}, journal = {CoRR}, volume = {abs/2310.05813}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05813}, doi = {10.48550/ARXIV.2310.05813}, eprinttype = {arXiv}, eprint = {2310.05813}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05813.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-08395, author = {Yuanyuan Liang and Jianing Wang and Hanlun Zhu and Lei Wang and Weining Qian and Yunshi Lan}, title = {Prompting Large Language Models with Chain-of-Thought for Few-Shot Knowledge Base Question Generation}, journal = {CoRR}, volume = {abs/2310.08395}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.08395}, doi = {10.48550/ARXIV.2310.08395}, eprinttype = {arXiv}, eprint = {2310.08395}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-08395.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-13541, author = {Liangze Jiang and Zhengguang Wu and Lei Wang}, title = {Distributed Adaptive Time-Varying Convex Optimization for Multi-agent Systems}, journal = {CoRR}, volume = {abs/2310.13541}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.13541}, doi = {10.48550/ARXIV.2310.13541}, eprinttype = {arXiv}, eprint = {2310.13541}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-13541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-16535, author = {Qingyuan Tian and Hanlun Zhu and Lei Wang and Yang Li and Yunshi Lan}, title = {R\({}^{\mbox{3}}\) Prompting: Review, Rephrase and Resolve for Chain-of-Thought Reasoning in Large Language Models under Noisy Context}, journal = {CoRR}, volume = {abs/2310.16535}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.16535}, doi = {10.48550/ARXIV.2310.16535}, eprinttype = {arXiv}, eprint = {2310.16535}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-16535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-11210, author = {Lei Wang and Yinchi Ma and Peng Luan and Wei Yao and Congcong Li and Bo Liu}, title = {HiH: {A} Multi-modal Hierarchy in Hierarchy Network for Unconstrained Gait Recognition}, journal = {CoRR}, volume = {abs/2311.11210}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.11210}, doi = {10.48550/ARXIV.2311.11210}, eprinttype = {arXiv}, eprint = {2311.11210}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-11210.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-15200, author = {Lei Wang and Yibing Zhan and Leilei Ma and Dapeng Tao and Liang Ding and Chen Gong}, title = {SpliceMix: {A} Cross-scale and Semantic Blending Augmentation Strategy for Multi-label Image Classification}, journal = {CoRR}, volume = {abs/2311.15200}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.15200}, doi = {10.48550/ARXIV.2311.15200}, eprinttype = {arXiv}, eprint = {2311.15200}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-15200.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10324, author = {Lei Wang and Jieming Bian and Jie Xu}, title = {Federated Learning with Instance-Dependent Noisy Label}, journal = {CoRR}, volume = {abs/2312.10324}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10324}, doi = {10.48550/ARXIV.2312.10324}, eprinttype = {arXiv}, eprint = {2312.10324}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10324.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12107, author = {Tao He and Shuxian Hu and Longbin Lai and Dongze Li and Neng Li and Xue Li and Lexiao Liu and Xiaojian Luo and Binqing Lyu and Ke Meng and Sijie Shen and Li Su and Lei Wang and Jingbo Xu and Wenyuan Yu and Weibin Zeng and Lei Zhang and Siyuan Zhang and Jingren Zhou and Xiaoli Zhou and Diwen Zhu}, title = {GraphScope Flex: LEGO-like Graph Computing Stack}, journal = {CoRR}, volume = {abs/2312.12107}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12107}, doi = {10.48550/ARXIV.2312.12107}, eprinttype = {arXiv}, eprint = {2312.12107}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12107.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-14862, author = {Yin Luo and Qingchao Kong and Nan Xu and Jia Cao and Bao Hao and Baoyu Qu and Bo Chen and Chao Zhu and Chenyang Zhao and Donglei Zhang and Fan Feng and Feifei Zhao and Hailong Sun and Hanxuan Yang and Haojun Pan and Hongyu Liu and Jianbin Guo and Jiangtao Du and Jingyi Wang and Junfeng Li and Lei Sun and Liduo Liu and Lifeng Dong and Lili Liu and Lin Wang and Liwen Zhang and Minzheng Wang and Pin Wang and Ping Yu and Qingxiao Li and Rui Yan and Rui Zou and Ruiqun Li and Taiwen Huang and Xiaodong Wang and Xiaofei Wu and Xin Peng and Xina Zhang and Xing Fang and Xinglin Xiao and Yanni Hao and Yao Dong and Yigang Wang and Ying Liu and Yongyu Jiang and Yungan Wang and Yuqi Wang and Zhangsheng Wang and Zhaoxin Yu and Zhen Luo and Wenji Mao and Lei Wang and Daniel Dajun Zeng}, title = {{YAYI} 2: Multilingual Open-Source Large Language Models}, journal = {CoRR}, volume = {abs/2312.14862}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.14862}, doi = {10.48550/ARXIV.2312.14862}, eprinttype = {arXiv}, eprint = {2312.14862}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-14862.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15480, author = {Shufang Zhang and Minxue Ni and Lei Wang and Wenxin Ding and Shuai Chen and Yuhong Liu}, title = {A Two-stage Personalized Virtual Try-on Framework with Shape Control and Texture Guidance}, journal = {CoRR}, volume = {abs/2312.15480}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15480}, doi = {10.48550/ARXIV.2312.15480}, eprinttype = {arXiv}, eprint = {2312.15480}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeiGZLWHF22, author = {Zhaoyu Lei and Jianyi Guo and Feng Zheng and Jiayang Li and Lei Wang and Liangshou Hao and Youping Fan}, title = {Thyristor State Evaluation Method Based on Kernel Principal Component Analysis}, journal = {{IEEE} Access}, volume = {10}, pages = {29992--30004}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3159711}, doi = {10.1109/ACCESS.2022.3159711}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeiGZLWHF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangLWLYRG22, author = {Lei Wang and Yi Liu and Rui Wu and Yuhang Liu and Rongbiao Yan and Shilei Ren and Zhiguo Gui}, title = {Image Processing for Low-Dose {CT} via Novel Anisotropic Fourth-Order Diffusion Model}, journal = {{IEEE} Access}, volume = {10}, pages = {50114--50124}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3172975}, doi = {10.1109/ACCESS.2022.3172975}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangLWLYRG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DuSWS22, author = {Xin Du and Huatao Shang and Lei Wang and Yingfei Sun}, title = {Low-Altitude Target Detection Method Based on Distributed Sensor Networks}, journal = {{IEEE} Access}, volume = {10}, pages = {56458--56468}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3177655}, doi = {10.1109/ACCESS.2022.3177655}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DuSWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangCZLM22, author = {Lei Wang and Liangyu Chen and Lei Zhao and Yang Li and Jiaocheng Ma}, title = {Thermofluid-Solid Coupling Numerical Simulation Model of Blast Furnace Hearth Protection Measures}, journal = {{IEEE} Access}, volume = {10}, pages = {65080--65091}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3183801}, doi = {10.1109/ACCESS.2022.3183801}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangCZLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangZLY22, author = {Lei Wang and Wen Zhang and Xinyue Li and Shuo Yang}, title = {The Effect of 40 Hz Binaural Beats on Working Memory}, journal = {{IEEE} Access}, volume = {10}, pages = {81556--81567}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3185257}, doi = {10.1109/ACCESS.2022.3185257}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangZLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangLJH22, author = {Lei Wang and Jingyu Li and Chuang Jiang and Jinzhong Huang}, title = {Automatic Deformation Extraction Method of Buildings in Mining Areas Based on {TLS} Point Clouds}, journal = {{IEEE} Access}, volume = {10}, pages = {127817--127824}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2021.3138081}, doi = {10.1109/ACCESS.2021.3138081}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangLJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangGZZG22, author = {Lei Wang and Chao Ge and Ruonan Zhang and Xinyuan Zhang and Wen Guo}, title = {Event-Triggered Scheme for Networked Control Systems With Extended Dissipative Control and Cyber Attacks}, journal = {{IEEE} Access}, volume = {10}, pages = {134491--134501}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3231982}, doi = {10.1109/ACCESS.2022.3231982}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangGZZG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/XuW22, author = {Fan Xu and Lei Wang}, title = {Constructing a health indicator for bearing degradation assessment via an unsupervised and enhanced stacked autoencoder}, journal = {Adv. Eng. Informatics}, volume = {53}, pages = {101708}, year = {2022}, url = {https://doi.org/10.1016/j.aei.2022.101708}, doi = {10.1016/J.AEI.2022.101708}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/XuW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/WuWCFQLL22, author = {Xianguo Wu and Lei Wang and Bin Chen and Zongbao Feng and Yawei Qin and Qiong Liu and Yang Liu}, title = {Multi-objective optimization of shield construction parameters based on random forests and {NSGA-II}}, journal = {Adv. Eng. Informatics}, volume = {54}, pages = {101751}, year = {2022}, url = {https://doi.org/10.1016/j.aei.2022.101751}, doi = {10.1016/J.AEI.2022.101751}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aei/WuWCFQLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/ZhuoFGWWFWH22, author = {Wen Zhuo and Shibo Fang and Xinran Gao and Lei Wang and Dong Wu and Shaolong Fu and Qingling Wu and Jianxi Huang}, title = {Crop yield prediction using {MODIS} LAI, {TIGGE} weather forecasts and {WOFOST} model: {A} case study for winter wheat in Hebei, China during 2009-2013}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {106}, pages = {102668}, year = {2022}, url = {https://doi.org/10.1016/j.jag.2021.102668}, doi = {10.1016/J.JAG.2021.102668}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aeog/ZhuoFGWWFWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/GanZHLWZHM22, author = {Xin Gan and Yueying Zhang and Yupeng Hui and Shaoxuan Liu and Lei Wang and Jincheng Zhang and Yue Hao and Haijiao Harsan Ma}, title = {Controlling Memristance and Negative Differential Resistance in Point-Contacted Metal-Oxides-Metal Heterojunctions: Role of Oxygen Vacancy Electromigration and Electron Hopping}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {6}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200020}, doi = {10.1002/AISY.202200020}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/GanZHLWZHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/WangWW22, author = {Lei Wang and Chenguang Wang and Huabing Wang}, title = {Improved Scheduling Algorithm for Synchronous Data Flow Graphs on a Homogeneous Multi-Core Systems}, journal = {Algorithms}, volume = {15}, number = {2}, pages = {56}, year = {2022}, url = {https://doi.org/10.3390/a15020056}, doi = {10.3390/A15020056}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/WangWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/GeLWL22, author = {Chao Ge and Zengshuai Liu and Lei Wang and Yajuan Liu}, title = {Improved stability criteria of {T-S} fuzzy systems with sampled-data-based dissipative control}, journal = {Appl. Math. Comput.}, volume = {424}, pages = {127047}, year = {2022}, url = {https://doi.org/10.1016/j.amc.2022.127047}, doi = {10.1016/J.AMC.2022.127047}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/GeLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/WangHFM22, author = {Lei Wang and Kai He and Xu Feng and Xitao Ma}, title = {Multilayer feature fusion with parallel convolutional block for fine-grained image classification}, journal = {Appl. Intell.}, volume = {52}, number = {3}, pages = {2872--2883}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-02573-2}, doi = {10.1007/S10489-021-02573-2}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/WangHFM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/LiHWZ22, author = {Dashuang Li and Kai He and Lei Wang and Dazhuang Zhang}, title = {Local feature extraction network with high correspondences for 3d point cloud registration}, journal = {Appl. Intell.}, volume = {52}, number = {9}, pages = {9638--9649}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-03055-1}, doi = {10.1007/S10489-021-03055-1}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LiHWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/WangCY22, author = {Lei Wang and Han Cao and Liu Yuan}, title = {Gated tree-structured RecurNN for Detecting Biomedical Event Trigger}, journal = {Appl. Soft Comput.}, volume = {126}, pages = {109251}, year = {2022}, url = {https://doi.org/10.1016/j.asoc.2022.109251}, doi = {10.1016/J.ASOC.2022.109251}, timestamp = {Tue, 12 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/WangCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/LiDYPWSCWWW22, author = {Hui Li and Zhaohong Deng and Haitao Yang and Xiaoyong Pan and Zhisheng Wei and Hong{-}Bin Shen and Kup{-}Sze Choi and Lei Wang and Shitong Wang and Jing Wu}, title = {circRNA-binding protein site prediction based on multi-view deep learning, subspace learning and multi-view classifier}, journal = {Briefings Bioinform.}, volume = {23}, number = {1}, year = {2022}, url = {https://doi.org/10.1093/bib/bbab394}, doi = {10.1093/BIB/BBAB394}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/LiDYPWSCWWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/LiZSRW22, author = {Guodong Li and Ping Zhang and Weicheng Sun and Chengjuan Ren and Lei Wang}, title = {Bridging-BPs: a novel approach to predict potential drug-target interactions based on a bridging heterogeneous graph and BPs2vec}, journal = {Briefings Bioinform.}, volume = {23}, number = {2}, year = {2022}, url = {https://doi.org/10.1093/bib/bbab557}, doi = {10.1093/BIB/BBAB557}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/LiZSRW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WangTYKP22, author = {Lei Wang and Yaqin Tan and Xiaoyu Yang and Linai Kuang and Pengyao Ping}, title = {Review on predicting pairwise relationships between human microbes, drugs and diseases: from biological data to computational models}, journal = {Briefings Bioinform.}, volume = {23}, number = {3}, year = {2022}, url = {https://doi.org/10.1093/bib/bbac080}, doi = {10.1093/BIB/BBAC080}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/WangTYKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/ZhangWWZRSL22, author = {Shijun Zhang and Heng{-}Yi Wu and Lei Wang and Gongbo Zhang and Luis M. Rocha and Hagit Shatkay and Lang Li}, title = {Translational drug-interaction corpus}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac031}, doi = {10.1093/DATABASE/BAAC031}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/ZhangWWZRSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biomedsem/BinkhederWQZZCW22, author = {Samar Binkheder and Heng{-}Yi Wu and Sara K. Quinney and Shijun Zhang and Md. Muntasir Zitu and Chienwei Chiang and Lei Wang and Josette Jones and Lang Li}, title = {PhenoDEF: a corpus for annotating sentences with information of phenotype definitions in biomedical literature}, journal = {J. Biomed. Semant.}, volume = {13}, number = {1}, pages = {17}, year = {2022}, url = {https://doi.org/10.1186/s13326-022-00272-6}, doi = {10.1186/S13326-022-00272-6}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biomedsem/BinkhederWQZZCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biomedsem/BinkhederWQZZCW22a, author = {Samar Binkheder and Heng{-}Yi Wu and Sara K. Quinney and Shijun Zhang and Md. Muntasir Zitu and Chienwei Chiang and Lei Wang and Josette Jones and Lang Li}, title = {Correction: PhenoDEF: a corpus for annotating sentences with information of phenotype definitions in biomedical literature}, journal = {J. Biomed. Semant.}, volume = {13}, number = {1}, pages = {20}, year = {2022}, url = {https://doi.org/10.1186/s13326-022-00275-3}, doi = {10.1186/S13326-022-00275-3}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biomedsem/BinkhederWQZZCW22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/TanZKWZZW22, author = {Yaqin Tan and Juan Zou and Linai Kuang and Xiangyi Wang and Bin Zeng and Zhen Zhang and Lei Wang}, title = {{GSAMDA:} a computational model for predicting potential microbe-drug associations based on graph attention network and sparse autoencoder}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {492}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-05053-7}, doi = {10.1186/S12859-022-05053-7}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/TanZKWZZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/LiHWZCWX22, author = {Guixiang Li and Dequn Huang and Lei Wang and Jing Zhou and Jun Chen and Kai Wu and Weikang Xu}, title = {A new method of detecting the characteristic waves and their onset and end in electrocardiogram signals}, journal = {Biomed. Signal Process. Control.}, volume = {75}, pages = {103607}, year = {2022}, url = {https://doi.org/10.1016/j.bspc.2022.103607}, doi = {10.1016/J.BSPC.2022.103607}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/LiHWZCWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/LiGTWWPLXL22, author = {Xixing Li and Xing Guo and Hongtao Tang and Rui Wu and Lei Wang and Shibao Pang and Zhengchao Liu and Wenxiang Xu and Xin Li}, title = {Survey of integrated flexible job shop scheduling problems}, journal = {Comput. Ind. Eng.}, volume = {174}, pages = {108786}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2022.108786}, doi = {10.1016/J.CIE.2022.108786}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/LiGTWWPLXL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccfthpc/YangWWWGSZX22, author = {Zhijie Yang and Lei Wang and Yao Wang and Zhong Wan and Rui Gong and Wei Shi and Jingwei Zhu and Weixia Xu}, title = {Lotus: a memory organization for loose and tight coupling neurons in neuromorphic architecture}, journal = {{CCF} Trans. High Perform. Comput.}, volume = {4}, number = {4}, pages = {448--460}, year = {2022}, url = {https://doi.org/10.1007/s42514-022-00113-z}, doi = {10.1007/S42514-022-00113-Z}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ccfthpc/YangWWWGSZX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/WangFPWZZ22, author = {Lei Wang and Shibo Fang and Zhifang Pei and Dong Wu and Yongchao Zhu and Wen Zhuo}, title = {Developing machine learning models with multisource inputs for improved land surface soil moisture in China}, journal = {Comput. Electron. Agric.}, volume = {192}, pages = {106623}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2021.106623}, doi = {10.1016/J.COMPAG.2021.106623}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/WangFPWZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/XuYWZBG22, author = {Xingmiao Xu and Cihui Yang and Lei Wang and Jingting Zhong and Wuzhida Bao and Jianyong Guo}, title = {A sophisticated offline network developed for recognizing handwritten Chinese character efficiently}, journal = {Comput. Electr. Eng.}, volume = {100}, pages = {107857}, year = {2022}, url = {https://doi.org/10.1016/j.compeleceng.2022.107857}, doi = {10.1016/J.COMPELECENG.2022.107857}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/XuYWZBG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/LiWJZZ22, author = {Shujie Li and Lei Wang and Wei Jia and Yang Zhao and Liping Zheng}, title = {An iterative solution for improving the generalization ability of unsupervised skeleton motion retargeting}, journal = {Comput. Graph.}, volume = {104}, pages = {129--139}, year = {2022}, url = {https://doi.org/10.1016/j.cag.2022.04.001}, doi = {10.1016/J.CAG.2022.04.001}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cg/LiWJZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ChengWZWY22, author = {Xiaoming Cheng and Lei Wang and Pengchao Zhang and Xinkuan Wang and Qunmin Yan}, title = {Short-term fast forecasting based on family behavior pattern recognition for small-scale users load}, journal = {Clust. Comput.}, volume = {25}, number = {3}, pages = {2107--2123}, year = {2022}, url = {https://doi.org/10.1007/s10586-021-03362-9}, doi = {10.1007/S10586-021-03362-9}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/ChengWZWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/YeeMPCLCMWB22, author = {Evangeline Yee and Da Ma and Karteek Popuri and Shuo Chen and Hyunwoo Lee and Vincent Chow and Cydney Ma and Lei Wang and Mirza Faisal Beg}, title = {3D hemisphere-based convolutional neural network for whole-brain {MRI} segmentation}, journal = {Comput. Medical Imaging Graph.}, volume = {95}, pages = {102000}, year = {2022}, url = {https://doi.org/10.1016/j.compmedimag.2021.102000}, doi = {10.1016/J.COMPMEDIMAG.2021.102000}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmig/YeeMPCLCMWB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/SunLCJLWTCW22, author = {Qinghua Sun and Chunmiao Liang and Tianrui Chen and Bing Ji and Rugang Liu and Lei Wang and Min Tang and Yuguo Chen and Cong Wang}, title = {Early detection of myocardial ischemia in 12-lead {ECG} using deterministic learning and ensemble learning}, journal = {Comput. Methods Programs Biomed.}, volume = {226}, pages = {107124}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.107124}, doi = {10.1016/J.CMPB.2022.107124}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/SunLCJLWTCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/PengNSRWSX22, author = {Songtao Peng and Jiaqi Nie and Xincheng Shu and Zhongyuan Ruan and Lei Wang and Yunxuan Sheng and Qi Xuan}, title = {A multi-view framework for {BGP} anomaly detection via graph attention network}, journal = {Comput. Networks}, volume = {214}, pages = {109129}, year = {2022}, url = {https://doi.org/10.1016/j.comnet.2022.109129}, doi = {10.1016/J.COMNET.2022.109129}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/PengNSRWSX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/WangC22, author = {Lei Wang and Haoxun Chen}, title = {Optimization of a stochastic joint replenishment inventory system with service level constraints}, journal = {Comput. Oper. Res.}, volume = {148}, pages = {106001}, year = {2022}, url = {https://doi.org/10.1016/j.cor.2022.106001}, doi = {10.1016/J.COR.2022.106001}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/WangC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ZhangGWL22, author = {Tianheng Zhang and Miaomiao Guo and Lei Wang and Mengfan Li}, title = {Brain fatigue analysis from virtual reality visual stimulation based on Granger Causality}, journal = {Displays}, volume = {73}, pages = {102219}, year = {2022}, url = {https://doi.org/10.1016/j.displa.2022.102219}, doi = {10.1016/J.DISPLA.2022.102219}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/ZhangGWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/LiuWYX22, author = {Zhigang Liu and Lei Wang and Ziyang Yin and Yanbo Xue}, title = {Task-driven joint dictionary learning model for multi-view human action recognition}, journal = {Digit. Signal Process.}, volume = {126}, pages = {103487}, year = {2022}, url = {https://doi.org/10.1016/j.dsp.2022.103487}, doi = {10.1016/J.DSP.2022.103487}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dsp/LiuWYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ZhangZLHSW22, author = {Shihui Zhang and Xiaoxiao Zhang and He Li and Huan He and Dandan Song and Lei Wang}, title = {Hierarchical pyramid attentive network with spatial separable convolution for crowd counting}, journal = {Eng. Appl. Artif. Intell.}, volume = {108}, pages = {104563}, year = {2022}, url = {https://doi.org/10.1016/j.engappai.2021.104563}, doi = {10.1016/J.ENGAPPAI.2021.104563}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/ZhangZLHSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/HuZCW22, author = {Li Hu and Yajie Zhang and Sai{-}Ho Chung and Lei Wang}, title = {Two-tier price membership mechanism design based on user profiles}, journal = {Electron. Commer. Res. Appl.}, volume = {52}, pages = {101130}, year = {2022}, url = {https://doi.org/10.1016/j.elerap.2022.101130}, doi = {10.1016/J.ELERAP.2022.101130}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecra/HuZCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/YuWWZ22, author = {Meihong Yu and Chen Wang and Lei Wang and Hongxia Zhao}, title = {Optimization Design and Performance Evaluation of R1234yf Ejectors for Ejector-Based Refrigeration Systems}, journal = {Entropy}, volume = {24}, number = {11}, pages = {1632}, year = {2022}, url = {https://doi.org/10.3390/e24111632}, doi = {10.3390/E24111632}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/YuWWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WangGZTX22, author = {Lei Wang and Tianyi Gao and Bin Zhou and Hongtao Tang and Feng Xiang}, title = {Manufacturing service recommendation method toward industrial internet platform considering the cooperative relationship among enterprises}, journal = {Expert Syst. Appl.}, volume = {192}, pages = {116391}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2021.116391}, doi = {10.1016/J.ESWA.2021.116391}, timestamp = {Thu, 03 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/WangGZTX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/WangZL22, author = {Lei Wang and Xingyu Zhao and Dongliang Liu}, title = {Size-controlled cross-scale robust topology optimization based on adaptive subinterval dimension-wise method considering interval uncertainties}, journal = {Eng. Comput.}, volume = {38}, number = {6}, pages = {5321--5338}, year = {2022}, url = {https://doi.org/10.1007/s00366-022-01615-8}, doi = {10.1007/S00366-022-01615-8}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ewc/WangZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/LiuWL22, author = {Yaru Liu and Lei Wang and Dongliang Liu}, title = {Uncertain dynamic topology optimization based on the interval reliability evaluation and equivalent static loads (ESLs) algorithm}, journal = {Eng. Comput.}, volume = {38}, number = {6}, pages = {5657--5674}, year = {2022}, url = {https://doi.org/10.1007/s00366-022-01737-z}, doi = {10.1007/S00366-022-01737-Z}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ewc/LiuWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/NiWLWL22, author = {Bowen Ni and Xiaojun Wang and Tangqi Lv and Lei Wang and Zeshang Li}, title = {Non-probabilistic thermo-elastic reliability-based topology optimization {(NTE-RBTO)} of composite laminates with interval uncertainties}, journal = {Eng. Comput.}, volume = {38}, number = {6}, pages = {5713--5732}, year = {2022}, url = {https://doi.org/10.1007/s00366-022-01761-z}, doi = {10.1007/S00366-022-01761-Z}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ewc/NiWLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcomp/XueQWGYLWCW22, author = {Hongwei Xue and Guangwu Qian and Xiaofen Wu and Yan Gao and Hongguang Yang and Mingqian Liu and Lei Wang and Renfei Chen and Peijun Wang}, title = {A coarse-to-fine and automatic algorithm for breast diagnosis on multi-series {MRI} images}, journal = {Frontiers Comput. Sci.}, volume = {4}, year = {2022}, url = {https://doi.org/10.3389/fcomp.2022.1054158}, doi = {10.3389/FCOMP.2022.1054158}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fcomp/XueQWGYLWCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/WangRLZ22, author = {Lei Wang and Chunhui Ren and Renting Liu and Zhi Zheng}, title = {Direction-of-Arrival Estimation for Nested Array Using Mixed-Resolution ADCs}, journal = {{IEEE} Commun. Lett.}, volume = {26}, number = {8}, pages = {1868--1872}, year = {2022}, url = {https://doi.org/10.1109/LCOMM.2022.3178617}, doi = {10.1109/LCOMM.2022.3178617}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/WangRLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/XuFZLW22, author = {Qian Xu and Zao Fu and Bo Zou and Hongzhe Liu and Lei Wang}, title = {Push-Sum Based Algorithm for Constrained Convex Optimization Problem and Its Potential Application in Smart Grid}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {9}, number = {10}, pages = {1889--1891}, year = {2022}, url = {https://doi.org/10.1109/JAS.2022.105890}, doi = {10.1109/JAS.2022.105890}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeejas/XuFZLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/SunWQZ22, author = {Hangjin Sun and Lei Wang and Zhaoyang Qiu and Qi Zhang}, title = {Analysis of Sampling Aperture Impact on Nyquist Folding Receiver Output}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {105-A}, number = {12}, pages = {1616--1620}, year = {2022}, url = {https://doi.org/10.1587/transfun.2022eal2014}, doi = {10.1587/TRANSFUN.2022EAL2014}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/SunWQZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcia/DengLWW22, author = {Xiongshi Deng and Min Li and Lei Wang and Qikang Wan}, title = {{RFCBF:} Enhance the Performance and Stability of Fast Correlation-Based Filter}, journal = {Int. J. Comput. Intell. Appl.}, volume = {21}, number = {2}, pages = {2250009:1--2250009:18}, year = {2022}, url = {https://doi.org/10.1142/S1469026822500092}, doi = {10.1142/S1469026822500092}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcia/DengLWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/HanCCSWF22, author = {Cundi Han and Yiming Chen and Gang Cheng and Roger Serra and Lei Wang and Junyao Feng}, title = {Numerical analysis of axially non-linear viscoelastic string with the variable fractional order model by using Bernstein polynomials algorithm}, journal = {Int. J. Comput. Math.}, volume = {99}, number = {3}, pages = {537--552}, year = {2022}, url = {https://doi.org/10.1080/00207160.2021.1924367}, doi = {10.1080/00207160.2021.1924367}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/HanCCSWF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/LiWQ22, author = {Jingzhao Li and Lei Wang and Xiaowei Qin}, title = {Distributed energy management study based on blockchain technology}, journal = {Int. J. Comput. Sci. Eng.}, volume = {25}, number = {2}, pages = {222--233}, year = {2022}, url = {https://doi.org/10.1504/IJCSE.2022.122209}, doi = {10.1504/IJCSE.2022.122209}, timestamp = {Tue, 10 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/LiWQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/TengWRL22, author = {Fei Teng and Lei Wang and Lili Rong and Peide Liu}, title = {Probabilistic Linguistic {Z} Number Decision-Making Method for Multiple Attribute Group Decision-Making Problems with Heterogeneous Relationships and Incomplete Probability Information}, journal = {Int. J. Fuzzy Syst.}, volume = {24}, number = {1}, pages = {552--573}, year = {2022}, url = {https://doi.org/10.1007/s40815-021-01161-3}, doi = {10.1007/S40815-021-01161-3}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/TengWRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/RongWL22, author = {Lili Rong and Lei Wang and Peide Liu}, title = {Supermarket fresh food suppliers evaluation and selection with multigranularity unbalanced hesitant fuzzy linguistic information based on prospect theory and evidential theory}, journal = {Int. J. Intell. Syst.}, volume = {37}, number = {3}, pages = {1931--1971}, year = {2022}, url = {https://doi.org/10.1002/int.22761}, doi = {10.1002/INT.22761}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijis/RongWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisscm/WangHSL22, author = {Lei Wang and Rongjing Huang and Chao Shen and Guofu Li}, title = {Hospital Employee Performance Evaluation Based on Knowledge Map}, journal = {Int. J. Inf. Syst. Supply Chain Manag.}, volume = {15}, number = {5}, pages = {1--21}, year = {2022}, url = {https://doi.org/10.4018/ijisscm.306251}, doi = {10.4018/IJISSCM.306251}, timestamp = {Sun, 13 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisscm/WangHSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/XuLYWCLFZ22, author = {Zhenghua Xu and Shijie Liu and Di Yuan and Lei Wang and Junyang Chen and Thomas Lukasiewicz and Zhigang Fu and Rui Zhang}, title = {{\(\omega\)}-net: Dual supervised medical image segmentation with multi-dimensional self-attention and diversely-connected multi-scale convolution}, journal = {Neurocomputing}, volume = {500}, pages = {177--190}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.05.053}, doi = {10.1016/J.NEUCOM.2022.05.053}, timestamp = {Fri, 01 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/XuLYWCLFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/PanSW22, author = {Anqi Pan and Bo Shen and Lei Wang}, title = {Ensemble of resource allocation strategies in decision and objective spaces for multiobjective optimization}, journal = {Inf. Sci.}, volume = {605}, pages = {393--412}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2022.05.005}, doi = {10.1016/J.INS.2022.05.005}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/PanSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/SalamDW22, author = {Al Farooq Salam and Hua Dai and Lei Wang}, title = {Online Users' Identity Theft and Coping Strategies, Attribution and Sense of Urgency: {A} Non-Linear Quadratic Effect Assessment}, journal = {Inf. Syst. Frontiers}, volume = {24}, number = {6}, pages = {1929--1948}, year = {2022}, url = {https://doi.org/10.1007/s10796-021-10194-w}, doi = {10.1007/S10796-021-10194-W}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/SalamDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/WangHHLZ22, author = {Lei Wang and Sheng Huang and Luwen Huangfu and Bo Liu and Xiaohong Zhang}, title = {Multi-label out-of-distribution detection via exploiting sparsity and co-occurrence of labels}, journal = {Image Vis. Comput.}, volume = {126}, pages = {104548}, year = {2022}, url = {https://doi.org/10.1016/j.imavis.2022.104548}, doi = {10.1016/J.IMAVIS.2022.104548}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/WangHHLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/LiZXLZAZKZWYLJY22, author = {Xiao Li and Lun Zhang and Jing Xu and Chenyu Liu and Xiaojian Zhang and Amr Abbas Abdelmoneim and Qian Zhang and Jiaqi Ke and Yingnan Zhang and Lei Wang and Fan Yang and Cheng Luo and Jia Jin and Fei Ye}, title = {Identification, Synthesis, and Biological Evaluations of Potent Inhibitors Targeting Type {I} Protein Arginine Methyltransferases}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {3}, pages = {692--702}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.1c01100}, doi = {10.1021/ACS.JCIM.1C01100}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/LiZXLZAZKZWYLJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ZhengWXLXL22, author = {Suqing Zheng and Lei Wang and Jun Xiong and Guang Liang and Yong Xu and Fu Lin}, title = {Consensus Prediction of Human Gut Microbiota-Mediated Metabolism Susceptibility for Small Molecules by Machine Learning, Structural Alerts, and Dietary Compounds-Based Average Similarity Methods}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {4}, pages = {1078--1099}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.1c00948}, doi = {10.1021/ACS.JCIM.1C00948}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/ZhengWXLXL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcloudc/ChenQW22, author = {Haiming Chen and Wei Qin and Lei Wang}, title = {Task partitioning and offloading in IoT cloud-edge collaborative computing framework: a survey}, journal = {J. Cloud Comput.}, volume = {11}, pages = {86}, year = {2022}, url = {https://doi.org/10.1186/s13677-022-00365-8}, doi = {10.1186/S13677-022-00365-8}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcloudc/ChenQW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/ZhouHLWWGLLZ22, author = {Xiaoming Zhou and Bo Hu and Guangao Li and Lei Wang and Gang Wang and Weiqi Gao and Yang Liu and Wei Liu and Zhenliu Zhou}, title = {A method of lightweight flexible terminal device authentication in the power internet of things}, journal = {J. Comput. Methods Sci. Eng.}, volume = {22}, number = {3}, pages = {791--800}, year = {2022}, url = {https://doi.org/10.3233/JCM-225923}, doi = {10.3233/JCM-225923}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/ZhouHLWWGLLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/Wang22e, author = {Lei Wang}, title = {Speech denoising algorithm and artificial intelligence application in network security}, journal = {J. Comput. Methods Sci. Eng.}, volume = {22}, number = {6}, pages = {2243--2255}, year = {2022}, url = {https://doi.org/10.3233/JCM-226368}, doi = {10.3233/JCM-226368}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/Wang22e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/GeZWL22, author = {Chao Ge and Yaxin Zhang and Lei Wang and Yajuan Liu}, title = {Non-fragile control with event-triggered scheme for networked control systems under probabilistic time-varying delays}, journal = {J. Frankl. Inst.}, volume = {359}, number = {10}, pages = {4856--4873}, year = {2022}, url = {https://doi.org/10.1016/j.jfranklin.2022.04.009}, doi = {10.1016/J.JFRANKLIN.2022.04.009}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfi/GeZWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgim/HuangW22, author = {Shenwei Huang and Lei Wang}, title = {An Exploration Study on the Consequence of the {COVID-19} Pandemic on Online Q{\&}A Communities}, journal = {J. Glob. Inf. Manag.}, volume = {30}, number = {1}, pages = {1--22}, year = {2022}, url = {https://doi.org/10.4018/jgim.301603}, doi = {10.4018/JGIM.301603}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgim/HuangW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiuWGL22, author = {Yaru Liu and Lei Wang and Kaixuan Gu and Min Li}, title = {Artificial Neural Network {(ANN)} - Bayesian Probability Framework {(BPF)} based method of dynamic force reconstruction under multi-source uncertainties}, journal = {Knowl. Based Syst.}, volume = {237}, pages = {107796}, year = {2022}, url = {https://doi.org/10.1016/j.knosys.2021.107796}, doi = {10.1016/J.KNOSYS.2021.107796}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/LiuWGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LiuJWX22, author = {Jingjing Liu and Baogang Jin and Lei Wang and Lingyu Xu}, title = {Sea Surface Height Prediction With Deep Learning Based on Attention Mechanism}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2020.3039062}, doi = {10.1109/LGRS.2020.3039062}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/LiuJWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/Deng0DW22, author = {Xiongshi Deng and Min Li and Shaobo Deng and Lei Wang}, title = {Hybrid gene selection approach using XGBoost and multi-objective genetic algorithm for cancer classification}, journal = {Medical Biol. Eng. Comput.}, volume = {60}, number = {3}, pages = {663--681}, year = {2022}, url = {https://doi.org/10.1007/s11517-021-02476-x}, doi = {10.1007/S11517-021-02476-X}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/Deng0DW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcs/WangZGWL22, author = {Yan Wang and Zhiwei Zhao and Chao Ge and Lei Wang and Yajuan Liu}, title = {Networked control systems with probabilistic time-varying delay based on event-triggered non-fragile H{\(\infty\)} control}, journal = {Math. Comput. Simul.}, volume = {202}, pages = {206--222}, year = {2022}, url = {https://doi.org/10.1016/j.matcom.2022.05.028}, doi = {10.1016/J.MATCOM.2022.05.028}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mcs/WangZGWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WangZHZS22, author = {Lei Wang and Shihui Zhang and Huan He and Xiaoxiao Zhang and Yu Sang}, title = {A hierarchical residual network with compact triplet-center loss for sketch recognition}, journal = {Multim. Tools Appl.}, volume = {81}, number = {11}, pages = {15879--15899}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12431-z}, doi = {10.1007/S11042-022-12431-Z}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WangZHZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XiongYYWWZWLCLH22, author = {Guo{-}Li Xiong and Zhi{-}Jiang Yang and Jia{-}Cai Yi and Ningning Wang and Lei Wang and Huimin Zhu and Chengkun Wu and Ai{-}Ping Lu and Xiang Chen and Shao Liu and Tingjun Hou and Dongsheng Cao}, title = {DDInter: an online drug-drug interaction database towards improving clinical decision-making and patient safety}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {1200--1207}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab880}, doi = {10.1093/NAR/GKAB880}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XiongYYWWZWLCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/HeMPWY22, author = {Wenlei He and Juli{\'{a}}n Mestre and Sergey Pupyrev and Lei Wang and Hongtao Yu}, title = {Profile inference revisited}, journal = {Proc. {ACM} Program. Lang.}, volume = {6}, number = {{POPL}}, pages = {1--24}, year = {2022}, url = {https://doi.org/10.1145/3498714}, doi = {10.1145/3498714}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmpl/HeMPWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/WangZWS22, author = {Lei Wang and Jiangguo Zhang and Dali Wang and Chen Song}, title = {Membrane contact probability: An essential and predictive character for the structural and functional studies of membrane proteins}, journal = {PLoS Comput. Biol.}, volume = {18}, number = {3}, year = {2022}, url = {https://doi.org/10.1371/journal.pcbi.1009972}, doi = {10.1371/JOURNAL.PCBI.1009972}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/WangZWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/LiuWWHWLC22, author = {Enze Liu and Xue Wu and Lei Wang and Yang Huo and Huanmei Wu and Lang Li and Lijun Cheng}, title = {{DSCN:} Double-target selection guided by {CRISPR} screening and network}, journal = {PLoS Comput. Biol.}, volume = {18}, number = {8}, year = {2022}, url = {https://doi.org/10.1371/journal.pcbi.1009421}, doi = {10.1371/JOURNAL.PCBI.1009421}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/LiuWWHWLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangWHHLXZSDWM22, author = {Yangjian Zhang and Li Wang and Yuanhuizi He and Ni Huang and Wang Li and Shiguang Xu and Quan Zhou and Wanjuan Song and Wensheng Duan and Xiaoyue Wang and Shakir Muhammad and Biswajit Nath and Luying Zhu and Feng Tang and Huilin Du and Lei Wang and Zheng Niu}, title = {A Continuous Change Tracker Model for Remote Sensing Time Series Reconstruction}, journal = {Remote. Sens.}, volume = {14}, number = {9}, pages = {2280}, year = {2022}, url = {https://doi.org/10.3390/rs14092280}, doi = {10.3390/RS14092280}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangWHHLXZSDWM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangWYPLWYC22, author = {Annan Yang and Chunmei Wang and Qinke Yang and Guowei Pang and Yongqing Long and Lei Wang and Lijuan Yang and Richard M. Cruse}, title = {Choosing the Right Horizontal Resolution for Gully Erosion Susceptibility Mapping Using Machine Learning Algorithms: {A} Case in Highly Complex Terrain}, journal = {Remote. Sens.}, volume = {14}, number = {11}, pages = {2580}, year = {2022}, url = {https://doi.org/10.3390/rs14112580}, doi = {10.3390/RS14112580}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YangWYPLWYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuWHCW22, author = {Yixu Liu and Lei Wang and Liangliang Hu and Haonan Cui and Shengli Wang}, title = {Analysis of the Influence of Attitude Error on Underwater Positioning and Its High-Precision Realization Algorithm}, journal = {Remote. Sens.}, volume = {14}, number = {16}, pages = {3878}, year = {2022}, url = {https://doi.org/10.3390/rs14163878}, doi = {10.3390/RS14163878}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuWHCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhaoZTSWLXLLZY22, author = {Kailiang Zhao and Guofeng Zhu and Huali Tong and Liyuan Sang and Lei Wang and Yuwei Liu and Yuanxiao Xu and Jiawei Liu and Xinrui Lin and Wenhao Zhang and Linlin Ye}, title = {Spatial and Temporal Distribution of Cloud Water in the Yellow River Basin, China}, journal = {Remote. Sens.}, volume = {14}, number = {17}, pages = {4166}, year = {2022}, url = {https://doi.org/10.3390/rs14174166}, doi = {10.3390/RS14174166}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhaoZTSWLXLLZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GaoSWW22, author = {Wenlong Gao and Yongfu Sun and Lei Wang and Shengli Wang}, title = {VMD-WT-Based Method for Extracting On-the-Fly {GNSS} Tide Level and Its Realization}, journal = {Remote. Sens.}, volume = {14}, number = {19}, pages = {4816}, year = {2022}, url = {https://doi.org/10.3390/rs14194816}, doi = {10.3390/RS14194816}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/GaoSWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MaXCWY22, author = {Xuan Ma and Fei Xie and Xiaosong Chen and Lei Wang and Guanyu Yang}, title = {Identifying a Leading Predictor of Arctic Stratospheric Ozone for April Precipitation in Eastern North America}, journal = {Remote. Sens.}, volume = {14}, number = {19}, pages = {5040}, year = {2022}, url = {https://doi.org/10.3390/rs14195040}, doi = {10.3390/RS14195040}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/MaXCWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZouWWCGZ22, author = {Yibo Zou and Xiaoliang Wang and Lei Wang and Ke Chen and Yan Ge and Linlin Zhao}, title = {A High-Quality Instance-Segmentation Network for Floating-Algae Detection Using {RGB} Images}, journal = {Remote. Sens.}, volume = {14}, number = {24}, pages = {6247}, year = {2022}, url = {https://doi.org/10.3390/rs14246247}, doi = {10.3390/RS14246247}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZouWWCGZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/YangPCZWZ22, author = {Yiming Yang and Jianxin Peng and C. S. Cai and Yadong Zhou and Lei Wang and Jianren Zhang}, title = {Time-dependent reliability assessment of aging structures considering stochastic resistance degradation process}, journal = {Reliab. Eng. Syst. Saf.}, volume = {217}, pages = {108105}, year = {2022}, url = {https://doi.org/10.1016/j.ress.2021.108105}, doi = {10.1016/J.RESS.2021.108105}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/YangPCZWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/WangLDS22, author = {Lei Wang and Qing Liu and Shiyu Dong and Carlos Guedes Soares}, title = {Selection of countermeasure portfolio for shipping safety with consideration of investment risk aversion}, journal = {Reliab. Eng. Syst. Saf.}, volume = {219}, pages = {108189}, year = {2022}, url = {https://doi.org/10.1016/j.ress.2021.108189}, doi = {10.1016/J.RESS.2021.108189}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/WangLDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/WangLL22, author = {Lei Wang and Yaru Liu and Min Li}, title = {Time-dependent reliability-based optimization for structural-topological configuration design under convex-bounded uncertain modeling}, journal = {Reliab. Eng. Syst. Saf.}, volume = {221}, pages = {108361}, year = {2022}, url = {https://doi.org/10.1016/j.ress.2022.108361}, doi = {10.1016/J.RESS.2022.108361}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/WangLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiFZDGMDHWW22, author = {Pengfei Li and Cailing Fu and Huajian Zhong and Bin Du and Kuikui Guo and Yanjie Meng and Chao Du and Jun He and Lei Wang and Yiping Wang}, title = {A Nondestructive Measurement Method of Optical Fiber Young's Modulus Based on {OFDR}}, journal = {Sensors}, volume = {22}, number = {4}, pages = {1450}, year = {2022}, url = {https://doi.org/10.3390/s22041450}, doi = {10.3390/S22041450}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiFZDGMDHWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangNZWGL22, author = {Yong Wang and Hehao Niu and Qingsong Zhao and Lei Wang and Yue Gao and Zhi Lin}, title = {{NC-OFDM} Satellite Communication Based on Compressed Spectrum Sensing}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3800}, year = {2022}, url = {https://doi.org/10.3390/s22103800}, doi = {10.3390/S22103800}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangNZWGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangW22b, author = {Lei Wang and Xili Wang}, title = {Dual-Coupled CNN-GCN-Based Classification for Hyperspectral and LiDAR Data}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5735}, year = {2022}, url = {https://doi.org/10.3390/s22155735}, doi = {10.3390/S22155735}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangW22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LvDLWQYR22, author = {Zhichao Lv and Libin Du and Huming Li and Lei Wang and Jixing Qin and Min Yang and Chao Ren}, title = {Influence of Temporal and Spatial Fluctuations of the Shallow Sea Acoustic Field on Underwater Acoustic Communication}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5795}, year = {2022}, url = {https://doi.org/10.3390/s22155795}, doi = {10.3390/S22155795}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LvDLWQYR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GongJLWWZ22, author = {Haimei Gong and Liangjun Jiang and Xiaoyang Liu and Yuanqi Wang and Lei Wang and Ke Zhang}, title = {Recover User's Private Training Image Data by Gradient in Federated Learning}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7157}, year = {2022}, url = {https://doi.org/10.3390/s22197157}, doi = {10.3390/S22197157}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GongJLWWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangWHLZWZWK22, author = {Yan Zhang and Yong Wang and Yihua Hu and Zhi Lin and Yadi Zhai and Lei Wang and Qingsong Zhao and Kang Wen and Linshuang Kang}, title = {Security Performance Analysis of {LEO} Satellite Constellation Networks under DDoS Attack}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7286}, year = {2022}, url = {https://doi.org/10.3390/s22197286}, doi = {10.3390/S22197286}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhangWHLZWZWK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YanXWZ22, author = {Xuguo Yan and Xuhui Xia and Lei Wang and Zelin Zhang}, title = {A Cotraining-Based Semisupervised Approach for Remaining-Useful-Life Prediction of Bearings}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7766}, year = {2022}, url = {https://doi.org/10.3390/s22207766}, doi = {10.3390/S22207766}, timestamp = {Mon, 21 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YanXWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sis/BiLBWX22, author = {Shuhui Bi and Zhihao Li and Mackenzie Brown and Lei Wang and Yuan Xu}, title = {Dynamic Weighted and Heat-map Integrated Scalable Information Path-planning Algorithm}, journal = {{EAI} Endorsed Trans. Scalable Inf. Syst.}, volume = {10}, number = {2}, pages = {e5}, year = {2022}, url = {https://doi.org/10.4108/eetsis.v9i5.1567}, doi = {10.4108/EETSIS.V9I5.1567}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sis/BiLBWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/WangCSCG22, author = {Lei Wang and Mingyue Chu and Congbing Sheng and Peng Chen and Guanlong Gao}, title = {Decision support system for real-time segmentation and identification algorithm for wires in mobile terminals using fuzzy {AHP} method}, journal = {Soft Comput.}, volume = {26}, number = {20}, pages = {10915--10926}, year = {2022}, url = {https://doi.org/10.1007/s00500-022-07197-3}, doi = {10.1007/S00500-022-07197-3}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/WangCSCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/XiaCWWLWSYXSWZL22, author = {Tao Xia and Xuefeng Chen and Yifan Wu and Yuwei Wang and Yuan Li and Lei Wang and Liujia Song and Hengwei Yu and Jiqing Xu and Miao Sun and Jier Wang and Lei Zhao and Zhihong Lin and Shenglong Zhuo and Patrick Yin Chiang}, title = {An 8-A Sub-1ns Pulsed {VCSEL} Driver {IC} With Built-In Pulse Monitor and Automatic Peak Current Control for Direct Time-of-Flight Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {11}, pages = {4193--4197}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3186542}, doi = {10.1109/TCSII.2022.3186542}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/XiaCWWLWSYXSWZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/WangLHCLWX22, author = {Lei Wang and Jianan Li and Bo Huang and Junjie Chen and Xiangmin Li and Jihui Wang and Tingfa Xu}, title = {Auto-Perceiving Correlation Filter for {UAV} Tracking}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {32}, number = {9}, pages = {5748--5761}, year = {2022}, url = {https://doi.org/10.1109/TCSVT.2022.3155731}, doi = {10.1109/TCSVT.2022.3155731}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/WangLHCLWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/YangSWYWXZZ22, author = {Yehui Yang and Fangxin Shang and Binghong Wu and Dalu Yang and Lei Wang and Yanwu Xu and Wensheng Zhang and Tianzhu Zhang}, title = {Robust Collaborative Learning of Patch-Level and Image-Level Annotations for Diabetic Retinopathy Grading From Fundus Image}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {11}, pages = {11407--11417}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2021.3062638}, doi = {10.1109/TCYB.2021.3062638}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcyb/YangSWYWXZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/XuLWLYLLYLWLYJY22, author = {Rui Xu and Honglei Lin and Meizhu Wang and Bin Liu and Wei Yan and Chengyu Liu and Gang Lv and Liyin Yuan and Chunlai Li and Rong Wang and Dawei Liu and Yazhou Yang and Ziqing Jiang and Benyong Yang and Lei Wang and Jiafei Xu and Jinning Li and Zhiping He}, title = {In-Flight Calibration of Visible and Near-Infrared Imaging Spectrometer {(VNIS)} Onboard Chang'E-4 Unmanned Lunar Rover}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--11}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2022.3215569}, doi = {10.1109/TGRS.2022.3215569}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/XuLWLYLLYLWLYJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChenXWZS22, author = {Jing Chen and Rong Xiao and Lei Wang and Lingling Zhu and Dawei Shi}, title = {Unveiling Interpretable Key Performance Indicators in Hypoxic Response: {A} System Identification Approach}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {12}, pages = {13676--13685}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3137618}, doi = {10.1109/TIE.2021.3137618}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChenXWZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/0008DZWCZQZ22, author = {Qi Wang and Na{-}Na Du and Wan{-}Ming Zhao and Lei Wang and Xue{-}Wei Cong and Aisong Zhu and Fengmei Qiu and Ke{-}Ke Zhang}, title = {Highly Sensitive U-Shaped Optical Fiber Refractometer Based on Bi\({}_{\mbox{2}}\)O\({}_{\mbox{2}}\)Se-Assisted Surface Plasmon Resonance}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--8}, year = {2022}, url = {https://doi.org/10.1109/TIM.2021.3129871}, doi = {10.1109/TIM.2021.3129871}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/0008DZWCZQZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/DongXWL022, author = {Jianwei Dong and Jianming Xu and Lei Wang and Andong Liu and Li Yu}, title = {External Force Estimation of the Industrial Robot Based on the Error Probability Model and {SWVAKF}}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--11}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3209742}, doi = {10.1109/TIM.2022.3209742}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/DongXWL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/YuCWW22, author = {Yating Yu and Ximeng Cheng and Lei Wang and Cong Wang}, title = {Convolutional Neural Network-Based Quantitative Evaluation for Corrosion Cracks in Oil/Gas Pipeline by Millimeter-Wave Imaging}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--9}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3204992}, doi = {10.1109/TIM.2022.3204992}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/YuCWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZhangWWZWL22, author = {Huaguang Zhang and Lei Wang and Jianfeng Wang and Fengyuan Zuo and Jifeng Wang and Jinhai Liu}, title = {A Pipeline Defect Inversion Method With Erratic {MFL} Signals Based on Cascading Abstract Features}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--11}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3152243}, doi = {10.1109/TIM.2022.3152243}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZhangWWZWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZhaoCLW22, author = {Xiaoxi Zhao and Gang Chen and Hao Liu and Lei Wang}, title = {A Multivariate Temperature Drift Modeling and Compensation Method for Large-Diameter High-Precision Fiber Optic Gyroscopes}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3181900}, doi = {10.1109/TIM.2022.3181900}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZhaoCLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZhuBWPHLZL22, author = {Chengliang Zhu and Zhenhao Bing and Lei Wang and Qingxia Piao and Sheng Hu and Jiangtao Lv and Yong Zhao and Hongpu Li}, title = {Reflective-Type Multiparameter Sensor Based on a Paired Helical Fiber Gratings and a Trapezoid-Like Microcavity}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--8}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3169556}, doi = {10.1109/TIM.2022.3169556}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZhuBWPHLZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/LiXCWZS22, author = {Xinyu Li and Yang Xu and Qi Chen and Lei Wang and Xiaohu Zhang and Wenzhong Shi}, title = {Short-Term Forecast of Bicycle Usage in Bike Sharing Systems: {A} Spatial-Temporal Memory Network}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {8}, pages = {10923--10934}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3097240}, doi = {10.1109/TITS.2021.3097240}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/LiXCWZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/LinXWW22, author = {Sheng{-}Hong Lin and Youyun Xu and Lei Wang and Jin{-}Yuan Wang}, title = {Coverage Analysis and Chance-Constrained Optimization for {HSR} Communications With Carrier Aggregation}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {9}, pages = {15107--15120}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3137030}, doi = {10.1109/TITS.2021.3137030}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/LinXWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/WangLCL22, author = {Lei Wang and Bin Lin and Rongli Chen and Kuan{-}Han Lu}, title = {Using data mining methods to develop manufacturing production rule in IoT environment}, journal = {J. Supercomput.}, volume = {78}, number = {3}, pages = {4526--4549}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-04034-6}, doi = {10.1007/S11227-021-04034-6}, timestamp = {Thu, 10 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/WangLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LiMWMW22, author = {Yun Li and Hui Ma and Lei Wang and Shiwen Mao and Guoyin Wang}, title = {Optimized Content Caching and User Association for Edge Computing in Densely Deployed Heterogeneous Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {21}, number = {6}, pages = {2130--2142}, year = {2022}, url = {https://doi.org/10.1109/TMC.2020.3033563}, doi = {10.1109/TMC.2020.3033563}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/LiMWMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmis/ChenCWL22, author = {Rongli Chen and Xiaozhong Chen and Lei Wang and Jianxin Li}, title = {The Core Industry Manufacturing Process of Electronics Assembly Based on Smart Manufacturing}, journal = {{ACM} Trans. Manag. Inf. Syst.}, volume = {13}, number = {4}, pages = {40:1--40:19}, year = {2022}, url = {https://doi.org/10.1145/3529098}, doi = {10.1145/3529098}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmis/ChenCWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/LiuXWWJCY22, author = {Chenxi Liu and Zhu Xiao and Dong Wang and Lei Wang and Hongbo Jiang and Hongyang Chen and Jiangxia Yu}, title = {Exploiting Spatiotemporal Correlations of Arrive-Stay-Leave Behaviors for Private Car Flow Prediction}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {2}, pages = {834--847}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2021.3137381}, doi = {10.1109/TNSE.2021.3137381}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/LiuXWWJCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/FangLMYWZKLZCC22, author = {Chongrong Fang and Haoyu Liu and Mao Miao and Jie Ye and Lei Wang and Wansheng Zhang and Daxiang Kang and Biao Lyu and Shunmin Zhu and Peng Cheng and Jiming Chen}, title = {Towards Automatic Root Cause Diagnosis of Persistent Packet Loss in Cloud Overlay Network}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {30}, number = {3}, pages = {1178--1192}, year = {2022}, url = {https://doi.org/10.1109/TNET.2021.3137557}, doi = {10.1109/TNET.2021.3137557}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/FangLMYWZKLZCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/WangL22, author = {Lei Wang and Xin Liu}, title = {Decentralized Optimization Over the Stiefel Manifold by an Approximate Augmented Lagrangian Function}, journal = {{IEEE} Trans. Signal Process.}, volume = {70}, pages = {3029--3041}, year = {2022}, url = {https://doi.org/10.1109/TSP.2022.3182883}, doi = {10.1109/TSP.2022.3182883}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/WangL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/WangSW22, author = {Lei Wang and Yu Sun and Zheng Wang}, title = {{CCS-GAN:} a semi-supervised generative adversarial network for image classification}, journal = {Vis. Comput.}, volume = {38}, number = {6}, pages = {2009--2021}, year = {2022}, url = {https://doi.org/10.1007/s00371-021-02262-8}, doi = {10.1007/S00371-021-02262-8}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/WangSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/DuanWZL22, author = {Yitong Duan and Lei Wang and Qizhong Zhang and Jian Li}, title = {FactorVAE: {A} Probabilistic Dynamic Factor Model Based on Variational Autoencoder for Predicting Cross-Sectional Stock Returns}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {4468--4476}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i4.20369}, doi = {10.1609/AAAI.V36I4.20369}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/DuanWZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiasim/WangZZTZ22, author = {Lei Wang and Lu Zhang and Jinming Zhang and Wei Tang and Xiaohui Zhang}, editor = {Wenhui Fan and Lin Zhang and Ni Li and Xiao Song}, title = {Day-Ahead Scheduling of {PV} Consumption in Distribution Networks Based on Demand Response of Multiple Types of Customer-Side Loads}, booktitle = {Methods and Applications for Modeling and Simulation of Complex Systems - 21st Asia Simulation Conference, AsiaSim 2022, Changsha, China, December 9-11, 2022, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1713}, pages = {211--224}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-19-9195-0\_18}, doi = {10.1007/978-981-19-9195-0\_18}, timestamp = {Wed, 08 Mar 2023 10:32:48 +0100}, biburl = {https://dblp.org/rec/conf/asiasim/WangZZTZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cacml/WangL22, author = {Lei Wang and Kunqin Li}, title = {Design of License Plate Recognition System Based on Image Processing}, booktitle = {Asia Conference on Algorithms, Computing and Machine Learning, {CACML} 2011, Hangzhou, China, March 25-27, 2022}, pages = {316--322}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CACML55074.2022.00060}, doi = {10.1109/CACML55074.2022.00060}, timestamp = {Thu, 01 Dec 2022 12:39:56 +0100}, biburl = {https://dblp.org/rec/conf/cacml/WangL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caibda/WangW22, author = {Lei Wang and Xili Wang}, title = {Multiscale Fusion Graph Convolutional Networks for Hyperspectral Image Classification}, booktitle = {{CAIBDA} 2022, 2nd International Conference on Artificial Intelligence, Big Data and Algorithms, Nanjing, China, 17-19 June 2022}, pages = {1--6}, publisher = {{VDE} / {IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/10104495}, timestamp = {Wed, 06 Dec 2023 14:08:12 +0100}, biburl = {https://dblp.org/rec/conf/caibda/WangW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccoms/HanYWCJZ22, author = {Wei Han and Jian Yang and Lei Wang and Xihai Chen and XiaoBing Jiang and JianXin Zhou}, title = {Design and Implementation of New Generation Substation Secondary Simulation Automatic Test System}, booktitle = {7th International Conference on Computer and Communication Systems, {ICCCS} 2022, Wuhan, China, April 22-25, 2022}, pages = {853--857}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCCS55155.2022.9846855}, doi = {10.1109/ICCCS55155.2022.9846855}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/ccoms/HanYWCJZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdve/WangRGWZ22, author = {Zheng Wang and Shedong Ren and Fangzhi Gui and Lei Wang and Yanwei Zhao}, editor = {Yuhua Luo}, title = {A Collaborative Modeling Method for Contradictory Problems in Extension Design Based on {RFBES}}, booktitle = {Cooperative Design, Visualization, and Engineering - 19th International Conference, {CDVE} 2022, Virtual Event, September 25-28, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13492}, pages = {214--224}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16538-2\_22}, doi = {10.1007/978-3-031-16538-2\_22}, timestamp = {Wed, 21 Sep 2022 18:26:35 +0200}, biburl = {https://dblp.org/rec/conf/cdve/WangRGWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicai/LiangLW22, author = {Zhiwei Liang and Lei Li and Lei Wang}, editor = {Lu Fang and Daniel Povey and Guangtao Zhai and Tao Mei and Ruiping Wang}, title = {Crowd-Oriented Behavior Simulation: Reinforcement Learning Framework Embedded with Emotion Model}, booktitle = {Artificial Intelligence - Second {CAAI} International Conference, {CICAI} 2022, Beijing, China, August 27-28, 2022, Revised Selected Papers, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13606}, pages = {195--207}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20503-3\_16}, doi = {10.1007/978-3-031-20503-3\_16}, timestamp = {Mon, 12 Feb 2024 16:07:12 +0100}, biburl = {https://dblp.org/rec/conf/cicai/LiangLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ZhuoZXWSWLWWLYX22, author = {Shenglong Zhuo and Lei Zhao and Tao Xia and Lei Wang and Shi Shi and Yifan Wu and Chang Liu and Chill Wang and Yuwei Wang and Yuan Li and Hengwei Yu and Jiqing Xu and Aaron Wang and Zhihong Lin and Yun Chen and Rui Bai and Xuefeng Chen and Patrick Yin Chiang}, title = {Solid-State dToF LiDAR System Using an Eight-Channel Addressable, 20W/Ch Transmitter, and a 128x128 {SPAD} Receiver with SNR-Based Pixel Binning and Resolution Upscaling}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772823}, doi = {10.1109/CICC53496.2022.9772823}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/ZhuoZXWSWLWWLYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/ZhangHHJHW22, author = {Shengyu Zhang and Mimi Hu and Junbiao Hong and Haoyu Jiang and Xianliang He and Lei Wang}, title = {A Fractal-Based Approach for Suppressing Chest Compression Noise in {ECG} Signal}, booktitle = {Computing in Cardiology, CinC 2022, Tampere, Finland, September 4-7, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.22489/CinC.2022.123}, doi = {10.22489/CINC.2022.123}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/ZhangHHJHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/ZhangKYJHWL22, author = {Shengyu Zhang and Yang Kang and Wenyu Ye and Haoyu Jiang and Xianliang He and Lei Wang and Yijing Li}, title = {Prediction of Deterioration in Critically Ill Patients with Heart Failure Based on Vital Signs Monitoring}, booktitle = {Computing in Cardiology, CinC 2022, Tampere, Finland, September 4-7, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.22489/CinC.2022.264}, doi = {10.22489/CINC.2022.264}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/ZhangKYJHWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cipae/WangB22, author = {Lei Wang and Yang Bai}, title = {Research on Career Guidance Course System based on Apriori Algorithm and Computer Big Data}, booktitle = {International Conference on Computers, Information Processing and Advanced Education, {CIPAE} 2022, Ottawa, ON, Canada, August 26-28, 2022}, pages = {136--140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CIPAE55637.2022.00036}, doi = {10.1109/CIPAE55637.2022.00036}, timestamp = {Tue, 21 Feb 2023 17:16:36 +0100}, biburl = {https://dblp.org/rec/conf/cipae/WangB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/XuZWTWY22, author = {Yongqiang Xu and Junfan Zhao and Jinting Wu and Yinhao Tang and Lei Wang and Gang Yao}, title = {Research and implementation of malicious traffic detection based on machine learning}, booktitle = {18th International Conference on Computational Intelligence and Security, {CIS} 2022, Chengdu, China, December 16-18, 2022}, pages = {132--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CIS58238.2022.00035}, doi = {10.1109/CIS58238.2022.00035}, timestamp = {Sat, 22 Apr 2023 16:25:52 +0200}, biburl = {https://dblp.org/rec/conf/cis/XuZWTWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csai/LiWW22, author = {Guodong Li and Lei Wang and Minzhong Wu}, title = {Crowd Behavior Intervention Based on Emotional Contagion}, booktitle = {Proceedings of the 6th International Conference on Computer Science and Artificial Intelligence, {CSAI} 2022, Beijing, China, December 9-11, 2022}, pages = {1--6}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3577530.3577531}, doi = {10.1145/3577530.3577531}, timestamp = {Thu, 28 Mar 2024 10:55:36 +0100}, biburl = {https://dblp.org/rec/conf/csai/LiWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csai/LiLW22, author = {Kang Li and Zining Li and Lei Wang}, title = {Research on Pedestrian Interaction Based on AgentNet}, booktitle = {Proceedings of the 6th International Conference on Computer Science and Artificial Intelligence, {CSAI} 2022, Beijing, China, December 9-11, 2022}, pages = {142--147}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3577530.3577553}, doi = {10.1145/3577530.3577553}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csai/LiLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csai/HuangLW22, author = {Zhi Huang and Lei Li and Lei Wang}, title = {Emotion-Based Crowd Model Evaluation Method Based on Features Distribution Distance}, booktitle = {Proceedings of the 6th International Conference on Computer Science and Artificial Intelligence, {CSAI} 2022, Beijing, China, December 9-11, 2022}, pages = {231--236}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3577530.3577567}, doi = {10.1145/3577530.3577567}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csai/HuangLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0001ZDCLZYWL22, author = {Jun Yu and Liwen Zhang and Shenshen Du and Hao Chang and Keda Lu and Zhong Zhang and Ye Yu and Lei Wang and Qiang Ling}, title = {Pseudo-label Generation and Various Data Augmentation for Semi-Supervised Hyperspectral Object Detection}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {304--311}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00045}, doi = {10.1109/CVPRW56347.2022.00045}, timestamp = {Mon, 29 Aug 2022 11:44:03 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0001ZDCLZYWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/RangnekarMV0SBY22, author = {Aneesh Rangnekar and Zachary Mulhollan and Anthony Vodacek and Matthew J. Hoffman and {\'{A}}ngel D. Sappa and Erik Blasch and Jun Yu and Liwen Zhang and Shenshen Du and Hao Chang and Keda Lu and Zhong Zhang and Fang Gao and Ye Yu and Feng Shuang and Lei Wang and Qiang Ling and Pranjay Shyam and Kuk{-}Jin Yoon and Kyung{-}Soo Kim}, title = {Semi-Supervised Hyperspectral Object Detection Challenge Results - {PBVS} 2022}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {389--397}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00054}, doi = {10.1109/CVPRW56347.2022.00054}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/RangnekarMV0SBY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShenWJ22, author = {Yao Shen and Lei Wang and Yue Jin}, title = {AAFormer: {A} Multi-Modal Transformer Network for Aerial Agricultural Images}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1704--1710}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00177}, doi = {10.1109/CVPRW56347.2022.00177}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ShenWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/DengTDMPWX22, author = {Yingying Deng and Fan Tang and Weiming Dong and Chongyang Ma and Xingjia Pan and Lei Wang and Changsheng Xu}, title = {StyTr\({}^{\mbox{2}}\): Image Style Transfer with Transformers}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {11316--11326}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01104}, doi = {10.1109/CVPR52688.2022.01104}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/DengTDMPWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cwsn/QinCW22, author = {Wei Qin and Haiming Chen and Lei Wang}, editor = {Huadong Ma and Xue Wang and Lianglun Cheng and Li Cui and Liang Liu and An Zeng}, title = {{PASD:} {A} Prioritized Action Sampling-Based Dueling {DQN} for Cloud-Edge Collaborative Computation Offloading in Industrial IoT}, booktitle = {Wireless Sensor Networks - 16th China Conference, {CWSN} 2022, Guangzhou, China, November 10-13, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1715}, pages = {19--30}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-19-8350-4\_2}, doi = {10.1007/978-981-19-8350-4\_2}, timestamp = {Fri, 15 Mar 2024 12:30:47 +0100}, biburl = {https://dblp.org/rec/conf/cwsn/QinCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DengZWLDTGHXLWY22, author = {Jinyi Deng and Linyun Zhang and Lei Wang and Jiawei Liu and Kexiang Deng and Shibin Tang and Jiangyuan Gu and Boxiao Han and Fei Xu and Leibo Liu and Shaojun Wei and Shouyi Yin}, editor = {Rob Oshana}, title = {Mixed-granularity parallel coarse-grained reconfigurable architecture}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {343--348}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530454}, doi = {10.1145/3489517.3530454}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DengZWLDTGHXLWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangWWPCXWX22, author = {Zhijie Yang and Lei Wang and Yao Wang and LingHui Peng and Xiaofan Chen and Xun Xiao and Yaohua Wang and Weixia Xu}, editor = {Rob Oshana}, title = {Unicorn: a multicore neuromorphic processor with flexible fan-in and unconstrained fan-out for neurons}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {943--948}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530563}, doi = {10.1145/3489517.3530563}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YangWWPCXWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/ChenWDD22, author = {Yan Chen and Lei Wang and Liang Du and Lei Duan}, editor = {Arnab Bhattacharya and Janice Lee and Mong Li and Divyakant Agrawal and P. Krishna Reddy and Mukesh K. Mohania and Anirban Mondal and Vikram Goyal and Rage Uday Kiran}, title = {A Trace Ratio Maximization Method for Parameter Free Multiple Kernel Clustering}, booktitle = {Database Systems for Advanced Applications - 27th International Conference, {DASFAA} 2022, Virtual Event, April 11-14, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13246}, pages = {681--688}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-00126-0\_52}, doi = {10.1007/978-3-031-00126-0\_52}, timestamp = {Fri, 29 Apr 2022 14:50:38 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/ChenWDD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiangWSGZ22, author = {Tailin Liang and Lei Wang and Shaobo Shi and John Glossner and Xiaotong Zhang}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {{TCX:} {A} Programmable Tensor Processor}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1023--1028}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774626}, doi = {10.23919/DATE54114.2022.9774626}, timestamp = {Sun, 12 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiangWSGZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/XieGTWW22, author = {Nanjiang Xie and Zheng Gong and Yufeng Tang and Lei Wang and Yamin Wen}, title = {Protecting White-Box Block Ciphers with Galois/Counter Mode}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2022, Edinburgh, UK, June 22-24, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSC54232.2022.9888845}, doi = {10.1109/DSC54232.2022.9888845}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/desec/XieGTWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsa/ZhaoDWYLWXGTW22, author = {Yaling Zhao and Hongbo Du and Hai Wang and Chunlai Yang and Yongmin Liu and Lei Wang and Manman Xu and Jingsong Gui and Tielong Tan and Xiangdong Wang}, title = {Weld Image Recognition based on Deep Learning}, booktitle = {9th International Conference on Dependable Systems and Their Applications, {DSA} 2022, Wulumuqi, China, August 4-5, 2022}, pages = {241--248}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSA56465.2022.00041}, doi = {10.1109/DSA56465.2022.00041}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsa/ZhaoDWYLWXGTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsa/DuZWYLWXGTW22, author = {Hongbo Du and Yaling Zhao and Hai Wang and Chunlai Yang and Yongmin Liu and Lei Wang and Manman Xu and Jingsong Gui and Tielong Tan and Xiangdong Wang}, title = {Research on Key Technologies of Human Upper Limb Bone Diagnosis based on Convolutional Neural Network}, booktitle = {9th International Conference on Dependable Systems and Their Applications, {DSA} 2022, Wulumuqi, China, August 4-5, 2022}, pages = {909--917}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSA56465.2022.00128}, doi = {10.1109/DSA56465.2022.00128}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsa/DuZWYLWXGTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eitce/YangQW22, author = {Mai Yang and Ling Qing and Lei Wang}, title = {Design of lane change controller for vehicle steering based on fuzzy model predictive control}, booktitle = {Proceedings of the 2022 6th International Conference on Electronic Information Technology and Computer Engineering, {EITCE} 2022, Xiamen, China, October 21-23, 2022}, pages = {585--590}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3573428.3573531}, doi = {10.1145/3573428.3573531}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eitce/YangQW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/XiaSZXLYWHZL22, author = {Yuan Xia and Zhenhui Shi and Jingbo Zhou and Jiayu Xu and Chao Lu and Yehui Yang and Lei Wang and Haifeng Huang and Xia Zhang and Junwei Liu}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {A Speaker-Aware Co-Attention Framework for Medical Dialogue Information Extraction}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {4777--4786}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.315}, doi = {10.18653/V1/2022.EMNLP-MAIN.315}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/XiaSZXLYWHZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/YangTSWYCYZ22, author = {Jianbang Yang and Dahai Tang and Xiaoniu Song and Lei Wang and Qiang Yin and Rong Chen and Wenyuan Yu and Jingren Zhou}, editor = {Y{\'{e}}rom{-}David Bromberg and Anne{-}Marie Kermarrec and Christos Kozyrakis}, title = {GNNLab: a factored system for sample-based {GNN} training over GPUs}, booktitle = {EuroSys '22: Seventeenth European Conference on Computer Systems, Rennes, France, April 5 - 8, 2022}, pages = {417--434}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3492321.3519557}, doi = {10.1145/3492321.3519557}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurosys/YangTSWYCYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fnc/WeiWLWZLH22, author = {Ruizeng Wei and Lei Wang and Qi Liu and Tong Wang and Enze Zhou and Shuqin Liu and Huan He}, editor = {Elhadi M. Shakshuki}, title = {A two-stage robust optimization method based on the expected scenario for island microgrid energy management}, booktitle = {17th International Conference on Future Networks and Communications / 19th International Conference on Mobile Systems and Pervasive Computing / 12th International Conference on Sustainable Energy Information Technology (FNC/MobiSPC/SEIT 2022), August 9-11, 2022, Niagara Falls, Ontario, Canada}, series = {Procedia Computer Science}, volume = {203}, pages = {388--394}, publisher = {Elsevier}, year = {2022}, url = {https://doi.org/10.1016/j.procs.2022.07.050}, doi = {10.1016/J.PROCS.2022.07.050}, timestamp = {Tue, 24 Jan 2023 14:18:27 +0100}, biburl = {https://dblp.org/rec/conf/fnc/WeiWLWZLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinformatics/WangWTLHZ22, author = {Yongdong Wang and Lei Wang and Nigela Tuerxun and Lei Luo and Chuqiao Han and Jianghua Zheng}, title = {Extraction of Jujube Planting Areas in Sentinel-2 Image Based on {NDVI} Threshold - a case study of Ruoqiang County}, booktitle = {29th International Conference on Geoinformatics, Beijing, China, August 15-18, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/Geoinformatics57846.2022.9963828}, doi = {10.1109/GEOINFORMATICS57846.2022.9963828}, timestamp = {Fri, 09 Dec 2022 16:21:48 +0100}, biburl = {https://dblp.org/rec/conf/geoinformatics/WangWTLHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ZhuWXYKLP22, author = {Jingwei Zhu and Lei Wang and Xun Xiao and Zhijie Yang and Ziyang Kang and Shiming Li and LingHui Peng}, editor = {Ioannis Savidis and Avesta Sasan and Himanshu Thapliyal and Ronald F. DeMara}, title = {An Event Based Gesture Recognition System Using a Liquid State Machine Accelerator}, booktitle = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA, June 6 - 8, 2022}, pages = {361--365}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526241.3530357}, doi = {10.1145/3526241.3530357}, timestamp = {Fri, 03 Jun 2022 08:45:20 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ZhuWXYKLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ZhangWZPCL22, author = {Mengxi Zhang and Lei Wang and Ying Zou and Jiahua Peng and Yiwei Cai and Shu Li}, editor = {Don Harris and Wen{-}Chin Li}, title = {Preliminary Research on Evaluation Index of Professional Adaptability for Airline Transport Pilot}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 19th International Conference, {EPCE} 2022, Held as Part of the 24th {HCI} International Conference, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13307}, pages = {473--487}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06086-1\_37}, doi = {10.1007/978-3-031-06086-1\_37}, timestamp = {Sun, 02 Oct 2022 16:02:13 +0200}, biburl = {https://dblp.org/rec/conf/hci/ZhangWZPCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/ZhaoHWYZ22, author = {Xia Zhao and Lu Huang and Lei Wang and Elham Yazdani and Cheng Zhang}, title = {Content Spending and Network Quality in Mobile Channels: {A} Hidden Markov Model of User Engagement and Content Consumption}, booktitle = {55th Hawaii International Conference on System Sciences, {HICSS} 2022, Virtual Event / Maui, Hawaii, USA, January 4-7, 2022}, pages = {1--9}, publisher = {ScholarSpace}, year = {2022}, url = {http://hdl.handle.net/10125/80125}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/ZhaoHWYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/WangZSZL22, author = {Lei Wang and Wei Zhao and Tong Sang and Zeng Zeng and Yangfan Li}, title = {Fabric Image Layering Based on Parallel K-means}, booktitle = {24th {IEEE} Int Conf on High Performance Computing {\&} Communications; 8th Int Conf on Data Science {\&} Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, Cloud {\&} Big Data Systems {\&} Application, HPCC/DSS/SmartCity/DependSys 2022, Hainan, China, December 18-20, 2022}, pages = {1228--1233}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCC-DSS-SmartCity-DependSys57074.2022.00193}, doi = {10.1109/HPCC-DSS-SMARTCITY-DEPENDSYS57074.2022.00193}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/WangZSZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbdt/YanWZZWYC22, author = {Ziyi Yan and Gang Wang and Benqiang Zhang and Lin Zhang and Lei Wang and Zhongqing Yu and Yu Chen}, title = {Prediction for the Load of Thermal Power Unit Based on Temporal Convolutional Network}, booktitle = {5th International Conference on Big Data Technologies, {ICBDT} 2022, Qingdao, China, September 23-25, 2022}, pages = {90--96}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3565291.3565305}, doi = {10.1145/3565291.3565305}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbdt/YanWZZWYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccai/WuWL22, author = {Minzhong Wu and Lei Wang and Guodong Li}, title = {Crowd Emotion Recognition Based on Causal Spatiotemporal Structure}, booktitle = {{ICCAI} '22: 8th International Conference on Computing and Artificial Intelligence, Tianjin, China, March 18 - 21, 2022}, pages = {368--374}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3532213.3532268}, doi = {10.1145/3532213.3532268}, timestamp = {Fri, 15 Jul 2022 09:38:04 +0200}, biburl = {https://dblp.org/rec/conf/iccai/WuWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/ChenKZWQ22, author = {Mengxi Chen and Rong Kong and Jianming Zhu and Lei Wang and Jin Qi}, editor = {Xingming Sun and Xiaorui Zhang and Zhihua Xia and Elisa Bertino}, title = {Application Research of Safety Helmet Detection Based on Low Computing Power Platform Using {YOLO} v5}, booktitle = {Artificial Intelligence and Security - 8th International Conference, {ICAIS} 2022, Qinghai, China, July 15-20, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13338}, pages = {107--117}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06794-5\_9}, doi = {10.1007/978-3-031-06794-5\_9}, timestamp = {Thu, 11 Aug 2022 12:49:08 +0200}, biburl = {https://dblp.org/rec/conf/icccsec/ChenKZWQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccir/WangX22, author = {Lei Wang and Yongfei Xiao}, title = {Research on Robot Obstacle Avoidance Method Based on Digital Twin}, booktitle = {Proceedings of the 2nd International Conference on Control and Intelligent Robotics, {ICCIR} 2022, Nanjing, China, June 24-26, 2022}, pages = {122--127}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3548608.3559179}, doi = {10.1145/3548608.3559179}, timestamp = {Wed, 26 Oct 2022 14:31:02 +0200}, biburl = {https://dblp.org/rec/conf/iccir/WangX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciip/WangLDG22, author = {Lei Wang and Wenlong Li and Jianzhi Deng and Yongping Guo}, title = {Driving trajectory estimation based on inertial perception}, booktitle = {Proceedings of the 7th International Conference on Intelligent Information Processing, {ICIIP} 2022, Bucharest, Romania, September 29-30, 2022}, pages = {44:1--44:5}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3570236.3570281}, doi = {10.1145/3570236.3570281}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciip/WangLDG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/LiuWYW22, author = {Yahui Liu and Lei Wang and Shuai Yang and Yanwen Wang}, editor = {Niels Bj{\o}rn{-}Andersen and Roman Beck and Stacie Petter and Tina Blegind Jensen and Tilo B{\"{o}}hmann and Kai{-}Lung Hui and Viswanath Venkatesh}, title = {Gamified Live-streaming: Is Avatar Better than Human Being?}, booktitle = {Proceedings of the 43rd International Conference on Information Systems, {ICIS} 2022, Digitization for the Next Generation, Copenhagen, Denmark, December 9-14, 2022}, publisher = {Association for Information Systems}, year = {2022}, url = {https://aisel.aisnet.org/icis2022/digital\_commerce/digital\_commerce/6}, timestamp = {Tue, 14 Feb 2023 13:27:48 +0100}, biburl = {https://dblp.org/rec/conf/icis/LiuWYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis2/YangLLZ0DWL22, author = {Linlin Yang and Hongying Liu and Yiming Li and Wenhao Zhou and Yuanyuan Liu and Xiaobiao Di and Lei Wang and Chuanwen Li}, editor = {Zhongzhi Shi and Yaochu Jin and Xiangrong Zhang}, title = {Multi Recursive Residual Dense Attention {GAN} for Perceptual Image Super Resolution}, booktitle = {Intelligence Science {IV} - 5th {IFIP} {TC} 12 International Conference, {ICIS} 2022, Xi'an, China, October 28-31, 2022, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {659}, pages = {363--377}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-14903-0\_39}, doi = {10.1007/978-3-031-14903-0\_39}, timestamp = {Thu, 12 Jan 2023 09:57:05 +0100}, biburl = {https://dblp.org/rec/conf/icis2/YangLLZ0DWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/YuWCTG22, author = {Xuhu Yu and Lei Wang and Changhao Chen and Junbo Tie and Shasha Guo}, editor = {Mohammad Tanveer and Sonali Agarwal and Seiichi Ozawa and Asif Ekbal and Adam Jatowt}, title = {Multimodal Learning of Audio-Visual Speech Recognition with Liquid State Machine}, booktitle = {Neural Information Processing - 29th International Conference, {ICONIP} 2022, Virtual Event, November 22-26, 2022, Proceedings, Part {VI}}, series = {Communications in Computer and Information Science}, volume = {1793}, pages = {552--563}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-99-1645-0\_46}, doi = {10.1007/978-981-99-1645-0\_46}, timestamp = {Thu, 20 Apr 2023 16:21:46 +0200}, biburl = {https://dblp.org/rec/conf/iconip/YuWCTG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ZengZZSWZL22, author = {Zhaoxiang Zeng and Dongchen Zhu and Guanghui Zhang and Wenjun Shi and Lei Wang and Xiaolin Zhang and Jiamao Li}, title = {SRNet: Structural Relation-aware Network for Head Pose Estimation}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {826--832}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956106}, doi = {10.1109/ICPR56361.2022.9956106}, timestamp = {Thu, 01 Dec 2022 13:56:03 +0100}, biburl = {https://dblp.org/rec/conf/icpr/ZengZZSWZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/ChenZDHCWWZT22, author = {Ziyang Chen and Miaocheng Zhang and Zixuan Ding and Aoze Han and Xinavu Chen and Xinpeng Wang and Lei Wang and Hao Zhang and Yi Tong}, title = {BaFe12O19 based Ferroelectric Memristor for Applications of True Random Number Generator}, booktitle = {2022 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2022, Xi'an, China, October 28-30, 2022}, pages = {133--134}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTA56932.2022.9963047}, doi = {10.1109/ICTA56932.2022.9963047}, timestamp = {Thu, 16 Nov 2023 15:13:21 +0100}, biburl = {https://dblp.org/rec/conf/icta3/ChenZDHCWWZT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvip/WangZSCZ22, author = {Lei Wang and Wei Zhao and Tong Sang and Yiheng Che and Zeng Zeng}, title = {Fabric Image Layering Based on Kmeans-AP}, booktitle = {Proceedings of the 6th International Conference on Video and Image Processing, {ICVIP} 2022, Shanghai, China, December 23-26, 2022}, pages = {144--148}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3579109.3579134}, doi = {10.1145/3579109.3579134}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icvip/WangZSCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/TanWALLLWY22, author = {Youshan Tan and Lei Wang and Hongyang An and Min Li and Mingyue Lou and Zhongyu Li and Junjie Wu and Jianyu Yang}, title = {{SAR} Azimuth Low Sidelobe Window Function Design}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {3408--3411}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9884901}, doi = {10.1109/IGARSS46834.2022.9884901}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/TanWALLLWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipec/WangZP22, author = {Lei Wang and Baocheng Zheng and Youjun Pang}, title = {Design and Research of Flexible Bench for Intelligent Cockpit Human-computer Interaction for Digital Product Development and Verification}, booktitle = {{IPEC} 2022: 3rd Asia-Pacific Conference on Image Processing, Electronics and Computers, Dalian, China, April 14 - 16, 2022}, pages = {868--872}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544109.3544370}, doi = {10.1145/3544109.3544370}, timestamp = {Thu, 28 Mar 2024 11:42:32 +0100}, biburl = {https://dblp.org/rec/conf/ipec/WangZP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipec/PangCW22, author = {Youjun Pang and Kaidi Chang and Lei Wang}, title = {Research on Innovative Application Path of Automobile Big Data Based on IoT and Cloud Computing Technology}, booktitle = {{IPEC} 2022: 3rd Asia-Pacific Conference on Image Processing, Electronics and Computers, Dalian, China, April 14 - 16, 2022}, pages = {873--877}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544109.3544371}, doi = {10.1145/3544109.3544371}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipec/PangCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaims/WangC22, author = {Lei Wang and Zhencai Chen}, title = {Research progress of functional near infrared imaging in the field of drug addiction}, booktitle = {3rd International Symposium on Artificial Intelligence for Medicine Sciences, {ISAIMS} 2022, Amsterdam, The Netherlands, October 13-15, 2022}, pages = {385--389}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3570773.3570838}, doi = {10.1145/3570773.3570838}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isaims/WangC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbra/WangW22, author = {Lei Wang and Yan Wang}, editor = {Mukul S. Bansal and Zhipeng Cai and Serghei Mangul}, title = {GNN-Dom: An Unsupervised Method for Protein Domain Partition via Protein Contact Map}, booktitle = {Bioinformatics Research and Applications - 18th International Symposium, {ISBRA} 2022, Haifa, Israel, November 14-17, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13760}, pages = {286--294}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-23198-8\_26}, doi = {10.1007/978-3-031-23198-8\_26}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbra/WangW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangXLWW22, author = {Ziyang Kang and Xu Xiao and Shiming Li and Lei Wang and Yao Wang}, title = {Hotspot Prediction of Network-on-Chip for Neuromorphic Processor with Liquid State Machine}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {2353--2357}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937553}, doi = {10.1109/ISCAS48785.2022.9937553}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KangXLWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscsic/YeCW22, author = {Zhiyuan Ye and Can Cao and Lei Wang}, title = {The Design and Implementation of Software Defined Network Security Service Choreography System}, booktitle = {6th International Symposium on Computer Science and Intelligent Control, {ISCSIC} 2022, Beijing, China, November 11-13, 2022}, pages = {25--29}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCSIC57216.2022.00017}, doi = {10.1109/ISCSIC57216.2022.00017}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscsic/YeCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscsic/WangXCL22, author = {Lei Wang and SiJiang Xie and Can Cao and Chen Li}, title = {Research on Security Service Model of Software Defined Network}, booktitle = {6th International Symposium on Computer Science and Intelligent Control, {ISCSIC} 2022, Beijing, China, November 11-13, 2022}, pages = {347--351}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCSIC57216.2022.00078}, doi = {10.1109/ISCSIC57216.2022.00078}, timestamp = {Sat, 25 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscsic/WangXCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/WangYN22, author = {Lei Wang and Benedict Yeoh and Jun Wah Ng}, editor = {Kong Aik Lee and Hung{-}yi Lee and Yanfeng Lu and Minghui Dong}, title = {Synthetic Voice Detection and Audio Splicing Detection using SE-Res2Net-Conformer Architecture}, booktitle = {13th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2022, Singapore, December 11-14, 2022}, pages = {115--119}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCSLP57327.2022.10037999}, doi = {10.1109/ISCSLP57327.2022.10037999}, timestamp = {Fri, 17 Feb 2023 18:27:20 +0100}, biburl = {https://dblp.org/rec/conf/iscslp/WangYN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/CongZWNWGYLX22, author = {Peizhuang Cong and Yuchao Zhang and Lei Wang and Hao Ni and Wendong Wang and Xiangyang Gong and Tong Yang and Dan Li and Ke Xu}, title = {Break the Blackbox! Desensitize Intra-domain Information for Inter-domain Routing}, booktitle = {30th {IEEE/ACM} International Symposium on Quality of Service, IWQoS 2022, Oslo, Norway, June 10-12, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IWQoS54832.2022.9812918}, doi = {10.1109/IWQOS54832.2022.9812918}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwqos/CongZWNWGYLX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LiangZWQLS022, author = {Zhenwen Liang and Jipeng Zhang and Lei Wang and Wei Qin and Yunshi Lan and Jie Shao and Xiangliang Zhang}, editor = {Marine Carpuat and Marie{-}Catherine de Marneffe and Iv{\'{a}}n Vladimir Meza Ru{\'{\i}}z}, title = {{MWP-BERT:} Numeracy-Augmented Pre-training for Math Word Problem Solving}, booktitle = {Findings of the Association for Computational Linguistics: {NAACL} 2022, Seattle, WA, United States, July 10-15, 2022}, pages = {997--1009}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-naacl.74}, doi = {10.18653/V1/2022.FINDINGS-NAACL.74}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/LiangZWQLS022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ZhuSCLWL22, author = {Zhengfang Zhu and Yi Su and Jing Chen and Lin Li and Lei Wang and Hui Li}, title = {High-sensitive Flexible Temperature Sensor with {PEDOT:} {PSS} Composites and {PI} Substrate for Human Body Monitoring}, booktitle = {17th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2022, Taoyuan, Taiwan, April 14-17, 2022}, pages = {67--71}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NEMS54180.2022.9791167}, doi = {10.1109/NEMS54180.2022.9791167}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/ZhuSCLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LiCZLZTWL22, author = {Lin Li and Jing Chen and Zhengfang Zhu and Zebang Luo and Nian Zhou and Yuewu Tan and Lei Wang and Hui Li}, title = {Highly Sensitive Flexible Capacitive Pressure Sensor Based on Bionic Hybrid Microstructures}, booktitle = {17th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2022, Taoyuan, Taiwan, April 14-17, 2022}, pages = {291--295}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NEMS54180.2022.9791137}, doi = {10.1109/NEMS54180.2022.9791137}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LiCZLZTWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/DingW0L0022, author = {Yu Ding and Lei Wang and Bin Liang and Shuming Liang and Yang Wang and Fang Chen}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Domain Generalization by Learning and Removing Domain-specific Features}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/9941833e8327910ef25daeb9005e4748-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/DingW0L0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/TuWHWYS22, author = {Zhipeng Tu and Xi Wang and Yiguang Hong and Lei Wang and Deming Yuan and Guodong Shi}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Distributed Online Convex Optimization with Compressed Communication}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/dececdcbf0ea0162234a8fb4ab051415-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/TuWHWYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/DouCZCGYZSWX22, author = {Liang Dou and Sai Chen and Huan Zhang and Jingchi Cheng and Fan Gao and Boyuan Yan and Shuai Zhang and Zhao Sun and Lei Wang and Chongjin Xie}, title = {New Trend of Open and Disaggregated Optical Networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748513}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/DouCZCGYZSWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/VerrinderWSRYSC22, author = {Paul Verrinder and Lei Wang and Fengqiao Sang and Victoria Rosborough and Guangning Yang and Mark Stephen and Larry Coldren and Jonathan Klamkin}, title = {Widely Tunable 1030 nm Gallium Arsenide Sampled Grating Distributed Bragg Reflector Lasers and Photonic Integrated Circuits}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748655}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/VerrinderWSRYSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/XieWCWWLW22, author = {Chongjin Xie and Chunxiao Wang and Qin Chen and Zhicheng Wang and Peng Wang and Rui Lu and Lei Wang}, title = {Characteristics of Field Operation Data for Optical Transceivers in Hyperscale Data Centers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748267}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/XieWCWWLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/WangQHWLH22, author = {Xiaowei Wang and Wei Qin and Zhenzhen Hu and Lei Wang and Yunshi Lan and Richang Hong}, editor = {Shiqi Yu and Zhaoxiang Zhang and Pong C. Yuen and Junwei Han and Tieniu Tan and Yike Guo and Jianhuang Lai and Jianguo Zhang}, title = {Math Word Problem Generation with Memory Retrieval}, booktitle = {Pattern Recognition and Computer Vision - 5th Chinese Conference, {PRCV} 2022, Shenzhen, China, November 4-7, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13536}, pages = {372--385}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-18913-5\_29}, doi = {10.1007/978-3-031-18913-5\_29}, timestamp = {Tue, 26 Mar 2024 13:26:54 +0100}, biburl = {https://dblp.org/rec/conf/prcv/WangQHWLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/DuCWXWG22, author = {Yangyang Du and Chongiing Cao and Xiaojun Wu and Jiasheng Xue and Lei Wang and Xing Gao}, title = {A Low-profile Vibration Crawling Robot Driven by {A} Planar Dielectric Elastomer Actuator}, booktitle = {{IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2022, Guiyang, China, July 17-22, 2022}, pages = {413--418}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RCAR54675.2022.9872267}, doi = {10.1109/RCAR54675.2022.9872267}, timestamp = {Sat, 17 Sep 2022 23:41:13 +0200}, biburl = {https://dblp.org/rec/conf/rcar/DuCWXWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ricai/Wang22a, author = {Lei Wang}, title = {Research on the travel characteristics of online car hailing based on spatio-temporal data}, booktitle = {Proceedings of the 4th International Conference on Robotics, Intelligent Control and Artificial Intelligence, {RICAI} 2022, Dongguan, China, December 16-18, 2022}, pages = {852--856}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3584376.3584528}, doi = {10.1145/3584376.3584528}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ricai/Wang22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ricai/ZhuZZLHWW22, author = {Mengjie Zhu and Xiancong Zhang and Lintao Zhang and Guoqiang Li and Shunbo Hu and Yongfang Wang and Lei Wang}, title = {TST-network: {A} two-stage mutually reinforcing deep learning network for brain {MR} registration}, booktitle = {Proceedings of the 4th International Conference on Robotics, Intelligent Control and Artificial Intelligence, {RICAI} 2022, Dongguan, China, December 16-18, 2022}, pages = {951--956}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3584376.3584546}, doi = {10.1145/3584376.3584546}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ricai/ZhuZZLHWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ricai/BaiHLWK22, author = {Xianbiao Bai and Shunbo Hu and Jitao Li and Lei Wang and Dezhuang Kong}, title = {Brain {MRI} synthesis based on dual-generator generative adversarial network}, booktitle = {Proceedings of the 4th International Conference on Robotics, Intelligent Control and Artificial Intelligence, {RICAI} 2022, Dongguan, China, December 16-18, 2022}, pages = {1065--1069}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3584376.3584566}, doi = {10.1145/3584376.3584566}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ricai/BaiHLWK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/CaoWY22, author = {Xiang Cao and Lei Wang and Yujiu Yang}, editor = {Rong Peng and Carlos Eduardo Pantoja and Pankaj Kamthan}, title = {Dual Contrastive Learning for Unsupervised Knowledge Selection}, booktitle = {The 34th International Conference on Software Engineering and Knowledge Engineering, {SEKE} 2022, {KSIR} Virtual Conference Center, USA, July 1 - July 10, 2022}, pages = {275--280}, publisher = {{KSI} Research Inc.}, year = {2022}, url = {https://doi.org/10.18293/SEKE2022-054}, doi = {10.18293/SEKE2022-054}, timestamp = {Wed, 21 Sep 2022 17:47:56 +0200}, biburl = {https://dblp.org/rec/conf/seke/CaoWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/softcom/WangDD22, author = {Lei Wang and Adam Durning and Declan T. Delaney}, title = {ML-based Video Streaming QoE Modeling with {E2E} and Link Metrics}, booktitle = {30th International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2022, Split, Croatia, September 22-24, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9911393}, timestamp = {Tue, 25 Oct 2022 14:27:11 +0200}, biburl = {https://dblp.org/rec/conf/softcom/WangDD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uv/WangZCHYLH22, author = {Lei Wang and Tianze Zhang and Yingting Chen and Yongyang Huang and Xitong Yin and Xiao Fan Liu and Daning Hu}, title = {Machine Learning-based Start-up Company Lifespan Prediction: the Chinese Market as an Example}, booktitle = {6th International Conference on Universal Village, {UV} 2022, Boston, MA, USA, October 22-25, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UV56588.2022.10185504}, doi = {10.1109/UV56588.2022.10185504}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uv/WangZCHYLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/WangANGZ22, author = {Lei Wang and Bo Ai and Yong Niu and Meilin Gao and Zhangdui Zhong}, title = {Adaptive Beam Alignment Based on Deep Reinforcement Learning for High Speed Railways}, booktitle = {95th {IEEE} Vehicular Technology Conference, {VTC} Spring 2022, Helsinki, Finland, June 19-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Spring54318.2022.9860897}, doi = {10.1109/VTC2022-SPRING54318.2022.9860897}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/WangANGZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wac2/YuanJW22, author = {Jupu Yuan and Bing Jiao and Lei Wang}, title = {Indoor and outdoor integrated path planning algorithm for multi-storey buildings}, booktitle = {World Automation Congress, {WAC} 2022, San Antonio, TX, USA, October 11-15, 2022}, pages = {336--340}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/WAC55640.2022.9934525}, doi = {10.23919/WAC55640.2022.9934525}, timestamp = {Fri, 18 Nov 2022 17:35:33 +0100}, biburl = {https://dblp.org/rec/conf/wac2/YuanJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/SongDSWWYXC22, author = {Jinpeng Song and Haipeng Dai and Shuyu Shi and Lei Wang and Haoran Wan and Zhizheng Yang and Fu Xiao and Guihai Chen}, editor = {Lei Wang and Michael Segal and Jenhui Chen and Tie Qiu}, title = {HeadTracker: Fine-Grained Head Orientation Tracking System Based on Headphones}, booktitle = {Wireless Algorithms, Systems, and Applications - 17th International Conference, {WASA} 2022, Dalian, China, November 24-26, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13472}, pages = {317--329}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19214-2\_26}, doi = {10.1007/978-3-031-19214-2\_26}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wasa/SongDSWWYXC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/msml/2022, editor = {Bin Dong and Qianxiao Li and Lei Wang and Zhi{-}Qin John Xu}, title = {Mathematical and Scientific Machine Learning, 15-17 August 2022, Peking University, Beijing, China}, series = {Proceedings of Machine Learning Research}, volume = {190}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v190/}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/msml/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-03156, author = {Hao Xie and Linfeng Zhang and Lei Wang}, title = {m\({}^{\mbox{*}}\) of two-dimensional electron gas: a neural canonical transformation study}, journal = {CoRR}, volume = {abs/2201.03156}, year = {2022}, url = {https://arxiv.org/abs/2201.03156}, eprinttype = {arXiv}, eprint = {2201.03156}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-03156.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-05749, author = {Romeo Ortega and Rafael Cisneros and Lei Wang and Arjan van der Schaft}, title = {Indirect Adaptive Control of Nonlinearly Parameterized Nonlinear Dissipative Systems}, journal = {CoRR}, volume = {abs/2201.05749}, year = {2022}, url = {https://arxiv.org/abs/2201.05749}, eprinttype = {arXiv}, eprint = {2201.05749}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-05749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-01388, author = {Xihan Li and Xiang Chen and Rasul Tutunov and Haitham Bou{-}Ammar and Lei Wang and Jun Wang}, title = {Self-consistent Gradient-like Eigen Decomposition in Solving Schr{\"{o}}dinger Equations}, journal = {CoRR}, volume = {abs/2202.01388}, year = {2022}, url = {https://arxiv.org/abs/2202.01388}, eprinttype = {arXiv}, eprint = {2202.01388}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-01388.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-05098, author = {Yingzhanghao Zhou and Xiang Chen and Peng Zhang and Jun Wang and Lei Wang and Hong Guo}, title = {{AD-NEGF:} An End-to-End Differentiable Quantum Transport Simulator for Sensitivity Analysis and Inverse Problems}, journal = {CoRR}, volume = {abs/2202.05098}, year = {2022}, url = {https://arxiv.org/abs/2202.05098}, eprinttype = {arXiv}, eprint = {2202.05098}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-05098.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-06722, author = {Zhaoyang Qu and Xiaoyong Bo and Tong Yu and Yaowei Liu and Yunchang Dong and Zhongfeng Kan and Lei Wang and Yang Li}, title = {Active and Passive Hybrid Detection Method for Power {CPS} False Data Injection Attacks with Improved {AKF} and {GRU-CNN}}, journal = {CoRR}, volume = {abs/2202.06722}, year = {2022}, url = {https://arxiv.org/abs/2202.06722}, eprinttype = {arXiv}, eprint = {2202.06722}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-06722.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-09700, author = {Lei Wang and Xinyun Liu and Ting Li and Jiandong Zhu}, title = {On Matrix Method of Symmetric Games}, journal = {CoRR}, volume = {abs/2202.09700}, year = {2022}, url = {https://arxiv.org/abs/2202.09700}, eprinttype = {arXiv}, eprint = {2202.09700}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-09700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-05349, author = {Ran Chen and Hanli Wang and Lei Wang and Sam Kwong}, title = {Two-stream Hierarchical Similarity Reasoning for Image-text Matching}, journal = {CoRR}, volume = {abs/2203.05349}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.05349}, doi = {10.48550/ARXIV.2203.05349}, eprinttype = {arXiv}, eprint = {2203.05349}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-05349.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-05707, author = {Ghazal Mirabnahrazam and Da Ma and Sieun Lee and Karteek Popuri and Hyunwoo Lee and Jiguo Cao and Lei Wang and James E. Galvin and Mirza Faisal Beg and Alzheimer's Disease Neuroimaging Initiative}, title = {Machine Learning Based Multimodal Neuroimaging Genomics Dementia Score for Predicting Future Conversion to Alzheimer's Disease}, journal = {CoRR}, volume = {abs/2203.05707}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.05707}, doi = {10.48550/ARXIV.2203.05707}, eprinttype = {arXiv}, eprint = {2203.05707}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-05707.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-07910, author = {Yan Yan and Tianzheng Liao and Jinjin Zhao and Jiahong Wang and Liang Ma and Wei Lv and Jing Xiong and Lei Wang}, title = {Deep Transfer Learning with Graph Neural Network for Sensor-Based Human Activity Recognition}, journal = {CoRR}, volume = {abs/2203.07910}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.07910}, doi = {10.48550/ARXIV.2203.07910}, eprinttype = {arXiv}, eprint = {2203.07910}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-07910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-00777, author = {Wenxiang Li and Yuanyuan Li and Ziyuan Pu and Long Cheng and Lei Wang and Linchuan Yang}, title = {Revealing the real-world {CO2} emission reduction of ridesplitting and its determinants based on machine learning}, journal = {CoRR}, volume = {abs/2204.00777}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.00777}, doi = {10.48550/ARXIV.2204.00777}, eprinttype = {arXiv}, eprint = {2204.00777}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-00777.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-00686, author = {Lei Wang and Jiangxu Huang and Kun He}, title = {A new thermal lattice Boltzmann model for liquid-vapor phase change}, journal = {CoRR}, volume = {abs/2205.00686}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.00686}, doi = {10.48550/ARXIV.2205.00686}, eprinttype = {arXiv}, eprint = {2205.00686}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-00686.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01188, author = {Ghazal Mirabnahrazam and Da Ma and C{\'{e}}dric Beaulac and Sieun Lee and Karteek Popuri and Hyunwoo Lee and Jiguo Cao and James E. Galvin and Lei Wang and Mirza Faisal Beg and Alzheimer's Disease Neuroimaging Initiative}, title = {Predicting Time-to-conversion for Dementia of Alzheimer's Type using Multi-modal Deep Survival Analysis}, journal = {CoRR}, volume = {abs/2205.01188}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01188}, doi = {10.48550/ARXIV.2205.01188}, eprinttype = {arXiv}, eprint = {2205.01188}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-15658, author = {Wenshuo Zhou and Dalu Yang and Binghong Wu and Yehui Yang and Junde Wu and Xiaorong Wang and Lei Wang and Haifeng Huang and Yanwu Xu}, title = {Contrastive Centroid Supervision Alleviates Domain Shift in Medical Image Classification}, journal = {CoRR}, volume = {abs/2205.15658}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.15658}, doi = {10.48550/ARXIV.2205.15658}, eprinttype = {arXiv}, eprint = {2205.15658}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-15658.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-00946, author = {Jiangxu Huang and Lei Wang and Kun He and Changsheng Huang}, title = {An efficient thermal lattice Boltzmann method for simulating three-dimensional liquid-vapor phase change}, journal = {CoRR}, volume = {abs/2206.00946}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.00946}, doi = {10.48550/ARXIV.2206.00946}, eprinttype = {arXiv}, eprint = {2206.00946}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-00946.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-05866, author = {Lei Wang and Linlin Ge and Shan Luo and Zihan Yan and Zhaopeng Cui and Jieqing Feng}, title = {TC-SfM: Robust Track-Community-Based Structure-from-Motion}, journal = {CoRR}, volume = {abs/2206.05866}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.05866}, doi = {10.48550/ARXIV.2206.05866}, eprinttype = {arXiv}, eprint = {2206.05866}, timestamp = {Mon, 20 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-05866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-13058, author = {Bowen Yi and Lei Wang and Ian R. Manchester}, title = {Attitude estimation from vector measurements: Necessary and sufficient conditions and convergent observer design}, journal = {CoRR}, volume = {abs/2206.13058}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.13058}, doi = {10.48550/ARXIV.2206.13058}, eprinttype = {arXiv}, eprint = {2206.13058}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-13058.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-13963, author = {Lei Wang and Min Dai and Jianan He and Jingwei Huang and Mingwei Sun}, title = {Primitive Graph Learning for Unified Vector Mapping}, journal = {CoRR}, volume = {abs/2206.13963}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.13963}, doi = {10.48550/ARXIV.2206.13963}, eprinttype = {arXiv}, eprint = {2206.13963}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-13963.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-06093, author = {Yingting Liu and Chaochao Chen and Jamie Cui and Li Wang and Lei Wang}, title = {Scalable and Sparsity-Aware Privacy-Preserving K-means Clustering with Application to Fraud Detection}, journal = {CoRR}, volume = {abs/2208.06093}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.06093}, doi = {10.48550/ARXIV.2208.06093}, eprinttype = {arXiv}, eprint = {2208.06093}, timestamp = {Sat, 28 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-06093.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-08662, author = {Wenqiang Ruan and Mingxin Xu and Wenjing Fang and Li Wang and Lei Wang and Weili Han}, title = {Private, Efficient, and Accurate: Protecting Models Trained by Multi-party Learning with Differential Privacy}, journal = {CoRR}, volume = {abs/2208.08662}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.08662}, doi = {10.48550/ARXIV.2208.08662}, eprinttype = {arXiv}, eprint = {2208.08662}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-08662.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-13643, author = {Lei Wang and Xin Liu}, title = {A Variance-Reduced Stochastic Gradient Tracking Algorithm for Decentralized Optimization with Orthogonality Constraints}, journal = {CoRR}, volume = {abs/2208.13643}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.13643}, doi = {10.48550/ARXIV.2208.13643}, eprinttype = {arXiv}, eprint = {2208.13643}, timestamp = {Thu, 01 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-13643.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-06095, author = {Hao Xie and Zi{-}Hang Li and Han Wang and Linfeng Zhang and Lei Wang}, title = {A deep variational free energy approach to dense hydrogen}, journal = {CoRR}, volume = {abs/2209.06095}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.06095}, doi = {10.48550/ARXIV.2209.06095}, eprinttype = {arXiv}, eprint = {2209.06095}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-06095.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08470, author = {Lei Wang and Fangfang Liang and Bincheng Wang and Bo Liu}, title = {GaitFM: Fine-grained Motion Representation for Gait Recognition}, journal = {CoRR}, volume = {abs/2209.08470}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08470}, doi = {10.48550/ARXIV.2209.08470}, eprinttype = {arXiv}, eprint = {2209.08470}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-03581, author = {Lei Wang and Benedict Yeoh and Jun Wah Ng}, title = {Synthetic Voice Detection and Audio Splicing Detection using SE-Res2Net-Conformer Architecture}, journal = {CoRR}, volume = {abs/2210.03581}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.03581}, doi = {10.48550/ARXIV.2210.03581}, eprinttype = {arXiv}, eprint = {2210.03581}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-03581.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-03603, author = {Lei Wang and Rong Tong}, title = {Pronunciation Modeling of Foreign Words for Mandarin {ASR} by Considering the Effect of Language Transfer}, journal = {CoRR}, volume = {abs/2210.03603}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.03603}, doi = {10.48550/ARXIV.2210.03603}, eprinttype = {arXiv}, eprint = {2210.03603}, timestamp = {Wed, 12 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-03603.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-09873, author = {Lei Wang and Bo Ai and Yong Niu and Zhangdui Zhong and Shiwen Mao and Ning Wang and Zhu Han}, title = {Energy Efficient Train-Ground mmWave Mobile Relay System for High Speed Railways}, journal = {CoRR}, volume = {abs/2210.09873}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.09873}, doi = {10.48550/ARXIV.2210.09873}, eprinttype = {arXiv}, eprint = {2210.09873}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-09873.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-11119, author = {Lei Wang and Rongfei Fan}, title = {Minimum Age of Information in Internet of Things with Opportunistic Channel Access}, journal = {CoRR}, volume = {abs/2210.11119}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.11119}, doi = {10.48550/ARXIV.2210.11119}, eprinttype = {arXiv}, eprint = {2210.11119}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-11119.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-12381, author = {Chiyu Zhang and Jun Yang and Lei Wang and Zaiyan Dai}, title = {{S2WAT:} Image Style Transfer via Hierarchical Vision Transformer using Strips Window Attention}, journal = {CoRR}, volume = {abs/2210.12381}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.12381}, doi = {10.48550/ARXIV.2210.12381}, eprinttype = {arXiv}, eprint = {2210.12381}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-12381.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14324, author = {Nathan Gober and Gino Chacon and Lei Wang and Paul V. Gratz and Daniel A. Jim{\'{e}}nez and Elvira Teran and Seth H. Pugsley and Jinchun Kim}, title = {The Championship Simulator: Architectural Simulation for Education and Competition}, journal = {CoRR}, volume = {abs/2210.14324}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14324}, doi = {10.48550/ARXIV.2210.14324}, eprinttype = {arXiv}, eprint = {2210.14324}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14324.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-15016, author = {Pengchao Hu and Man Lu and Lei Wang and Guoyue Jiang}, title = {{TPU-MLIR:} {A} Compiler For {TPU} Using {MLIR}}, journal = {CoRR}, volume = {abs/2210.15016}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.15016}, doi = {10.48550/ARXIV.2210.15016}, eprinttype = {arXiv}, eprint = {2210.15016}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-15016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-17452, author = {Lukai Wang and Lei Wang}, title = {A Case Study of Chinese Sentiment Analysis on Social Media Reviews Based on {LSTM}}, journal = {CoRR}, volume = {abs/2210.17452}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.17452}, doi = {10.48550/ARXIV.2210.17452}, eprinttype = {arXiv}, eprint = {2210.17452}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-17452.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-01155, author = {Lei Wang and Xu Chen and Quanyu Dai and Zhenhua Dong}, title = {Recommendation with User Active Disclosing Willingness}, journal = {CoRR}, volume = {abs/2211.01155}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.01155}, doi = {10.48550/ARXIV.2211.01155}, eprinttype = {arXiv}, eprint = {2211.01155}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-01155.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09124, author = {Ziyi Zhao and Hanwei Liu and Song Li and Junwei Pang and Maoqing Zhang and Yi Qin and Lei Wang and Qidi Wu}, title = {A Review of Intelligent Music Generation Systems}, journal = {CoRR}, volume = {abs/2211.09124}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09124}, doi = {10.48550/ARXIV.2211.09124}, eprinttype = {arXiv}, eprint = {2211.09124}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13474, author = {Lei Wang and Hongyu Yang and Yi Lin and Suwan Yin and Yuankai Wu}, title = {Explainable and Safe Reinforcement Learning for Autonomous Air Mobility}, journal = {CoRR}, volume = {abs/2211.13474}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13474}, doi = {10.48550/ARXIV.2211.13474}, eprinttype = {arXiv}, eprint = {2211.13474}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-15148, author = {Lanling Xu and Zhen Tian and Gaowei Zhang and Lei Wang and Junjie Zhang and Bowen Zheng and Yifan Li and Yupeng Hou and Xingyu Pan and Yushuo Chen and Wayne Xin Zhao and Xu Chen and Ji{-}Rong Wen}, title = {Recent Advances in RecBole: Extensions with more Practical Considerations}, journal = {CoRR}, volume = {abs/2211.15148}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.15148}, doi = {10.48550/ARXIV.2211.15148}, eprinttype = {arXiv}, eprint = {2211.15148}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-15148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-00833, author = {Zhenwen Liang and Jipeng Zhang and Lei Wang and Yan Wang and Jie Shao and Xiangliang Zhang}, title = {Generalizing Math Word Problem Solvers via Solution Diversification}, journal = {CoRR}, volume = {abs/2212.00833}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.00833}, doi = {10.48550/ARXIV.2212.00833}, eprinttype = {arXiv}, eprint = {2212.00833}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-00833.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-07101, author = {Yu Ding and Lei Wang and Bin Liang and Shuming Liang and Yang Wang and Fang Chen}, title = {Domain Generalization by Learning and Removing Domain-specific Features}, journal = {CoRR}, volume = {abs/2212.07101}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.07101}, doi = {10.48550/ARXIV.2212.07101}, eprinttype = {arXiv}, eprint = {2212.07101}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-07101.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangWWWAT21, author = {Jin Wang and Yiming Wu and Liu Wang and Lei Wang and Osama Alfarraj and Amr Tolba}, title = {Lightweight Feedback Convolution Neural Network for Remote Sensing Images Super-Resolution}, journal = {{IEEE} Access}, volume = {9}, pages = {15992--16003}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3052946}, doi = {10.1109/ACCESS.2021.3052946}, timestamp = {Tue, 03 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangWWWAT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChiWYFJ21, author = {Shenshen Chi and Lei Wang and Xuexiang Yu and Xinjian Fang and Chuang Jiang}, title = {Research on Prediction Model of Mining Subsidence in Thick Unconsolidated Layer Mining Area}, journal = {{IEEE} Access}, volume = {9}, pages = {23996--24010}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3056873}, doi = {10.1109/ACCESS.2021.3056873}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChiWYFJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangDZY21, author = {Lei Wang and Xutao Ding and Wen Zhang and Shuo Yang}, title = {Differences in {EEG} Microstate Induced by Gaming: {A} Comparison Between the Gaming Disorder Individual, Recreational Game Users and Healthy Controls}, journal = {{IEEE} Access}, volume = {9}, pages = {32549--32558}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3060112}, doi = {10.1109/ACCESS.2021.3060112}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangDZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuRWAT21, author = {Jinbin Hu and Chang Ruan and Lei Wang and Osama Alfarraj and Amr Tolba}, title = {Coding-Based Distributed Congestion-Aware Packet Spraying to Avoid Reordering in Data Center Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {35539--35548}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3062866}, doi = {10.1109/ACCESS.2021.3062866}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HuRWAT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuLWHW21, author = {Xianjun Liu and Xixiang Liu and Lei Wang and Yongjiang Huang and Zixuan Wang}, title = {{SINS/DVL} Integrated System With Current and Misalignment Estimation for Midwater Navigation}, journal = {{IEEE} Access}, volume = {9}, pages = {51332--51342}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3069469}, doi = {10.1109/ACCESS.2021.3069469}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiuLWHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AliWARC21, author = {Muhammad Saqib Ali and Lei Wang and Hani Alquhayz and Obaid Ur Rehman and Guozhu Chen}, title = {Performance Improvement of Three-Phase Boost Power Factor Correction Rectifier Through Combined Parameters Optimization of Proportional-Integral and Repetitive Controller}, journal = {{IEEE} Access}, volume = {9}, pages = {58893--58909}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3073004}, doi = {10.1109/ACCESS.2021.3073004}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AliWARC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DongWPMW21, author = {Yuanfa Dong and Lei Wang and Wei Peng and Ronghua Meng and Zhengjia Wu}, title = {A Comparative Study on the Impact of One-Way and Two-Way Matching Strategies on the Evolution of Cloud Manufacturing Ecosystems}, journal = {{IEEE} Access}, volume = {9}, pages = {61917--61928}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3073858}, doi = {10.1109/ACCESS.2021.3073858}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DongWPMW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JiangWY21, author = {Chuang Jiang and Lei Wang and Xuexiang Yu}, title = {Retrieving 3D Large Gradient Deformation Induced to Mining Subsidence Based on Fusion of Boltzmann Prediction Model and Single-Track InSAR Earth Observation Technology}, journal = {{IEEE} Access}, volume = {9}, pages = {87156--87172}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3089160}, doi = {10.1109/ACCESS.2021.3089160}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JiangWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GengZTMXZW21, author = {Huihui Geng and Xueyi Zhang and Lanian Tong and Qingzhi Ma and Mingjun Xu and Yufeng Zhang and Lei Wang}, title = {Performance Optimization Analysis of Hybrid Excitation Generator With the Electromagnetic Rotor and Embedded Permanent Magnet Rotor for Vehicle}, journal = {{IEEE} Access}, volume = {9}, pages = {163640--163653}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3133960}, doi = {10.1109/ACCESS.2021.3133960}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/GengZTMXZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/WangJW21, author = {Lei Wang and Kegui Jiang and Tao Wei}, title = {Development of a new inversion method for detecting spatiotemporal characteristics of coal mines based on earth observation technology}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {100}, pages = {102346}, year = {2021}, url = {https://doi.org/10.1016/j.jag.2021.102346}, doi = {10.1016/J.JAG.2021.102346}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/WangJW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/ShiHWW21, author = {Qinghe Shi and Kejun Hu and Lei Wang and Xiaojun Wang}, title = {Uncertain identification method of structural damage for beam-like structures based on strain modes with noises}, journal = {Appl. Math. Comput.}, volume = {390}, pages = {125682}, year = {2021}, url = {https://doi.org/10.1016/j.amc.2020.125682}, doi = {10.1016/J.AMC.2020.125682}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/ShiHWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/WangLY21, author = {Lei Wang and Qingdu Li and Xiao{-}Song Yang}, title = {Periodic sinks and periodic saddle orbits induced by heteroclinic bifurcation in three-dimensional piecewise linear systems with two zones}, journal = {Appl. Math. Comput.}, volume = {404}, pages = {126200}, year = {2021}, url = {https://doi.org/10.1016/j.amc.2021.126200}, doi = {10.1016/J.AMC.2021.126200}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/WangLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/LiYWPGZLW21, author = {Ying Li and Liju Yin and Zhenzhou Wang and Jinfeng Pan and Mingliang Gao and Guofeng Zou and Jiansi Liu and Lei Wang}, title = {Bayesian regularization restoration algorithm for photon counting images}, journal = {Appl. Intell.}, volume = {51}, number = {8}, pages = {5898--5911}, year = {2021}, url = {https://doi.org/10.1007/s10489-020-02175-4}, doi = {10.1007/S10489-020-02175-4}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LiYWPGZLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/WangYWCW21, author = {Lei Wang and Xuguang Yang and Huili Wang and Zhenhua Chai and Zhouchao Wei}, title = {A modified regularized lattice Boltzmann model for convection-diffusion equation with a source term}, journal = {Appl. Math. Lett.}, volume = {112}, pages = {106766}, year = {2021}, url = {https://doi.org/10.1016/j.aml.2020.106766}, doi = {10.1016/J.AML.2020.106766}, timestamp = {Tue, 10 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/appml/WangYWCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/WangL21, author = {Lei Wang and Zhengchao Liu}, title = {Data-driven product design evaluation method based on multi-stage artificial neural network}, journal = {Appl. Soft Comput.}, volume = {103}, pages = {107117}, year = {2021}, url = {https://doi.org/10.1016/j.asoc.2021.107117}, doi = {10.1016/J.ASOC.2021.107117}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/WangL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LiuWG21, author = {Yaru Liu and Lei Wang and Kaixuan Gu}, title = {A support vector regression (SVR)-based method for dynamic load identification using heterogeneous responses under interval uncertainties}, journal = {Appl. Soft Comput.}, volume = {110}, pages = {107599}, year = {2021}, url = {https://doi.org/10.1016/j.asoc.2021.107599}, doi = {10.1016/J.ASOC.2021.107599}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/LiuWG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/YangDPSCWWW21, author = {Haitao Yang and Zhaohong Deng and Xiaoyong Pan and Hong{-}Bin Shen and Kup{-}Sze Choi and Lei Wang and Shitong Wang and Jing Wu}, title = {RNA-binding protein recognition based on multi-view deep feature and multi-label learning}, journal = {Briefings Bioinform.}, volume = {22}, number = {3}, year = {2021}, url = {https://doi.org/10.1093/bib/bbaa174}, doi = {10.1093/BIB/BBAA174}, timestamp = {Sat, 31 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/YangDPSCWWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/HuWHWWJLY21, author = {Fan Hu and Lei Wang and Yishen Hu and Dongqi Wang and Weijie Wang and Jianbing Jiang and Nan Li and Peng Yin}, title = {A novel framework integrating {AI} model and enzymological experiments promotes identification of SARS-CoV-2 3CL protease inhibitors and activity-based probe}, journal = {Briefings Bioinform.}, volume = {22}, number = {6}, year = {2021}, url = {https://doi.org/10.1093/bib/bbab301}, doi = {10.1093/BIB/BBAB301}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/HuWHWWJLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/XuHLWW21, author = {Wenxiang Xu and Yongwen Hu and Wei Luo and Lei Wang and Rui Wu}, title = {A multi-objective scheduling method for distributed and flexible job shop based on hybrid genetic algorithm and tabu search considering operation outsourcing and carbon emission}, journal = {Comput. Ind. Eng.}, volume = {157}, pages = {107318}, year = {2021}, url = {https://doi.org/10.1016/j.cie.2021.107318}, doi = {10.1016/J.CIE.2021.107318}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/XuHLWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/WangWYSWD21, author = {Hang Wang and Lei Wang and Lin Yang and Xuetao Shi and Zhihong Wen and Xiuzhen Dong}, title = {Exploring the relationship between the dielectric properties and viability of human normal hepatic tissues from 10 Hz to 100 MHz based on grey relational analysis and {BP} neural network}, journal = {Comput. Biol. Medicine}, volume = {134}, pages = {104494}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104494}, doi = {10.1016/J.COMPBIOMED.2021.104494}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/WangWYSWD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/WangZLXM21, author = {Lei Wang and Mengjie Zhang and Yuliang Li and Jie Xia and Ruiqin Ma}, title = {Wearable multi-sensor enabled decision support system for environmental comfort evaluation of mutton sheep farming}, journal = {Comput. Electron. Agric.}, volume = {187}, pages = {106302}, year = {2021}, url = {https://doi.org/10.1016/j.compag.2021.106302}, doi = {10.1016/J.COMPAG.2021.106302}, timestamp = {Tue, 03 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/WangZLXM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/ZhangWGLZJDF21, author = {Qitong Zhang and Lei Wang and Linlin Ge and Shan Luo and Taihao Zhu and Feng Jiang and Jimmy Ding and Jieqing Feng}, title = {A Robust Multi-View System for High-Fidelity Human Body Shape Reconstruction}, journal = {Comput. Graph. Forum}, volume = {40}, number = {5}, pages = {19--31}, year = {2021}, url = {https://doi.org/10.1111/cgf.14354}, doi = {10.1111/CGF.14354}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/ZhangWGLZJDF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgx/JiangLWHW21, author = {Shiqi Jiang and Chenhui Li and Lei Wang and Yanpeng Hu and Changbo Wang}, title = {LatentMap: Effective auto-encoding of density maps for spatiotemporal data visualizations}, journal = {Graph. Vis. Comput.}, volume = {4}, pages = {200019}, year = {2021}, url = {https://doi.org/10.1016/j.gvc.2021.200019}, doi = {10.1016/J.GVC.2021.200019}, timestamp = {Thu, 22 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgx/JiangLWHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/WangFXCWY21, author = {Kun Wang and Zao Fu and Qian Xu and Duxin Chen and Lei Wang and Wenwu Yu}, title = {Distributed fixed step-size algorithm for dynamic economic dispatch with power flow limits}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {1}, year = {2021}, url = {https://doi.org/10.1007/s11432-019-2638-2}, doi = {10.1007/S11432-019-2638-2}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/WangFXCWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/MaZZLWQY21, author = {Xiaoliang Ma and Yongjin Zheng and Zexuan Zhu and Xiaodong Li and Lei Wang and Yutao Qi and Junshan Yang}, title = {Improving Evolutionary Multitasking Optimization by Leveraging Inter-Task Gene Similarity and Mirror Transformation}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {16}, number = {4}, pages = {38--53}, year = {2021}, url = {https://doi.org/10.1109/MCI.2021.3108311}, doi = {10.1109/MCI.2021.3108311}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cim/MaZZLWQY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/LiaoMWXZ21, author = {Yi Liao and Lujiang Miao and Lei Wang and Fei Xu and Chi Zhang}, title = {Extended Model on Structural Stability and Robustness to Bounded Rationality}, journal = {Complex.}, volume = {2021}, pages = {4902786:1--4902786:6}, year = {2021}, url = {https://doi.org/10.1155/2021/4902786}, doi = {10.1155/2021/4902786}, timestamp = {Fri, 18 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/LiaoMWXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/GaoCGZWJ21, author = {Yin Gao and Ke Chen and Hong Gao and Hongmei Zheng and Lei Wang and Kerong Jiang}, title = {IBOA-Based Optimization of Cross-Sectional Dimension of Rods for a 3-RRR {PPM} to Minimize Energy Consumption}, journal = {Complex.}, volume = {2021}, pages = {4920739:1--4920739:14}, year = {2021}, url = {https://doi.org/10.1155/2021/4920739}, doi = {10.1155/2021/4920739}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/GaoCGZWJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/WangXZPWWZ21, author = {Weixuan Wang and Shousheng Xie and Bin Zhou and Jingbo Peng and Lei Wang and Hao Wang and Yu Zhang}, title = {High-Order Sliding Mode Control for Networked Control System with Dynamic Noncooperative Game Scheduling}, journal = {Complex.}, volume = {2021}, pages = {6689969:1--6689969:16}, year = {2021}, url = {https://doi.org/10.1155/2021/6689969}, doi = {10.1155/2021/6689969}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/WangXZPWWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcan/ZhangWWZCM21, author = {Le Zhang and Zhichen Wang and Lei Wang and Zhe Zhang and Xu Chen and Lin Meng}, title = {Machine learning-based real-time visible fatigue crack growth detection}, journal = {Digit. Commun. Networks}, volume = {7}, number = {4}, pages = {551--558}, year = {2021}, url = {https://doi.org/10.1016/j.dcan.2021.03.003}, doi = {10.1016/J.DCAN.2021.03.003}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcan/ZhangWWZCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dga/LiuLWZ21, author = {Xinmin Liu and Kangkang Lin and Lei Wang and Hongkun Zhang}, title = {Stochastic Evolutionary Game Analysis Between Special Committees and {CEO:} Incentive and Supervision}, journal = {Dyn. Games Appl.}, volume = {11}, number = {3}, pages = {538--555}, year = {2021}, url = {https://doi.org/10.1007/s13235-020-00372-x}, doi = {10.1007/S13235-020-00372-X}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dga/LiuLWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/GuoZLWD21, author = {Haizhou Guo and Dian Zhang and Siyuan Liu and Lei Wang and Ye Ding}, title = {Bitcoin price forecasting: {A} perspective of underlying blockchain transactions}, journal = {Decis. Support Syst.}, volume = {151}, pages = {113650}, year = {2021}, url = {https://doi.org/10.1016/j.dss.2021.113650}, doi = {10.1016/J.DSS.2021.113650}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dss/GuoZLWD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LiuLCWLAM21, author = {Quan Liu and Yang Liu and Kun Chen and Lei Wang and Zhilei Li and Qingsong Ai and Li Ma}, title = {Research on Channel Selection and Multi-Feature Fusion of {EEG} Signals for Mental Fatigue Detection}, journal = {Entropy}, volume = {23}, number = {4}, pages = {457}, year = {2021}, url = {https://doi.org/10.3390/e23040457}, doi = {10.3390/E23040457}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/LiuLCWLAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/LaiWL21, author = {Zefeng Lai and Lei Wang and Qiang Ling}, title = {Recurrent knowledge tracing machine based on the knowledge state of students}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {38}, number = {8}, year = {2021}, url = {https://doi.org/10.1111/exsy.12782}, doi = {10.1111/EXSY.12782}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/es/LaiWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/WangWSWC21, author = {Tian Wang and Ye Wang and Jiamin Shen and Lei Wang and Lihong Cao}, title = {Predicting Spike Features of Hodgkin-Huxley-Type Neurons With Simple Artificial Neural Network}, journal = {Frontiers Comput. Neurosci.}, volume = {15}, pages = {800875}, year = {2021}, url = {https://doi.org/10.3389/fncom.2021.800875}, doi = {10.3389/FNCOM.2021.800875}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/WangWSWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/finr/LiuSWYWW21, author = {Desheng Liu and Linna Shan and Lei Wang and Shoulin Yin and Hui Wang and Chaoyang Wang}, title = {P\({}^{\mbox{3}}\)OI-MELSH: Privacy Protection Target Point of Interest Recommendation Algorithm Based on Multi-Exploring Locality Sensitive Hashing}, journal = {Frontiers Neurorobotics}, volume = {15}, pages = {660304}, year = {2021}, url = {https://doi.org/10.3389/fnbot.2021.660304}, doi = {10.3389/FNBOT.2021.660304}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/finr/LiuSWYWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/WangLWYZWS21, author = {Xun Wang and Hanlin Li and Lisheng Wang and Yongzhi Yu and Hao Zhou and Lei Wang and Tao Song}, title = {An improved YOLOv3 model for detecting location information of ovarian cancer from {CT} images}, journal = {Intell. Data Anal.}, volume = {25}, number = {6}, pages = {1565--1578}, year = {2021}, url = {https://doi.org/10.3233/IDA-205542}, doi = {10.3233/IDA-205542}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ida/WangLWYZWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/WangCX21, author = {Lei Wang and Kean Chen and Jian Xu}, title = {A Narrowband Active Noise Control System with a Frequency Estimator}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {104-A}, number = {9}, pages = {1284--1292}, year = {2021}, url = {https://doi.org/10.1587/transfun.2020eap1106}, doi = {10.1587/TRANSFUN.2020EAP1106}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/WangCX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/HuanWW21, author = {Song{-}Mei Huan and Tiantian Wu and Lei Wang}, title = {Poincar{\'{e}} Bifurcations Induced by a Nonregular Point on the Discontinuity Boundary in a Family of Planar Piecewise Linear Differential Systems}, journal = {Int. J. Bifurc. Chaos}, volume = {31}, number = {5}, pages = {2150076:1--2150076:19}, year = {2021}, url = {https://doi.org/10.1142/S0218127421500760}, doi = {10.1142/S0218127421500760}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/HuanWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/WangTWW21, author = {Haitao Wang and Keke Tian and Zhengjiang Wu and Lei Wang}, title = {A Short Text Classification Method Based on Convolutional Neural Network and Semantic Extension}, journal = {Int. J. Comput. Intell. Syst.}, volume = {14}, number = {1}, pages = {367--375}, year = {2021}, url = {https://doi.org/10.2991/ijcis.d.201207.001}, doi = {10.2991/IJCIS.D.201207.001}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcisys/WangTWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/WangRTL21, author = {Lei Wang and Lili Rong and Fei Teng and Peide Liu}, title = {Teaching Performance Evaluation Based on the Proportional Hesitant Fuzzy Linguistic Prioritized Choquet Aggregation Operator}, journal = {Int. J. Comput. Intell. Syst.}, volume = {14}, number = {1}, pages = {635--650}, year = {2021}, url = {https://doi.org/10.2991/ijcis.d.210112.001}, doi = {10.2991/IJCIS.D.210112.001}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/WangRTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/WangZWY21, author = {Kun Wang and Gang Zeng and Lei Wang and Ziyu Yang}, title = {{MPSA:} {A} real-time collaborative scheduling algorithm for wireless rechargeable sensor networks}, journal = {Int. J. Commun. Syst.}, volume = {34}, number = {18}, year = {2021}, url = {https://doi.org/10.1002/dac.4995}, doi = {10.1002/DAC.4995}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcomsys/WangZWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/WeiW21, author = {Qian Wei and Lei Wang}, title = {Exponential stabilisation of Euler-Bernoulli beam with uncertain disturbance}, journal = {Int. J. Control}, volume = {94}, number = {6}, pages = {1622--1629}, year = {2021}, url = {https://doi.org/10.1080/00207179.2019.1662094}, doi = {10.1080/00207179.2019.1662094}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/WeiW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/AliWC21, author = {Muhammad Saqib Ali and Lei Wang and Guozhu Chen}, title = {Design and control aspect of segmented proportional integral-repetitive controller parameter optimization of the three-phase boost power factor correction rectifier}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {3}, pages = {554--575}, year = {2021}, url = {https://doi.org/10.1002/cta.2896}, doi = {10.1002/CTA.2896}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/AliWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/YangWPLWCY21, author = {Annan Yang and Chunmei Wang and Guowei Pang and Yongqing Long and Lei Wang and Richard M. Cruse and Qinke Yang}, title = {Gully Erosion Susceptibility Mapping in Highly Complex Terrain Using Machine Learning Models}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {10}, number = {10}, pages = {680}, year = {2021}, url = {https://doi.org/10.3390/ijgi10100680}, doi = {10.3390/IJGI10100680}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/YangWPLWCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/RongWLZ21, author = {Lili Rong and Lei Wang and Peide Liu and Baoying Zhu}, title = {Evaluation of MOOCs based on multigranular unbalanced hesitant fuzzy linguistic {MABAC} method}, journal = {Int. J. Intell. Syst.}, volume = {36}, number = {10}, pages = {5670--5713}, year = {2021}, url = {https://doi.org/10.1002/int.22526}, doi = {10.1002/INT.22526}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/RongWLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmssc/QiuRZQWW21, author = {Shaoyang Qiu and Hongxiang Ren and Teng Zhang and Xiaobin Qian and Fei Wan and Lei Wang}, title = {Numerical investigation and its application on the falling motion of freefall lifeboat}, journal = {Int. J. Model. Simul. Sci. Comput.}, volume = {12}, number = {6}, pages = {2150044:1--2150044:16}, year = {2021}, url = {https://doi.org/10.1142/S1793962321500446}, doi = {10.1142/S1793962321500446}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmssc/QiuRZQWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LvDWZ21, author = {Zhibin Lv and Hui Ding and Lei Wang and Quan Zou}, title = {A Convolutional Neural Network Using Dinucleotide One-hot Encoder for identifying {DNA} N6-Methyladenine Sites in the Rice Genome}, journal = {Neurocomputing}, volume = {422}, pages = {214--221}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2020.09.056}, doi = {10.1016/J.NEUCOM.2020.09.056}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LvDWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiangGWSZ21, author = {Tailin Liang and John Glossner and Lei Wang and Shaobo Shi and Xiaotong Zhang}, title = {Pruning and quantization for deep neural network acceleration: {A} survey}, journal = {Neurocomputing}, volume = {461}, pages = {370--403}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.07.045}, doi = {10.1016/J.NEUCOM.2021.07.045}, timestamp = {Sun, 12 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LiangGWSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/ZhengSWLXC21, author = {Zhihua Zheng and Victor S. Sheng and Lei Wang and Zhi Li and Xue{-}Feng Xi and Zhiming Cui}, title = {SemicNet: a semicircular network for the segmentation of the liver and its lesions}, journal = {Int. J. Sens. Networks}, volume = {35}, number = {3}, pages = {161--169}, year = {2021}, url = {https://doi.org/10.1504/IJSNET.2021.113838}, doi = {10.1504/IJSNET.2021.113838}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/ZhengSWLXC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WangYZMJLW21, author = {Lei Wang and Xiaoguang Yuan and Ming Zong and Yujun Ma and Wanting Ji and Mingzhe Liu and Ruili Wang}, title = {Multi-cue based four-stream 3D ResNets for video-based action recognition}, journal = {Inf. Sci.}, volume = {575}, pages = {654--665}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2021.07.079}, doi = {10.1016/J.INS.2021.07.079}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/WangYZMJLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LiuWZZW21, author = {Weiwei Liu and Fu Wang and Chennan Zhang and Jingyu Zhang and Lei Wang}, title = {A Simulation Study of Urban Public Transport Transfer Station Based on Anylogic}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {15}, number = {4}, pages = {1216--1231}, year = {2021}, url = {https://doi.org/10.3837/tiis.2021.04.002}, doi = {10.3837/TIIS.2021.04.002}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LiuWZZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itl/WangD21, author = {Lei Wang and Yue Dong}, title = {Stochastic neural network based data analysis-related talent recruitment optimization via {CDN} server}, journal = {Internet Technol. Lett.}, volume = {4}, number = {5}, year = {2021}, url = {https://doi.org/10.1002/itl2.263}, doi = {10.1002/ITL2.263}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itl/WangD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbcb/WangKW21, author = {Lei Wang and Wei Kong and Shuaiqun Wang}, title = {Detecting genetic associations with brain imaging phenotypes in Alzheimer's disease via a novel structured {KCCA} approach}, journal = {J. Bioinform. Comput. Biol.}, volume = {19}, number = {4}, pages = {2150012:1--2150012:16}, year = {2021}, url = {https://doi.org/10.1142/S0219720021500128}, doi = {10.1142/S0219720021500128}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbcb/WangKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/WangHDLWW21, author = {Lei Wang and Rongjing Huang and Shuai Ding and Guofu Li and Shaohua Wang and Jun Wang}, title = {Performance-based salary distribution ratio in clinical departments of public hospitals based on improved {DEA} method}, journal = {J. Comput. Methods Sci. Eng.}, volume = {21}, number = {6}, pages = {1747--1755}, year = {2021}, url = {https://doi.org/10.3233/JCM-215415}, doi = {10.3233/JCM-215415}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcmse/WangHDLWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChenWCH21, author = {Chang Chen and Lei Wang and Changyuan Chang and Xiong Han}, title = {An Adaptive Multi-Mode {PWM} Control {PSR} Flyback Converter}, journal = {J. Circuits Syst. Comput.}, volume = {30}, number = {1}, pages = {2150001:1--2150001:18}, year = {2021}, url = {https://doi.org/10.1142/S0218126621500018}, doi = {10.1142/S0218126621500018}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ChenWCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/ZhangLWF21, author = {Qitong Zhang and Shan Luo and Lei Wang and Jieqing Feng}, title = {{CNLPA-MVS:} Coarse-Hypotheses Guided Non-Local PatchMatch Multi-View Stereo}, journal = {J. Comput. Sci. Technol.}, volume = {36}, number = {3}, pages = {572--587}, year = {2021}, url = {https://doi.org/10.1007/s11390-021-1299-7}, doi = {10.1007/S11390-021-1299-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/ZhangLWF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/WangMD21, author = {Lei Wang and Keqi Mei and Shihong Ding}, title = {Fixed-time {SOSM} controller design subject to an asymmetric output constraint}, journal = {J. Frankl. Inst.}, volume = {358}, number = {15}, pages = {7485--7506}, year = {2021}, url = {https://doi.org/10.1016/j.jfranklin.2021.07.040}, doi = {10.1016/J.JFRANKLIN.2021.07.040}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/WangMD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/JiangSWZZDW21, author = {Kui Jiang and Yujuan Shang and Lei Wang and Zheqing Zhang and Siwei Zhou and Jiancheng Dong and Huiqun Wu}, title = {A framework for meaningful use of clinical decision model: {A} diabetic nephropathy prediction modeling based on real world data}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {5}, pages = {9597--9608}, year = {2021}, url = {https://doi.org/10.3233/JIFS-202030}, doi = {10.3233/JIFS-202030}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/JiangSWZZDW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/WangP21, author = {Lei Wang and Xindong Peng}, title = {An approach to decision making with interval-valued complex Pythagorean fuzzy model for evaluating personal risk of mental patients}, journal = {J. Intell. Fuzzy Syst.}, volume = {41}, number = {1}, pages = {1461--1486}, year = {2021}, url = {https://doi.org/10.3233/JIFS-210352}, doi = {10.3233/JIFS-210352}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/WangP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/WangW21, author = {Dandan Wang and Lei Wang}, title = {Study on Application of Ultrasound-Guided Peripheral Venipuncture in Pediatric Clinical Nursing and Raising Family Satisfaction}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {4}, pages = {1277--1284}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3468}, doi = {10.1166/JMIHI.2021.3468}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/WangW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/WangH21, author = {Lei Wang and Zhipeng Hong}, title = {Multi-Dimensional Spiral {CT} Scan Assisted Recurrence Monitoring After Esophageal Cancer Surgery}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {6}, pages = {1686--1694}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3698}, doi = {10.1166/JMIHI.2021.3698}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/WangH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossac/WangSX21, author = {Lei Wang and Siying Sun and Zheng Xia}, title = {An Efficient Multiple Imputation Approach for Estimating Equations with Response Missing at Random and High-Dimensional Covariates}, journal = {J. Syst. Sci. Complex.}, volume = {34}, number = {1}, pages = {440--464}, year = {2021}, url = {https://doi.org/10.1007/s11424-020-9133-9}, doi = {10.1007/S11424-020-9133-9}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jossac/WangSX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/JiangWD21, author = {Shuai Jiang and Lei Wang and Yuanyuan Dong}, title = {Application of Virtual Reality Human-Computer Interaction Technology Based on the Sensor in English Teaching}, journal = {J. Sensors}, volume = {2021}, pages = {1--10}, year = {2021}, url = {https://doi.org/10.1155/2021/2505119}, doi = {10.1155/2021/2505119}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/JiangWD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ZhengJWMLSLD21, author = {Qibin Zheng and Yanpeng Jian and Lei Wang and Ziyue Ma and Xinyu Li and Chaofan Song and Ping Li and Li Ding}, title = {{BPSK} Modulation-Based Local Oscillator-Free {IQ} Demodulation for Millimeter Wave Imaging}, journal = {J. Sensors}, volume = {2021}, pages = {1--9}, year = {2021}, url = {https://doi.org/10.1155/2021/5596854}, doi = {10.1155/2021/5596854}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ZhengJWMLSLD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/FanZYWZHYXLL21, author = {Shuanglong Fan and Zhiqiang Zhao and Hongmei Yu and Lei Wang and ChuChu Zheng and XueQian Huang and Zhenhuan Yang and Meng Xing and Qing Lu and Yanhong Luo}, title = {Applying probability calibration to ensemble methods to predict 2-year mortality in patients with {DLBCL}}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {14}, year = {2021}, url = {https://doi.org/10.1186/s12911-020-01354-0}, doi = {10.1186/S12911-020-01354-0}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/FanZYWZHYXLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ShangJWZZLDW21, author = {Yujuan Shang and Kui Jiang and Lei Wang and Zheqing Zhang and Siwei Zhou and Yun Liu and Jiancheng Dong and Huiqun Wu}, title = {The 30-days hospital readmission risk in diabetic patients: predictive modeling with machine learning classifiers}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21-S}, number = {2}, pages = {57}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01423-y}, doi = {10.1186/S12911-021-01423-Y}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ShangJWZZLDW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LiTXXWCW21, author = {Guixiang Li and Zhongwei Tan and Weikang Xu and Fei Xu and Lei Wang and Jun Chen and Kai Wu}, title = {A particle swarm optimization improved {BP} neural network intelligent model for electrocardiogram classification}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21-S}, number = {2}, pages = {99}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01453-6}, doi = {10.1186/S12911-021-01453-6}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/LiTXXWCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlst/LiuMZW21, author = {Jin{-}Guo Liu and Liang Mao and Pan Zhang and Lei Wang}, title = {Solving quantum statistical mechanics with variational autoregressive networks and quantum circuits}, journal = {Mach. Learn. Sci. Technol.}, volume = {2}, number = {2}, pages = {25011}, year = {2021}, url = {https://doi.org/10.1088/2632-2153/aba19d}, doi = {10.1088/2632-2153/ABA19D}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlst/LiuMZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/MianWWLA21, author = {Ajmal Saeed Mian and Lei Wang and Ruiping Wang and Hamid Laga and Naveed Akhtar}, title = {Neural computing and applications {(NCAA)} special issue on best of {DICTA} 2019 papers}, journal = {Neural Comput. Appl.}, volume = {33}, number = {13}, pages = {7309}, year = {2021}, url = {https://doi.org/10.1007/s00521-021-05927-6}, doi = {10.1007/S00521-021-05927-6}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/MianWWLA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/XuBFLLLQWWYZ21, author = {Jingbo Xu and Zhanning Bai and Wenfei Fan and Longbin Lai and Xue Li and Zhao Li and Zhengping Qian and Lei Wang and Yanyan Wang and Wenyuan Yu and Jingren Zhou}, title = {GraphScope: {A} One-Stop Large Graph Processing System}, journal = {Proc. {VLDB} Endow.}, volume = {14}, number = {12}, pages = {2703--2706}, year = {2021}, url = {http://www.vldb.org/pvldb/vol14/p2703-xu.pdf}, doi = {10.14778/3476311.3476324}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/XuBFLLLQWWYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/FanHLLLLQ0WXYYY21, author = {Wenfei Fan and Tao He and Longbin Lai and Xue Li and Yong Li and Zhao Li and Zhengping Qian and Chao Tian and Lei Wang and Jingbo Xu and Youyang Yao and Qiang Yin and Wenyuan Yu and Kai Zeng and Kun Zhao and Jingren Zhou and Diwen Zhu and Rong Zhu}, title = {GraphScope: {A} Unified Engine For Big Graph Processing}, journal = {Proc. {VLDB} Endow.}, volume = {14}, number = {12}, pages = {2879--2892}, year = {2021}, url = {http://www.vldb.org/pvldb/vol14/p2879-qian.pdf}, doi = {10.14778/3476311.3476369}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/FanHLLLLQ0WXYYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangZPTHF21, author = {Lei Wang and Wen Zhuo and Zhifang Pei and Xingyuan Tong and Wei Han and Shibo Fang}, title = {Using Long-Term Earth Observation Data to Reveal the Factors Contributing to the Early 2020 Desert Locust Upsurge and the Resulting Vegetation Loss}, journal = {Remote. Sens.}, volume = {13}, number = {4}, pages = {680}, year = {2021}, url = {https://doi.org/10.3390/rs13040680}, doi = {10.3390/RS13040680}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/WangZPTHF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/XuSCLWS21, author = {Wei Xu and Wen{-}Bin Shen and Chenghui Cai and Li{-}Hong Li and Lei Wang and Ziyu Shen}, title = {Modeling and Performance Evaluation of Precise Positioning and Time-Frequency Transfer with Galileo Five-Frequency Observations}, journal = {Remote. Sens.}, volume = {13}, number = {15}, pages = {2972}, year = {2021}, url = {https://doi.org/10.3390/rs13152972}, doi = {10.3390/RS13152972}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/XuSCLWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangWTXY21, author = {Ranran Yang and Lei Wang and Qingjiu Tian and Nianxu Xu and Yanjun Yang}, title = {Estimation of the Conifer-Broadleaf Ratio in Mixed Forests Based on Time-Series Data}, journal = {Remote. Sens.}, volume = {13}, number = {21}, pages = {4426}, year = {2021}, url = {https://doi.org/10.3390/rs13214426}, doi = {10.3390/RS13214426}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YangWTXY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/QinWLWFH0LD21, author = {Peng Qin and Lei Wang and Tian{-}Ying Liu and Qian{-}Yu Wang and Jun{-}Heng Fu and Guan{-}Long Huang and Lin Gui and Jing Liu and Zhong{-}Shan Deng}, title = {The Design and Manufacturing Process of an Electrolyte-Free Liquid Metal Frequency-Reconfigurable Antenna}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1793}, year = {2021}, url = {https://doi.org/10.3390/s21051793}, doi = {10.3390/S21051793}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/QinWLWFH0LD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/WangLQ21, author = {Lei Wang and Qian Li and Jin Qin}, title = {Rotating Machinery Fault Diagnosis Method Based on Improved Semisupervised Generative Confrontation Network}, journal = {Sci. Program.}, volume = {2021}, pages = {1761446:1--1761446:14}, year = {2021}, url = {https://doi.org/10.1155/2021/1761446}, doi = {10.1155/2021/1761446}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sp/WangLQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/JiangW21, author = {Ming Jiang and Lei Wang}, title = {Almost-Minimal-Round BBB-Secure Tweakable Key-Alternating Feistel Block Cipher}, journal = {Symmetry}, volume = {13}, number = {4}, pages = {649}, year = {2021}, url = {https://doi.org/10.3390/sym13040649}, doi = {10.3390/SYM13040649}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/JiangW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ZhuangSSGW21, author = {Kejia Zhuang and Zhenchuan Shi and Yaobing Sun and Zhongmei Gao and Lei Wang}, title = {Digital Twin-Driven Tool Wear Monitoring and Predicting Method for the Turning Process}, journal = {Symmetry}, volume = {13}, number = {8}, pages = {1438}, year = {2021}, url = {https://doi.org/10.3390/sym13081438}, doi = {10.3390/SYM13081438}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ZhuangSSGW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/JanczarekWMWWNO21, author = {Marcin Janczarek and Zhishun Wei and Tharishinny R. Mogan and Lei Wang and Kunlei Wang and Akio Nitta and Bunsho Ohtani and Ewa Kowalska}, title = {Does Symmetry Control Photocatalytic Activity of Titania-Based Photocatalysts?}, journal = {Symmetry}, volume = {13}, number = {9}, pages = {1682}, year = {2021}, url = {https://doi.org/10.3390/sym13091682}, doi = {10.3390/SYM13091682}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/JanczarekWMWWNO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/WangWWFY21, author = {Xueli Wang and Lei Wang and Song Wang and Fei Fan and Xiaohua Ye}, title = {Marketisation as a channel of international technology diffusion and green total factor productivity: research on the spillover effect from China's first-tier cities}, journal = {Technol. Anal. Strateg. Manag.}, volume = {33}, number = {5}, pages = {491--504}, year = {2021}, url = {https://doi.org/10.1080/09537325.2020.1821877}, doi = {10.1080/09537325.2020.1821877}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taasm/WangWWFY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WangWXJLDS21, author = {Lei Wang and Hang Wang and Canhua Xu and Zhenyu Ji and Jianbo Li and Xiuzhen Dong and Xuetao Shi}, title = {Dielectric Properties of Human Active Liver, Kidney and Spleen Compared to Those of Respective Inactive Tissues, Porcine Tissues and the Data Provided by a Database in the Frequency Range of 10 Hz to 100 MHz}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {10}, pages = {3098--3109}, year = {2021}, url = {https://doi.org/10.1109/TBME.2021.3065016}, doi = {10.1109/TBME.2021.3065016}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/WangWXJLDS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/RenZHYCTW21, author = {Yu Ren and Fan Zhang and Xiaoqing Han and Xu Yang and Wenjie Chen and Mingyu Tian and Lei Wang}, title = {Stability Analysis and Improvement for {SSCB} With Single-Gate Controlled Series-Connected SiC MOSFETs}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {9}, pages = {8093--8103}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.3018065}, doi = {10.1109/TIE.2020.3018065}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/RenZHYCTW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/YangWXDT21, author = {Yanhua Yang and Lei Wang and De Xie and Cheng Deng and Dacheng Tao}, title = {Multi-Sentence Auxiliary Adversarial Networks for Fine-Grained Text-to-Image Synthesis}, journal = {{IEEE} Trans. Image Process.}, volume = {30}, pages = {2798--2809}, year = {2021}, url = {https://doi.org/10.1109/TIP.2021.3055062}, doi = {10.1109/TIP.2021.3055062}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/YangWXDT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/0001LTCWGY21, author = {Jie Guo and Shuichang Lai and Chengzhi Tao and Yuelong Cai and Lei Wang and Yanwen Guo and Ling{-}Qi Yan}, title = {Highlight-aware two-stream network for single-image {SVBRDF} acquisition}, journal = {{ACM} Trans. Graph.}, volume = {40}, number = {4}, pages = {123:1--123:14}, year = {2021}, url = {https://doi.org/10.1145/3450626.3459854}, doi = {10.1145/3450626.3459854}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/0001LTCWGY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/ZhengSZW21, author = {Zhuhan Zheng and Jinglu Sun and Mengxi Zhang and Lei Wang}, editor = {Neville A. Stanton}, title = {Relationship Among Fatigue, Psychomotor Vigilance and Physiological Index in a Flight Simulation Context}, booktitle = {Advances in Human Aspects of Transportation - Proceedings of the {AHFE} 2021 Virtual Conference on Human Aspects of Transportation, July 25-29, 2021, {USA}}, volume = {270}, pages = {625--631}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80012-3\_73}, doi = {10.1007/978-3-030-80012-3\_73}, timestamp = {Tue, 24 Aug 2021 15:54:10 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/ZhengSZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/WangL21, author = {Lei Wang and Xinyu Li}, title = {Numerical simulation of heat transfer characteristics of special-shaped heat pipe}, booktitle = {3rd International Conference on Artificial Intelligence and Advanced Manufacture, {AIAM} 2021, Manchester, United Kingdom, October 23-25, 2021}, pages = {20--24}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AIAM54119.2021.00011}, doi = {10.1109/AIAM54119.2021.00011}, timestamp = {Thu, 24 Mar 2022 09:35:39 +0100}, biburl = {https://dblp.org/rec/conf/aiam/WangL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/WangFHW21, author = {Yingzi Wang and Xiangyi Fang and Jue Hou and Lei Wang}, title = {Python-based License Plate Number Recognition Technology}, booktitle = {{AIAM} 2021: 3rd International Conference on Artificial Intelligence and Advanced Manufacture, Manchester, United Kingdom, October 23 - 25, 2021}, pages = {488--491}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3495018.3495105}, doi = {10.1145/3495018.3495105}, timestamp = {Thu, 24 Mar 2022 09:42:53 +0100}, biburl = {https://dblp.org/rec/conf/aiam/WangFHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/QuWZG21, author = {Jia Qu and Lei Wang and Kun Zhang and Weihua Guo}, title = {WEB-Based Computer Aided Innovation Design}, booktitle = {{AIAM} 2021: 3rd International Conference on Artificial Intelligence and Advanced Manufacture, Manchester, United Kingdom, October 23 - 25, 2021}, pages = {844--853}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3495018.3495289}, doi = {10.1145/3495018.3495289}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiam/QuWZG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/XiaCWLWWSZLC21, author = {Tao Xia and Xuefeng Chen and Yuwei Wang and Yuan Li and Yifan Wu and Lei Wang and Liujia Song and Shenglong Zhuo and Zhihong Lin and Patrick Yin Chiang}, title = {An integrated 8A pulsed {VCSEL} array driver under 12V supply with built-in pulse monitor and automatic peak current control for direct time-of-flight applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634829}, doi = {10.1109/A-SSCC53895.2021.9634829}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/XiaCWLWWSZLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bic-ta/JiYYAW21, author = {Yuxuan Ji and Jun Ye and Zhenyu Yang and Jiaxin Ao and Lei Wang}, editor = {Linqiang Pan and Zhihua Cui and Jianghui Cai and Lianghao Li}, title = {Attribute Selection Method Based on Artificial Bee Colony Algorithm and Neighborhood Discrimination Matrix Optimization}, booktitle = {Bio-Inspired Computing: Theories and Applications - 16th International Conference, {BIC-TA} 2021, Taiyuan, China, December 17-19, 2021, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1565}, pages = {71--87}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-19-1256-6\_6}, doi = {10.1007/978-981-19-1256-6\_6}, timestamp = {Thu, 24 Mar 2022 13:23:37 +0100}, biburl = {https://dblp.org/rec/conf/bic-ta/JiYYAW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bic-ta/LiPGW21, author = {Hongye Li and Xiaoying Pan and Wei Gan and Lei Wang}, editor = {Linqiang Pan and Zhihua Cui and Jianghui Cai and Lianghao Li}, title = {A Multi-direction Prediction Multi-objective Hybrid Chemical Reaction Optimization Algorithm for Dynamic Multi-objective Optimization}, booktitle = {Bio-Inspired Computing: Theories and Applications - 16th International Conference, {BIC-TA} 2021, Taiyuan, China, December 17-19, 2021, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1565}, pages = {302--316}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-19-1256-6\_23}, doi = {10.1007/978-981-19-1256-6\_23}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bic-ta/LiPGW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/YiJWSM21, author = {Bowen Yi and Chi Jin and Lei Wang and Guodong Shi and Ian R. Manchester}, title = {An almost globally convergent observer for visual {SLAM} without persistent excitation}, booktitle = {2021 60th {IEEE} Conference on Decision and Control (CDC), Austin, TX, USA, December 14-17, 2021}, pages = {5441--5446}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CDC45484.2021.9683624}, doi = {10.1109/CDC45484.2021.9683624}, timestamp = {Tue, 17 May 2022 15:53:17 +0200}, biburl = {https://dblp.org/rec/conf/cdc/YiJWSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/FangZT0YWWZZ21, author = {Wenjing Fang and Derun Zhao and Jin Tan and Chaochao Chen and Chaofan Yu and Li Wang and Lei Wang and Jun Zhou and Benyu Zhang}, editor = {Gianluca Demartini and Guido Zuccon and J. Shane Culpepper and Zi Huang and Hanghang Tong}, title = {Large-scale Secure {XGB} for Vertical Federated Learning}, booktitle = {{CIKM} '21: The 30th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1 - 5, 2021}, pages = {443--452}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459637.3482361}, doi = {10.1145/3459637.3482361}, timestamp = {Tue, 16 Aug 2022 23:04:38 +0200}, biburl = {https://dblp.org/rec/conf/cikm/FangZT0YWWZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csai/ZhangZWWX21, author = {Liyan Zhang and Hao Zhou and Juan Wang and Lei Wang and Chengyi Xia}, title = {Automatic segmentation for meniscus magnetic resonance images of knee joint based on Mask region-based convolution neural network}, booktitle = {{CSAI} 2021: 5th International Conference on Computer Science and Artificial Intelligence, Beijing, China, December 4 - 6, 2021}, pages = {50--56}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3507548.3507556}, doi = {10.1145/3507548.3507556}, timestamp = {Thu, 28 Mar 2024 10:41:26 +0100}, biburl = {https://dblp.org/rec/conf/csai/ZhangZWWX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/ShoryuWM21, author = {Teragawa Shoryu and Lei Wang and Ruixin Ma}, editor = {Weiming Shen and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Yanjun Shi and Jinghui Zhang}, title = {A Deep Neural Network Approach using Convolutional Network and Long Short Term Memory for Text Sentiment Classification}, booktitle = {24th {IEEE} International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2021, Dalian, China, May 5-7, 2021}, pages = {763--768}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CSCWD49262.2021.9437871}, doi = {10.1109/CSCWD49262.2021.9437871}, timestamp = {Tue, 08 Jun 2021 11:39:47 +0200}, biburl = {https://dblp.org/rec/conf/cscwd/ShoryuWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/ZhangYFLW21, author = {Zihui Zhang and Liangjie Yu and Xinjian Fan and Yanqiang Li and Lei Wang}, editor = {Weiming Shen and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Yanjun Shi and Jinghui Zhang}, title = {A Survey of {V2X} Testing for Cooperative Connected and Automated Mobility}, booktitle = {24th {IEEE} International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2021, Dalian, China, May 5-7, 2021}, pages = {942--946}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CSCWD49262.2021.9437817}, doi = {10.1109/CSCWD49262.2021.9437817}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscwd/ZhangYFLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csia/MuLLSZHWL21, author = {Weibin Mu and Jingyu Li and Dandan Liu and Yu Sun and Chunlan Zhao and Jianyu Hou and Lei Wang and Lin Lin}, editor = {Zheng Xu and Reza M. Parizi and Octavio Loyola{-}Gonz{\'{a}}lez and Xiaolu Zhang}, title = {Study on Liver Tumor Segmentation Technology Based on Fully Convolutional Networks}, booktitle = {Cyber Security Intelligence and Analytics - 2021 International Conference on Cyber Security Intelligence and Analytics {(CSIA} 2021), Shenyang, China, 19-20 March, 2021, Volume 1}, series = {Advances in Intelligent Systems and Computing}, volume = {1342}, pages = {718--723}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-70042-3\_102}, doi = {10.1007/978-3-030-70042-3\_102}, timestamp = {Fri, 19 Mar 2021 15:30:00 +0100}, biburl = {https://dblp.org/rec/conf/csia/MuLLSZHWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/00230W0W21, author = {Peng Wang and Kai Han and Xiu{-}Shen Wei and Lei Zhang and Lei Wang}, title = {Contrastive Learning Based Hybrid Networks for Long-Tailed Image Classification}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {943--952}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Wang\_Contrastive\_Learning\_Based\_Hybrid\_Networks\_for\_Long-Tailed\_Image\_Classification\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00100}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/00230W0W21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangXMTYWR21, author = {Xiong Zhang and Hongmin Xu and Hong Mo and Jianchao Tan and Cheng Yang and Lei Wang and Wenqi Ren}, title = {{DCNAS:} Densely Connected Neural Architecture Search for Semantic Image Segmentation}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {13956--13967}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Zhang\_DCNAS\_Densely\_Connected\_Neural\_Architecture\_Search\_for\_Semantic\_Image\_Segmentation\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01374}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangXMTYWR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsa/Xiong0PW21, author = {Cong Xiong and Xiang Chen and Fuzhou Peng and Lei Wang}, title = {Optimal Gateway Station Placement for Transmission Delay Minimization in Broadband {LEO} Satellite Communication System}, booktitle = {8th International Conference on Dependable Systems and Their Applications, {DSA} 2021, Yinchuan, China, August 5-6, 2021}, pages = {120--127}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSA52907.2021.00021}, doi = {10.1109/DSA52907.2021.00021}, timestamp = {Fri, 03 Dec 2021 09:33:32 +0100}, biburl = {https://dblp.org/rec/conf/dsa/Xiong0PW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsc/WangRLLWS21, author = {Lei Wang and Shuai Ren and Guangao Li and Yang Liu and Gang Wang and Qian Sun}, title = {Artificial Intelligence Security for Hydraulic Machinery System}, booktitle = {Sixth {IEEE} International Conference on Data Science in Cyberspace, {DSC} 2021, Shenzhen, China, October 9-11, 2021}, pages = {413--419}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSC53577.2021.00065}, doi = {10.1109/DSC53577.2021.00065}, timestamp = {Fri, 22 Apr 2022 19:18:38 +0200}, biburl = {https://dblp.org/rec/conf/dsc/WangRLLWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtpi/GongHGHLW21, author = {Linjuan Gong and Guolian Hou and Hongqun Gu and Congzhi Huang and Xuming Lv and Lei Wang}, title = {Parallel Control of Supercritical Thermal Power Unit based on the {ACP} Method}, booktitle = {{IEEE} 2nd International Conference on Digital Twins and Parallel Intelligence, {DTPI} 2022, Boston, MA, USA, October 24-28, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DTPI52967.2021.9540089}, doi = {10.1109/DTPI52967.2021.9540089}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dtpi/GongHGHLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/ZhangGCDCYSWX21, author = {Huan Zhang and Fan Gao and Jingchi Cheng and Liang Dou and Sai Chen and Boyuan Yan and Zhao Sun and Lei Wang and Chongjin Xie}, title = {Demonstration of a Disaggregated {ROADM} Network with Automatic Channel Provisioning and Link Power Adjustment}, booktitle = {European Conference on Optical Communication, {ECOC} 2021, Bordeaux, France, September 13-16, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ECOC52684.2021.9606164}, doi = {10.1109/ECOC52684.2021.9606164}, timestamp = {Wed, 01 Dec 2021 17:46:03 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/ZhangGCDCYSWX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/WangY0YCYYZ21, author = {Lei Wang and Qiang Yin and Chao Tian and Jianbang Yang and Rong Chen and Wenyuan Yu and Zihang Yao and Jingren Zhou}, editor = {Antonio Barbalace and Pramod Bhatotia and Lorenzo Alvisi and Cristian Cadar}, title = {FlexGraph: a flexible and efficient distributed framework for {GNN} training}, booktitle = {EuroSys '21: Sixteenth European Conference on Computer Systems, Online Event, United Kingdom, April 26-28, 2021}, pages = {67--82}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447786.3456229}, doi = {10.1145/3447786.3456229}, timestamp = {Mon, 23 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurosys/WangY0YCYYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fimh/WangWDSZQVR21, author = {Lei Wang and Zhinuo J. Wang and Rub{\'{e}}n Doste and Alfonso Santiago and Xin Zhou and Adria Quintanas and Mariano V{\'{a}}zquez and Blanca Rodr{\'{\i}}guez}, editor = {Daniel B. Ennis and Luigi E. Perotti and Vicky Y. Wang}, title = {Effects of Fibre Orientation on Electrocardiographic and Mechanical Functions in a Computational Human Biventricular Model}, booktitle = {Functional Imaging and Modeling of the Heart - 11th International Conference, {FIMH} 2021, Stanford, CA, USA, June 21-25, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12738}, pages = {351--361}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78710-3\_34}, doi = {10.1007/978-3-030-78710-3\_34}, timestamp = {Mon, 21 Jun 2021 14:59:09 +0200}, biburl = {https://dblp.org/rec/conf/fimh/WangWDSZQVR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fm/XuZCSWJZ21, author = {Wenjing Xu and Yongwang Zhao and Chengtao Cao and Jean Raphael Ngnie Sighom and Lei Wang and Zhe Jiang and Shihong Zou}, editor = {Marieke Huisman and Corina S. Pasareanu and Naijun Zhan}, title = {Apply Formal Methods in Certifying the SyberX High-Assurance Kernel}, booktitle = {Formal Methods - 24th International Symposium, {FM} 2021, Virtual Event, November 20-26, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13047}, pages = {788--798}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-90870-6\_46}, doi = {10.1007/978-3-030-90870-6\_46}, timestamp = {Thu, 11 Nov 2021 16:19:19 +0100}, biburl = {https://dblp.org/rec/conf/fm/XuZCSWJZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LiWZ21, author = {Shu Li and Lei Wang and Ming Zeng}, editor = {Don Harris and Wen{-}Chin Li}, title = {Floating Iceberg Model of Psychological Competence Towards Airline Transport Pilots' Professionalism Lifecycle Management System}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 18th International Conference, {EPCE} 2021, Held as Part of the 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12767}, pages = {28--37}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-77932-0\_3}, doi = {10.1007/978-3-030-77932-0\_3}, timestamp = {Mon, 12 Jul 2021 10:33:30 +0200}, biburl = {https://dblp.org/rec/conf/hci/LiWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WangWL21, author = {Shuo Wang and Lei Wang and Shu Li}, editor = {Don Harris and Wen{-}Chin Li}, title = {Emotional Stressor on Human Errors in Flight: {A} Heart Rate Variance Examination}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 18th International Conference, {EPCE} 2021, Held as Part of the 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12767}, pages = {80--90}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-77932-0\_7}, doi = {10.1007/978-3-030-77932-0\_7}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/WangWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LuoZHWXPTH21, author = {Li Luo and Ying Zhang and Hongjun He and Lei Wang and Chanzqing Xun and Guoteng Pan and Junbo Tie and Huili Hu}, title = {Multi-objective Optimization Regression Verification for Multi-core Cache Coherence Protocol}, booktitle = {2021 {IEEE} 23rd Int Conf on High Performance Computing {\&} Communications; 7th Int Conf on Data Science {\&} Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, Cloud {\&} Big Data Systems {\&} Application (HPCC/DSS/SmartCity/DependSys), Haikou, Hainan, China, December 20-22, 2021}, pages = {2011--2018}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCC-DSS-SmartCity-DependSys53884.2021.00300}, doi = {10.1109/HPCC-DSS-SMARTCITY-DEPENDSYS53884.2021.00300}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/LuoZHWXPTH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/ChengYWST21, author = {Ximeng Cheng and Yating Yu and Lei Wang and Cheng Sun and Guiyun Tian}, title = {Wireless stress measurement on metal surface based on passive integrated {RFID} sensor tag}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2021, Glasgow, United Kingdom, May 17-20, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/I2MTC50364.2021.9460006}, doi = {10.1109/I2MTC50364.2021.9460006}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/i2mtc/ChengYWST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/JiangMBLWW21, author = {Huaiyuan Jiang and Hongwei Mei and Xingming Bian and Lanxin Li and Lei Wang and Liming Wang}, title = {Detection of Double-layer Air Gap Defects Based on Terahertz Imaging Method}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2021, Glasgow, United Kingdom, May 17-20, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/I2MTC50364.2021.9459793}, doi = {10.1109/I2MTC50364.2021.9459793}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/i2mtc/JiangMBLWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/WangCQ21, author = {Lei Wang and Haiming Chen and Wei Qin}, editor = {Yongxuan Lai and Tian Wang and Min Jiang and Guangquan Xu and Wei Liang and Aniello Castiglione}, title = {NBUFlow: {A} Dataflow Based Universal Task Orchestration and Offloading Platform for Low-Cost Development of IoT Systems with Cloud-Edge-Device Collaborative Computing}, booktitle = {Algorithms and Architectures for Parallel Processing - 21st International Conference, {ICA3PP} 2021, Virtual Event, December 3-5, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13156}, pages = {665--681}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-95388-1\_44}, doi = {10.1007/978-3-030-95388-1\_44}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ica3pp/WangCQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaart/LiWLS21, author = {Lei Li and Lei Wang and Yuanzhi Li and Jie Sheng}, editor = {Ana Paula Rocha and Luc Steels and H. Jaap van den Herik}, title = {Mixed Deep Reinforcement Learning-behavior Tree for Intelligent Agents Design}, booktitle = {Proceedings of the 13th International Conference on Agents and Artificial Intelligence, {ICAART} 2021, Volume 1, Online Streaming, February 4-6, 2021}, pages = {113--124}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010316901130124}, doi = {10.5220/0010316901130124}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/icaart/LiWLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiis/CaiWWP21, author = {Xugang Cai and Lei Wang and Jiawu Wu and Jiahui Pan}, title = {Towards an Artificial Intelligence-based Smart Ward Control using Speech and {EEG} Signals}, booktitle = {{ICAIIS} 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28 - 30, 2021}, pages = {57:1--57:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3469213.3470258}, doi = {10.1145/3469213.3470258}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icaiis/CaiWWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiis/LvW21, author = {Lixia Lv and Lei Wang}, title = {Query-by-Example Search with Multi-view Recurrent Auto-Encoder Representation}, booktitle = {{ICAIIS} 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28 - 30, 2021}, pages = {91:1--91:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3469213.3470293}, doi = {10.1145/3469213.3470293}, timestamp = {Sat, 30 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiis/LvW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiis/LiuW21, author = {Weixue Liu and Lei Wang}, title = {A Machine Reading Comprehension Framework for {REST} {API} Information Extraction}, booktitle = {{ICAIIS} 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28 - 30, 2021}, pages = {92:1--92:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3469213.3470294}, doi = {10.1145/3469213.3470294}, timestamp = {Sat, 30 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiis/LiuW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiis/ChenW21a, author = {Zhi Chen and Lei Wang}, title = {Application of Channel Attention for Speaker Recognition in the Wild}, booktitle = {{ICAIIS} 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28 - 30, 2021}, pages = {128:1--128:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3469213.3470331}, doi = {10.1145/3469213.3470331}, timestamp = {Sat, 30 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiis/ChenW21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiis/LiuCLLXW21, author = {Hanwei Liu and Huiling Cai and Qingcheng Lin and Xuefeng Li and Hui Xiao and Lei Wang}, title = {Research on Emotion Classification Based on Clustering Algorithm}, booktitle = {{ICAIIS} 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28 - 30, 2021}, pages = {256:1--256:6}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3469213.3470689}, doi = {10.1145/3469213.3470689}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icaiis/LiuCLLXW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiis/GaoWZCW21, author = {Bingtao Gao and Lei Wang and Zhengang Zhai and Yuan Chen and Jiangang Wang}, title = {Species homology analysis method based on amino acid location and physicochemical properties}, booktitle = {{ICAIIS} 2021: 2021 2nd International Conference on Artificial Intelligence and Information Systems, Chongqing, China, May 28 - 30, 2021}, pages = {323:1--323:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3469213.3471352}, doi = {10.1145/3469213.3471352}, timestamp = {Sat, 30 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiis/GaoWZCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbdt/LiCLZWX21, author = {Xiangzhen Li and Xindong Cui and Shuai Liu and Xiaowei Zhen and Lei Wang and Xiaodan Xie}, title = {A Rendering Method of Product Feature Tree Structure Based on Recursive Algorithm}, booktitle = {{ICBDT} 2021: 4th International Conference on Big Data Technologies, Zibo, China, September 24 - 26, 2021}, pages = {178--183}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3490322.3490350}, doi = {10.1145/3490322.3490350}, timestamp = {Fri, 23 Dec 2022 09:45:07 +0100}, biburl = {https://dblp.org/rec/conf/icbdt/LiCLZWX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WangSJJZN21, author = {Lei Wang and Xiaofang Sun and Ruihong Jiang and Wenyi Jiang and Zhangdui Zhong and Derrick Wing Kwan Ng}, title = {Optimal Energy Efficiency for Multi-MEC and Blockchain Empowered IoT: a Deep Learning Approach}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500558}, doi = {10.1109/ICC42927.2021.9500558}, timestamp = {Mon, 09 Aug 2021 11:13:44 +0200}, biburl = {https://dblp.org/rec/conf/icc/WangSJJZN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/ZhangWLWT21, author = {Wen Zhang and Tingjun Wang and Mingyuan Liu and Lei Wang and Tao Tao}, title = {System-Level Calibration Method for High-Precision Fiber Optic Platform System}, booktitle = {2021 International Conference on Control, Automation and Information Sciences, {ICCAIS} 2021, Xi'an, China, October 14-17, 2021}, pages = {205--209}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAIS52680.2021.9624656}, doi = {10.1109/ICCAIS52680.2021.9624656}, timestamp = {Mon, 03 Jan 2022 22:16:33 +0100}, biburl = {https://dblp.org/rec/conf/iccais/ZhangWLWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsip/WangZWLZ21, author = {Lei Wang and Qingjie Zhao and Shihao Wang and Jialin Lu and Ying Zhao}, editor = {Fuchun Sun and Dewen Hu and Stefan Wermter and Lei Yang and Huaping Liu and Bin Fang}, title = {High-Confidence Sample Labelling for Unsupervised Person Re-identification}, booktitle = {Cognitive Systems and Information Processing - 6th International Conference, {ICCSIP} 2021, Suzhou, China, November 20-21, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1515}, pages = {61--75}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-16-9247-5\_5}, doi = {10.1007/978-981-16-9247-5\_5}, timestamp = {Tue, 07 Mar 2023 14:47:26 +0100}, biburl = {https://dblp.org/rec/conf/iccsip/WangZWLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsip/LuZW21, author = {Jialin Lu and Qingjie Zhao and Lei Wang}, editor = {Fuchun Sun and Dewen Hu and Stefan Wermter and Lei Yang and Huaping Liu and Bin Fang}, title = {Multiple Granularities with Gradual Transition Network for Person Re-identification}, booktitle = {Cognitive Systems and Information Processing - 6th International Conference, {ICCSIP} 2021, Suzhou, China, November 20-21, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1515}, pages = {328--342}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-16-9247-5\_26}, doi = {10.1007/978-981-16-9247-5\_26}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsip/LuZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/JiZWAYMZ21, author = {Rui Ji and Feng Zhou and Lei Wang and Shaogeng An and Xiuhua Yuan and Dan Mu and Peiyan Zhang}, title = {A Roadside Unit {EIRP} Measurement Method Based on Very Near Field Scanning}, booktitle = {21st International Conference on Communication Technology, {ICCT} 2021, Tianjin, China, October 13-16, 2021}, pages = {1068--1071}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCT52962.2021.9657905}, doi = {10.1109/ICCT52962.2021.9657905}, timestamp = {Tue, 11 Jan 2022 10:02:53 +0100}, biburl = {https://dblp.org/rec/conf/icct/JiZWAYMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ZhangHTXYPW021, author = {Xiong Zhang and Hongsheng Huang and Jianchao Tan and Hongmin Xu and Cheng Yang and Guozhu Peng and Lei Wang and Ji Liu}, title = {Hand Image Understanding via Deep Multi-Task Learning}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {11261--11272}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01109}, doi = {10.1109/ICCV48922.2021.01109}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ZhangHTXYPW021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/HuangCXWJWWL21, author = {Bo Huang and Junjie Chen and Tingfa Xu and Ying Wang and Shenwang Jiang and Yuncheng Wang and Lei Wang and Jianan Li}, title = {SiamSTA: Spatio-Temporal Attention based Siamese Tracker for Tracking UAVs}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {1204--1212}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00140}, doi = {10.1109/ICCVW54120.2021.00140}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/HuangCXWJWWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfec/WangDG21, author = {Lei Wang and Mahdi Dolati and Majid Ghaderi}, editor = {Yogesh L. Simmhan and Blesson Varghese and Lena Mashayekhy and Rajkumar Buyya and Omer F. Rana}, title = {{CHANGE:} Delay-Aware Service Function Chain Orchestration at the Edge}, booktitle = {5th {IEEE} International Conference on Fog and Edge Computing, {ICFEC} 2021, Virtual Event, May 10-13, 2021}, pages = {19--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFEC51620.2021.00011}, doi = {10.1109/ICFEC51620.2021.00011}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfec/WangDG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icig/ChenXLWWL21, author = {Junjie Chen and Tingfa Xu and Jianan Li and Lei Wang and Ying Wang and Xiangmin Li}, editor = {Yuxin Peng and Shi{-}Min Hu and Moncef Gabbouj and Kun Zhou and Michael Elad and Kun Xu}, title = {Adaptive Gaussian-Like Response Correlation Filter for {UAV} Tracking}, booktitle = {Image and Graphics - 11th International Conference, {ICIG} 2021, Haikou, China, August 6-8, 2021, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12890}, pages = {596--609}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87361-5\_49}, doi = {10.1007/978-3-030-87361-5\_49}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icig/ChenXLWWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChakrabortyWW21, author = {Bela Chakraborty and Peng Wang and Lei Wang}, title = {Inter-Modality Fusion Based Attention for Zero-Shot Cross-Modal Retrieval}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {2648--2652}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506182}, doi = {10.1109/ICIP42928.2021.9506182}, timestamp = {Fri, 24 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChakrabortyWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenDWBL21, author = {Jianrong Chen and Sujit Dey and Lei Wang and Ning Bi and Peng Liu}, title = {Multi-Modal Fusion Enhanced Model For Driver's Facial Expression Recognition}, booktitle = {2021 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops, Shenzhen, China, July 5-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICMEW53276.2021.9455983}, doi = {10.1109/ICMEW53276.2021.9455983}, timestamp = {Thu, 19 May 2022 21:17:52 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/ChenDWBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmecg/ZhangWB21, author = {Mengzhen Zhang and Lei Wang and Sami Bergh{\"{a}}ll}, title = {Measurement of Online Store Performance-The Case of Chinese Agricultural Online Stores on the Tmall Platform}, booktitle = {{ICMECG} 2021: 8th International Conference on Management of e-Commerce and e-Government, Jeju Island, Republic of Korea, July 4 - 6, 2021}, pages = {8--15}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3483816.3483819}, doi = {10.1145/3483816.3483819}, timestamp = {Fri, 17 Nov 2023 14:33:35 +0100}, biburl = {https://dblp.org/rec/conf/icmecg/ZhangWB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnidc/ZhangLWZHGL21, author = {Luhua Zhang and Yuhang Liu and Lei Wang and Wenping Zhang and Xiaoning He and Siyi Guo and Lingshan Li}, title = {Design and Implementation of Campus Bathroom Prediction System Based on Prophet Algorithm}, booktitle = {7th {IEEE} International Conference on Network Intelligence and Digital Content, {IC-NIDC} 2021, Beijing, China, November 17-19, 2021}, pages = {31--35}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IC-NIDC54101.2021.9660551}, doi = {10.1109/IC-NIDC54101.2021.9660551}, timestamp = {Wed, 12 Jan 2022 13:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icnidc/ZhangLWZHGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/WuWW21, author = {Luchao Wu and Lei Wang and Jun Wang}, title = {Measuring the fracture toughness of low-k thin films used in advanced packaging}, booktitle = {2021 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2021, Zhuhai, China, November 24-26, 2021}, pages = {131--132}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTA53157.2021.9661599}, doi = {10.1109/ICTA53157.2021.9661599}, timestamp = {Mon, 06 Nov 2023 08:19:56 +0100}, biburl = {https://dblp.org/rec/conf/icta3/WuWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icycsee/MaWYLXL21, author = {Yao Ma and Lei Wang and Yu Yang and Xuepeng Li and Zeng Xu and Haifang Li}, editor = {Jianchao Zeng and Pinle Qin and Weipeng Jing and Xianhua Song and Zeguang Lu}, title = {Modeling and Analysis of {EEG} Brain Network in High Altitude Task State}, booktitle = {Data Science - 7th International Conference of Pioneering Computer Scientists, Engineers and Educators, {ICPCSEE} 2021, Taiyuan, China, September 17-20, 2021, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1451}, pages = {468--480}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-16-5940-9\_36}, doi = {10.1007/978-981-16-5940-9\_36}, timestamp = {Thu, 15 Feb 2024 16:58:31 +0100}, biburl = {https://dblp.org/rec/conf/icycsee/MaWYLXL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/XieWYLZW21, author = {Shixin Xie and Xu Wang and Biyu Yang and Mei Long and Jiyu Zhang and Lei Wang}, title = {A Multi-stage Framework for Complex Task Decomposition in Knowledge-intensive Crowdsourcing}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2021, Singapore, December 13-16, 2021}, pages = {1432--1436}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IEEM50564.2021.9672863}, doi = {10.1109/IEEM50564.2021.9672863}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/XieWYLZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/WangC21, author = {Lei Wang and Haoxun Chen}, editor = {Alexandre Dolgui and Alain Bernard and David Lemoine and Gregor von Cieminski and David Romero}, title = {Optimization of a Periodic Review Joint Replenishment Policy for a Stochastic Inventory System}, booktitle = {Advances in Production Management Systems. Artificial Intelligence for Sustainable and Resilient Production Systems - {IFIP} {WG} 5.7 International Conference, {APMS} 2021, Nantes, France, September 5-9, 2021, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {630}, pages = {493--501}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85874-2\_52}, doi = {10.1007/978-3-030-85874-2\_52}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/WangC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iisa/ChenLALW21, author = {Kun Chen and Zhilei Li and Qingsong Ai and Quan Liu and Lei Wang}, editor = {Nikolaos G. Bourbakis and George A. Tsihrintzis and Maria Virvou}, title = {An improved {CNN} model based on fused time-frequency features for mental fatigue detection in BCIs}, booktitle = {12th International Conference on Information, Intelligence, Systems {\&} Applications, {IISA} 2021, Chania Crete, Greece, July 12-14, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISA52424.2021.9555518}, doi = {10.1109/IISA52424.2021.9555518}, timestamp = {Wed, 13 Oct 2021 15:47:28 +0200}, biburl = {https://dblp.org/rec/conf/iisa/ChenLALW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipres/YangHWWYF21, author = {Chenliu Yang and Jiahui Hu and Qian Wang and Lei Wang and Kuanda Yao and An Fang}, editor = {Zijun Chen}, title = {Exploration of Preservation Metadata Towards Medical Resources Long-term Archiving}, booktitle = {Proceedings of the 17th International Conference on Digital Preservation, iPRES 2021, Beijing, China, October 19-22, 2021}, year = {2021}, url = {https://hdl.handle.net/11353/10.1424924}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipres/YangHWWYF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgv/Wang021, author = {Lei Wang and Wei Qi Yan}, editor = {Minh Nguyen and Wei Qi Yan and Harvey Ho}, title = {Tree Leaves Detection Based on Deep Learning}, booktitle = {Geometry and Vision - First International Symposium, {ISGV} 2021, Auckland, New Zealand, January 28-29, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1386}, pages = {26--38}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-72073-5\_3}, doi = {10.1007/978-3-030-72073-5\_3}, timestamp = {Fri, 04 Feb 2022 10:10:27 +0100}, biburl = {https://dblp.org/rec/conf/isgv/Wang021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/0001ZWWFTWLWH21, author = {Chaochao Chen and Jun Zhou and Li Wang and Xibin Wu and Wenjing Fang and Jin Tan and Lei Wang and Alex X. Liu and Hao Wang and Cheng Hong}, editor = {Feida Zhu and Beng Chin Ooi and Chunyan Miao}, title = {When Homomorphic Encryption Marries Secret Sharing: Secure Large-Scale Sparse Logistic Regression and Applications in Risk Control}, booktitle = {{KDD} '21: The 27th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, Singapore, August 14-18, 2021}, pages = {2652--2662}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447548.3467210}, doi = {10.1145/3447548.3467210}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/0001ZWWFTWLWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/SunFYZWLX21, author = {Xu Sun and Huihui Fang and Yehui Yang and Dongwei Zhu and Lei Wang and Junwei Liu and Yanwu Xu}, editor = {Huazhu Fu and Mona Kathryn Garvin and Tom J. MacGillivray and Yanwu Xu and Yalin Zheng}, title = {Robust Retinal Vessel Segmentation from a Data Augmentation Perspective}, booktitle = {Ophthalmic Medical Image Analysis - 8th International Workshop, {OMIA} 2021, Held in Conjunction with {MICCAI} 2021, Strasbourg, France, September 27, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12970}, pages = {189--198}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87000-3\_20}, doi = {10.1007/978-3-030-87000-3\_20}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/SunFYZWLX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/KuangLYTW0B21, author = {Zhenzhong Kuang and Huigui Liu and Jun Yu and Aikui Tian and Lei Wang and Jianping Fan and Noboru Babaguchi}, editor = {Heng Tao Shen and Yueting Zhuang and John R. Smith and Yang Yang and Pablo C{\'{e}}sar and Florian Metze and Balakrishnan Prabhakaran}, title = {Effective De-identification Generative Adversarial Network for Face Anonymization}, booktitle = {{MM} '21: {ACM} Multimedia Conference, Virtual Event, China, October 20 - 24, 2021}, pages = {3182--3191}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474085.3475464}, doi = {10.1145/3474085.3475464}, timestamp = {Mon, 22 Apr 2024 21:24:20 +0200}, biburl = {https://dblp.org/rec/conf/mm/KuangLYTW0B21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/WangZWLS21, author = {Lei Wang and Wei Zhu and Zhipeng Wu and Wenjuan Liu and Chengliang Sun}, title = {A Novel Piezoelectric Micromachined Ultrasonic Transducer with Adjustable Broad and Flat Frequency Band}, booktitle = {16th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2021, Xiamen, China, April 25-29, 2021}, pages = {656--659}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/NEMS51815.2021.9451323}, doi = {10.1109/NEMS51815.2021.9451323}, timestamp = {Mon, 28 Jun 2021 15:33:23 +0200}, biburl = {https://dblp.org/rec/conf/nems/WangZWLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/ZhangLGWSZ21, author = {Pei Zhang and Tailin Liang and John Glossner and Lei Wang and Shaobo Shi and Xiaotong Zhang}, editor = {Huimin Ma and Liang Wang and Changshui Zhang and Fei Wu and Tieniu Tan and Yaonan Wang and Jianhuang Lai and Yao Zhao}, title = {Dynamic Runtime Feature Map Pruning}, booktitle = {Pattern Recognition and Computer Vision - 4th Chinese Conference, {PRCV} 2021, Beijing, China, October 29 - November 1, 2021, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {13022}, pages = {411--422}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-88013-2\_34}, doi = {10.1007/978-3-030-88013-2\_34}, timestamp = {Mon, 20 Nov 2023 17:42:12 +0100}, biburl = {https://dblp.org/rec/conf/prcv/ZhangLGWSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/ZhangWWW21, author = {Teng Zhang and Cun Wei and Jun Wang and Lei Wang}, title = {Social Awareness-Based Collaboration Interferes With Physical Layer Secure Communication}, booktitle = {13th International Conference on Wireless Communications and Signal Processing, {WCSP} 2021, Changsha, China, October 20-22, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WCSP52459.2021.9613696}, doi = {10.1109/WCSP52459.2021.9613696}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcsp/ZhangWWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-01376, author = {Lei Wang and Yang Liu and Ian R. Manchester and Guodong Shi}, title = {Differentially Private Distributed Computation via Public-Private Communication Networks}, journal = {CoRR}, volume = {abs/2101.01376}, year = {2021}, url = {https://arxiv.org/abs/2101.01376}, eprinttype = {arXiv}, eprint = {2101.01376}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-01376.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-09671, author = {Tailin Liang and John Glossner and Lei Wang and Shaobo Shi}, title = {Pruning and Quantization for Deep Neural Network Acceleration: {A} Survey}, journal = {CoRR}, volume = {abs/2101.09671}, year = {2021}, url = {https://arxiv.org/abs/2101.09671}, eprinttype = {arXiv}, eprint = {2101.09671}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-09671.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-05463, author = {Chaohao Xie and Dongwei Ren and Lei Wang and Qinghua Hu and Liang Lin and Wangmeng Zuo}, title = {Learning Class-Agnostic Pseudo Mask Generation for Box-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2103.05463}, year = {2021}, url = {https://arxiv.org/abs/2103.05463}, eprinttype = {arXiv}, eprint = {2103.05463}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-05463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-14267, author = {Peng Wang and Kai Han and Xiu{-}Shen Wei and Lei Zhang and Lei Wang}, title = {Contrastive Learning based Hybrid Networks for Long-Tailed Image Classification}, journal = {CoRR}, volume = {abs/2103.14267}, year = {2021}, url = {https://arxiv.org/abs/2103.14267}, eprinttype = {arXiv}, eprint = {2103.14267}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-14267.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02966, author = {Bowen Yi and Chi Jin and Lei Wang and Guodong Shi and Ian R. Manchester}, title = {An almost globally convergent observer for visual {SLAM} without persistent excitation}, journal = {CoRR}, volume = {abs/2104.02966}, year = {2021}, url = {https://arxiv.org/abs/2104.02966}, eprinttype = {arXiv}, eprint = {2104.02966}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02966.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-08644, author = {Hao Xie and Linfeng Zhang and Lei Wang}, title = {Ab-initio study of interacting fermions at finite temperature with neural canonical transformation}, journal = {CoRR}, volume = {abs/2105.08644}, year = {2021}, url = {https://arxiv.org/abs/2105.08644}, eprinttype = {arXiv}, eprint = {2105.08644}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-08644.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-08928, author = {Minghuan Tan and Lei Wang and Lingxiao Jiang and Jing Jiang}, title = {Investigating Math Word Problems using Pretrained Multilingual Language Models}, journal = {CoRR}, volume = {abs/2105.08928}, year = {2021}, url = {https://arxiv.org/abs/2105.08928}, eprinttype = {arXiv}, eprint = {2105.08928}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-08928.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-14224, author = {Fan Hu and Lei Wang and Yishen Hu and Dongqi Wang and Weijie Wang and Jianbing Jiang and Nan Li and Peng Yin}, title = {A Novel Framework Integrating {AI} Model and Enzymological Experiments Promotes Identification of SARS-CoV-2 3CL Protease Inhibitors and Activity-based Probe}, journal = {CoRR}, volume = {abs/2105.14224}, year = {2021}, url = {https://arxiv.org/abs/2105.14224}, eprinttype = {arXiv}, eprint = {2105.14224}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-14224.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-14519, author = {Xiongshi Deng and Min Li and Lei Wang and Qikang Wan}, title = {{RFCBF:} enhance the performance and stability of Fast Correlation-Based Filter}, journal = {CoRR}, volume = {abs/2105.14519}, year = {2021}, url = {https://arxiv.org/abs/2105.14519}, eprinttype = {arXiv}, eprint = {2105.14519}, timestamp = {Wed, 02 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-14519.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-05841, author = {Xiongshi Deng and Min Li and Shaobo Deng and Lei Wang}, title = {Hybrid gene selection approach using XGBoost and multi-objective genetic algorithm for cancer classification}, journal = {CoRR}, volume = {abs/2106.05841}, year = {2021}, url = {https://arxiv.org/abs/2106.05841}, eprinttype = {arXiv}, eprint = {2106.05841}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-05841.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-04948, author = {Jiangbo Zhang and Deming Yuan and Lei Wang and Claudio Altafini and Guodong Shi}, title = {Dynamics of Opinions with Bounded Confidence in Social Cliques: Emergence of Fluctuations}, journal = {CoRR}, volume = {abs/2107.04948}, year = {2021}, url = {https://arxiv.org/abs/2107.04948}, eprinttype = {arXiv}, eprint = {2107.04948}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-04948.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-11646, author = {Xiong Zhang and Hongsheng Huang and Jianchao Tan and Hongmin Xu and Cheng Yang and Guozhu Peng and Lei Wang and Ji Liu}, title = {Hand Image Understanding via Deep Multi-Task Learning}, journal = {CoRR}, volume = {abs/2107.11646}, year = {2021}, url = {https://arxiv.org/abs/2107.11646}, eprinttype = {arXiv}, eprint = {2107.11646}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-11646.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-11972, author = {Liang Zeng and Lei Wang and Hui Niu and Jian Li and Ruchen Zhang and Zhonghao Dai and Dewei Zhu and Ling Wang}, title = {Trade When Opportunity Comes: Price Movement Forecasting via Locality-Aware Attention and Adaptive Refined Labeling}, journal = {CoRR}, volume = {abs/2107.11972}, year = {2021}, url = {https://arxiv.org/abs/2107.11972}, eprinttype = {arXiv}, eprint = {2107.11972}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-11972.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-05613, author = {Ruyi Zhang and Ziwei Yang and Zhi Yang and Xubo Yang and Lei Wang and Zheyang Li}, title = {Cascade Bagging for Accuracy Prediction with Few Training Samples}, journal = {CoRR}, volume = {abs/2108.05613}, year = {2021}, url = {https://arxiv.org/abs/2108.05613}, eprinttype = {arXiv}, eprint = {2108.05613}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-05613.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-05866, author = {Ziwei Yang and Ruyi Zhang and Zhi Yang and Xubo Yang and Lei Wang and Zheyang Li}, title = {Improving Ranking Correlation of Supernet with Candidates Enhancement and Progressive Training}, journal = {CoRR}, volume = {abs/2108.05866}, year = {2021}, url = {https://arxiv.org/abs/2108.05866}, eprinttype = {arXiv}, eprint = {2108.05866}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-05866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-08436, author = {Lei Wang and Romeo Ortega and Alexey A. Bobtsov and Jos{\'{e}} Guadalupe Romero and Bowen Yi}, title = {Identifiability Implies Robust, Globally Exponentially Convergent On-line Parameter Estimation: Application to Model Reference Adaptive Control}, journal = {CoRR}, volume = {abs/2108.08436}, year = {2021}, url = {https://arxiv.org/abs/2108.08436}, eprinttype = {arXiv}, eprint = {2108.08436}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-08436.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-09406, author = {Lei Wang and Romeo Ortega and Alexei A. Bobtsov}, title = {Observability is Sufficient for the Design of Globally Exponentially Convergent State Observers for State-affine Nonlinear Systems}, journal = {CoRR}, volume = {abs/2108.09406}, year = {2021}, url = {https://arxiv.org/abs/2108.09406}, eprinttype = {arXiv}, eprint = {2108.09406}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-09406.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-07217, author = {Binghong Wu and Yehui Yang and Dalu Yang and Junde Wu and Haifeng Huang and Lei Wang and Junwei Liu and Yanwu Xu}, title = {Progressive Hard-case Mining across Pyramid Levels in Object Detection}, journal = {CoRR}, volume = {abs/2109.07217}, year = {2021}, url = {https://arxiv.org/abs/2109.07217}, eprinttype = {arXiv}, eprint = {2109.07217}, timestamp = {Fri, 04 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-07217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-13099, author = {Zhipeng Xue and Chen Zeng and Chenglong Zhou and Yangtao Ge and Lei Wang}, title = {Are you sure you are using this method correctly? Usage Pattern Mining of Code Methods}, journal = {CoRR}, volume = {abs/2109.13099}, year = {2021}, url = {https://arxiv.org/abs/2109.13099}, eprinttype = {arXiv}, eprint = {2109.13099}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-13099.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-13536, author = {Lei Wang and Shihui Zhang and Huan He and Xiaoxiao Zhang and Yu Sang}, title = {A hierarchical residual network with compact triplet-center loss for sketch recognition}, journal = {CoRR}, volume = {abs/2109.13536}, year = {2021}, url = {https://arxiv.org/abs/2109.13536}, eprinttype = {arXiv}, eprint = {2109.13536}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-13536.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-09182, author = {Langzhang Liang and Cuiyun Gao and Shiyi Chen and Shishi Duan and Yu Pan and Junjin Zheng and Lei Wang and Zenglin Xu}, title = {Graph Partner Neural Networks for Semi-Supervised Learning on Graphs}, journal = {CoRR}, volume = {abs/2110.09182}, year = {2021}, url = {https://arxiv.org/abs/2110.09182}, eprinttype = {arXiv}, eprint = {2110.09182}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-09182.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-10474, author = {Ran Cheng and Chao Chen and Longfei Xu and Shen Li and Lei Wang and Hengbin Cui and Kaikui Liu and Xiaolong Li}, title = {{R4:} {A} Framework for Route Representation and Route Recommendation}, journal = {CoRR}, volume = {abs/2110.10474}, year = {2021}, url = {https://arxiv.org/abs/2110.10474}, eprinttype = {arXiv}, eprint = {2110.10474}, timestamp = {Fri, 06 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-10474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-11625, author = {Pengfei Zhu and Hongtao Yu and Kaihua Zhang and Yu Wang and Shuai Zhao and Lei Wang and Tianzhu Zhang and Qinghua Hu}, title = {Learning Dynamic Compact Memory Embedding for Deformable Visual Object Tracking}, journal = {CoRR}, volume = {abs/2111.11625}, year = {2021}, url = {https://arxiv.org/abs/2111.11625}, eprinttype = {arXiv}, eprint = {2111.11625}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-11625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-01696, author = {Chunyue Lv and Lei Wang and Chenming Xie}, title = {A hybrid physics-informed neural network for nonlinear partial differential equation}, journal = {CoRR}, volume = {abs/2112.01696}, year = {2021}, url = {https://arxiv.org/abs/2112.01696}, eprinttype = {arXiv}, eprint = {2112.01696}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-01696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-12509, author = {Xiaotong Ni and Hui{-}Hai Zhao and Lei Wang and Feng Wu and Jianxin Chen}, title = {Integrating Quantum Processor Device and Control Optimization in a Gradient-based Framework}, journal = {CoRR}, volume = {abs/2112.12509}, year = {2021}, url = {https://arxiv.org/abs/2112.12509}, eprinttype = {arXiv}, eprint = {2112.12509}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-12509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-12793, author = {Songtao Peng and Jiaqi Nie and Xincheng Shu and Zhongyuan Ruan and Lei Wang and Yunxuan Sheng and Qi Xuan}, title = {A Multi-View Framework for {BGP} Anomaly Detection via Graph Attention Network}, journal = {CoRR}, volume = {abs/2112.12793}, year = {2021}, url = {https://arxiv.org/abs/2112.12793}, eprinttype = {arXiv}, eprint = {2112.12793}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-12793.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-14949, author = {Lei Wang and Xin Liu}, title = {Decentralized Optimization Over the Stiefel Manifold by an Approximate Augmented Lagrangian Function}, journal = {CoRR}, volume = {abs/2112.14949}, year = {2021}, url = {https://arxiv.org/abs/2112.14949}, eprinttype = {arXiv}, eprint = {2112.14949}, timestamp = {Sat, 28 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-14949.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangCYBY20, author = {Lei Wang and Wei Chen and Wenjia Yang and Fangming Bi and Fei Richard Yu}, title = {A State-of-the-Art Review on Image Synthesis With Generative Adversarial Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {63514--63537}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2982224}, doi = {10.1109/ACCESS.2020.2982224}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangCYBY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GuoWLWWLSQLHZXL20, author = {Yuzhu Guo and Gang Wang and Lianyong Li and Lei Wang and Lipeng Wang and Simeng Li and Zhezhe Sun and Changmin Qu and Hongdan Liu and Haolun Han and Changqing Zhong and Bingxin Xu and Baowei Li and Xinwei Bao and Ying Zhou and Xiaoli Zhang and Wei Wu}, title = {Machine Learning Aided Diagnosis of Diseases Without Clinical Gold Standard: {A} New Score for Laryngopharyngeal Reflux Disease Based on pH Monitoring}, journal = {{IEEE} Access}, volume = {8}, pages = {67005--67014}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2985494}, doi = {10.1109/ACCESS.2020.2985494}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/GuoWLWWLSQLHZXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangWZL20, author = {Zhihui Huang and Lei Wang and Yuxing Zhang and Ruitong Liu}, title = {Design of {WPT} {RF} Power Supply Based on Dual Directional Coupler and Capacitor Array Impedance Matching Network}, journal = {{IEEE} Access}, volume = {8}, pages = {68209--68218}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2983492}, doi = {10.1109/ACCESS.2020.2983492}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuangWZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XiWSCFH20, author = {Xue{-}Feng Xi and Lei Wang and Victor S. Sheng and Zhiming Cui and Baochuan Fu and Fuyuan Hu}, title = {Cascade U-ResNets for Simultaneous Liver and Lesion Segmentation}, journal = {{IEEE} Access}, volume = {8}, pages = {68944--68952}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2985671}, doi = {10.1109/ACCESS.2020.2985671}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/XiWSCFH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/QiJWZW20, author = {Xianjun Qi and Zongshuo Ji and Hongbin Wu and Jingjing Zhang and Lei Wang}, title = {Short-Term Reliability Assessment of Generating Systems Considering Demand Response Reliability}, journal = {{IEEE} Access}, volume = {8}, pages = {74371--74384}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2988620}, doi = {10.1109/ACCESS.2020.2988620}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/QiJWZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangWXW20, author = {Jingjing Zhang and Michael Mao Wang and Tingting Xia and Lei Wang}, title = {Maritime IoT: An Architectural and Radio Spectrum Perspective}, journal = {{IEEE} Access}, volume = {8}, pages = {93109--93122}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2990830}, doi = {10.1109/ACCESS.2020.2990830}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhangWXW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangS20d, author = {Lei Wang and Zhuoyue Song}, title = {Continuous Fixed-Time Sliding Mode Attitude Controller Design for Rigid-Body Spacecraft}, journal = {{IEEE} Access}, volume = {8}, pages = {105399--105410}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2999412}, doi = {10.1109/ACCESS.2020.2999412}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangS20d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChandranWZ20, author = {Arul Mathi Maran Chandran and Lei Wang and Maciej Zawodniok}, title = {Channel Estimators for Full-Duplex Communication Using Orthogonal Pilot Sequences}, journal = {{IEEE} Access}, volume = {8}, pages = {117706--117713}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3002726}, doi = {10.1109/ACCESS.2020.3002726}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChandranWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuYLZTWF20, author = {Zhenyu Liu and Yaqiang Yao and Yan Liu and Yuening Zhu and Zhenchao Tao and Lei Wang and Yuhong Feng}, title = {Learning Dynamic Spatio-Temporal Relations for Human Activity Recognition}, journal = {{IEEE} Access}, volume = {8}, pages = {130340--130352}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3009136}, doi = {10.1109/ACCESS.2020.3009136}, timestamp = {Fri, 31 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiuYLZTWF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangZZ20a, author = {Lei Wang and Xuejun Zhou and Zheng Zhang}, title = {Design and Analysis of an Equivalent Load Power-Stability Control Circuit for Cabled Underwater Information Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {158549--158558}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020311}, doi = {10.1109/ACCESS.2020.3020311}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangZZ20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XieLAWLX20, author = {Qingsong Xie and Kewei Liu and Zhiyong An and Lei Wang and Ye Li and Zhongliang Xiang}, title = {A Novel Incremental Multi-Template Update Strategy for Robust Object Tracking}, journal = {{IEEE} Access}, volume = {8}, pages = {162668--162682}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3021786}, doi = {10.1109/ACCESS.2020.3021786}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/XieLAWLX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangSWWP20, author = {Shuo Yang and Baixue Shi and Lei Wang and Zengxin Wang and Sen Peng}, title = {Long-Term Cognitive Tasks Impair the Ability of Resource Allocation in Working Memory: {A} Study of Time-Frequency Analysis and Event-Related Potentials}, journal = {{IEEE} Access}, volume = {8}, pages = {211482--211489}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3039268}, doi = {10.1109/ACCESS.2020.3039268}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangSWWP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WeiWWFW20, author = {Teng{-}Fei Wei and Xiao{-}Hua Wang and Lei Wang and Zhihong Feng and Bing{-}Zhong Wang}, title = {Efficient Born Iterative Method for Inverse Scattering Based on Modified Forward-Solver}, journal = {{IEEE} Access}, volume = {8}, pages = {229101--229107}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3046264}, doi = {10.1109/ACCESS.2020.3046264}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WeiWWFW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/WangSZWL20, author = {Zhen Wang and Fu{-}Zhen Sun and Long{-}Bo Zhang and Lei Wang and Pingping Liu}, title = {Top Position Sensitive Ordinal Relation Preserving Bitwise Weight for Image Retrieval}, journal = {Algorithms}, volume = {13}, number = {1}, pages = {18}, year = {2020}, url = {https://doi.org/10.3390/a13010018}, doi = {10.3390/A13010018}, timestamp = {Tue, 21 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/WangSZWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amm/HeWZW20, author = {Chen He and Lei Wang and Yonghui Zhang and Chunmeng Wang}, title = {Dominant Symmetry Plane Detection for Point-Based 3D Models}, journal = {Adv. Multim.}, volume = {2020}, pages = {8861367:1--8861367:8}, year = {2020}, url = {https://doi.org/10.1155/2020/8861367}, doi = {10.1155/2020/8861367}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amm/HeWZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ZhouWTZLW20, author = {Xijia Zhou and Pengxin Wang and Kevin Tansey and Shuyu Zhang and Hongmei Li and Lei Wang}, title = {Developing a fused vegetation temperature condition index for drought monitoring at field scales using Sentinel-2 and {MODIS} imagery}, journal = {Comput. Electron. Agric.}, volume = {168}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2019.105144}, doi = {10.1016/J.COMPAG.2019.105144}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/ZhouWTZLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/WangWLZKF20, author = {Lei Wang and Pengxin Wang and Shunlin Liang and Yongchao Zhu and Jahangir Khan and Shibo Fang}, title = {Monitoring maize growth on the North China Plain using a hybrid genetic algorithm-based back-propagation neural network model}, journal = {Comput. Electron. Agric.}, volume = {170}, pages = {105238}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2020.105238}, doi = {10.1016/J.COMPAG.2020.105238}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/WangWLZKF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/WangZLYWRZH20, author = {Lei Wang and Zhiqiang Zhao and Yanhong Luo and Hongmei Yu and Shuqing Wu and Xiao{-}Lu Ren and ChuChu Zheng and XueQian Huang}, title = {Classifying 2-year recurrence in patients with dlbcl using clinical variables with imbalanced data and machine learning methods}, journal = {Comput. Methods Programs Biomed.}, volume = {196}, pages = {105567}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105567}, doi = {10.1016/J.CMPB.2020.105567}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/WangZLYWRZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cominfsys/WangAY20, author = {Lei Wang and Hani Aldirawi and Jie Yang}, title = {Identifying zero-inflated distributions with a new {R} package iZID}, journal = {Commun. Inf. Syst.}, volume = {20}, number = {1}, pages = {23--44}, year = {2020}, url = {https://doi.org/10.4310/cis.2020.v20.n1.a2}, doi = {10.4310/CIS.2020.V20.N1.A2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cominfsys/WangAY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/SunSWWLJY20, author = {Wei Sun and Jie Shan and Zhiming Wang and Lei Wang and Dianmei Lu and Zhifeng Jin and Kun Yu}, title = {Geospatial Analysis of Urban Expansion Using Remote Sensing Methods and Data: {A} Case Study of Yangtze River Delta, China}, journal = {Complex.}, volume = {2020}, pages = {3239471:1--3239471:12}, year = {2020}, url = {https://doi.org/10.1155/2020/3239471}, doi = {10.1155/2020/3239471}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/SunSWWLJY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/WeiWFJ20, author = {Sheng Wei and Lei Wang and Xiongwu Fu and Tao Jia}, title = {Using Open Big Data to Build and Analyze Urban Bus Network Models within and across Administrations}, journal = {Complex.}, volume = {2020}, pages = {5402620:1--5402620:13}, year = {2020}, url = {https://doi.org/10.1155/2020/5402620}, doi = {10.1155/2020/5402620}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/WeiWFJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/WangXWWP20, author = {Hao Wang and Shousheng Xie and Weixuan Wang and Lei Wang and Jingbo Peng}, title = {Investigation of Unmeasured Parameters Estimation for Distributed Control Systems}, journal = {Complex.}, volume = {2020}, pages = {7518039:1--7518039:15}, year = {2020}, url = {https://doi.org/10.1155/2020/7518039}, doi = {10.1155/2020/7518039}, timestamp = {Wed, 16 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/WangXWWP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/WangW20, author = {Kun Wang and Lei Wang}, title = {Detect Slitheen by analyzing the browsing behaviors and forcing retransmission}, journal = {Future Gener. Comput. Syst.}, volume = {106}, pages = {333--346}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.01.020}, doi = {10.1016/J.FUTURE.2020.01.020}, timestamp = {Wed, 18 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/WangW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/WangWGCC20, author = {Lei Wang and Qian Wu and Yang Gu and Changyuan Chang and Chang Chen}, title = {Design of a high-precision constant voltage flyback converter}, journal = {{IET} Circuits Devices Syst.}, volume = {14}, number = {8}, pages = {1145--1152}, year = {2020}, url = {https://doi.org/10.1049/iet-cds.2020.0011}, doi = {10.1049/IET-CDS.2020.0011}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cds/WangWGCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/LiDWY20, author = {Min Li and Shaobo Deng and Lei Wang and Jun Ye}, title = {Probabilistic rough set-based band selection method for hyperspectral data classification}, journal = {Int. J. Comput. Sci. Eng.}, volume = {21}, number = {1}, pages = {38--48}, year = {2020}, url = {https://doi.org/10.1504/IJCSE.2020.105211}, doi = {10.1504/IJCSE.2020.105211}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcse/LiDWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ZhouYYWW20, author = {Xinxin Zhou and Zhaoyuan Yu and Linwang Yuan and Lei Wang and Changbin Wu}, title = {Measuring Accessibility of Healthcare Facilities for Populations with Multiple Transportation Modes Considering Residential Transportation Mode Choice}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {9}, number = {6}, pages = {394}, year = {2020}, url = {https://doi.org/10.3390/ijgi9060394}, doi = {10.3390/IJGI9060394}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/ZhouYYWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisss/WangL20, author = {Lei Wang and Chang Liu}, title = {Evolutionary Game Analysis on Government Supervision and Dairy Enterprise in the Process of Product Recall in China}, journal = {Int. J. Inf. Syst. Serv. Sect.}, volume = {12}, number = {1}, pages = {44--66}, year = {2020}, url = {https://doi.org/10.4018/IJISSS.2020010104}, doi = {10.4018/IJISSS.2020010104}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisss/WangL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwin/WangCW20, author = {Qian Wang and Wenxia Chen and Lei Wang}, title = {A Sink Node Trusted Access Authentication Protocol for Mobile Wireless Sensor Network Using Block Cipher Algorithm Based on IoT}, journal = {Int. J. Wirel. Inf. Networks}, volume = {27}, number = {2}, pages = {234--240}, year = {2020}, url = {https://doi.org/10.1007/s10776-019-00471-6}, doi = {10.1007/S10776-019-00471-6}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwin/WangCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/HouZGWHP20, author = {Chaofeng Hou and Chenglong Zhang and Wei Ge and Lei Wang and Lin Han and Jianmin Pang}, title = {Record Atomistic Simulation of Crystalline Silicon: Bridging Microscale Structures and Macroscale Properties}, journal = {J. Comput. Chem.}, volume = {41}, number = {7}, pages = {731--738}, year = {2020}, url = {https://doi.org/10.1002/jcc.26113}, doi = {10.1002/JCC.26113}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/HouZGWHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcondec/LiuZZWZ20, author = {Yan Liu and Yunzhou Zhang and Shangdong Zhu and Lei Wang and Le Zhou}, title = {Dynamical behaviour of stochastic competition system with harvest and L{\'{e}}vy jumps}, journal = {J. Control. Decis.}, volume = {7}, number = {4}, pages = {309--326}, year = {2020}, url = {https://doi.org/10.1080/23307706.2019.1585212}, doi = {10.1080/23307706.2019.1585212}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcondec/LiuZZWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/WangWXF20, author = {Lei Wang and Mengwei Wu and Xueqi Xu and Wenqi Fan}, title = {The diffusion of intelligent manufacturing applications based {SIR} model}, journal = {J. Intell. Fuzzy Syst.}, volume = {38}, number = {6}, pages = {7725--7732}, year = {2020}, url = {https://doi.org/10.3233/JIFS-179842}, doi = {10.3233/JIFS-179842}, timestamp = {Wed, 01 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/WangWXF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/LiDW20, author = {Min Li and Shaobo Deng and Lei Wang}, title = {Ensemble of Classifiers Constructed on Class-Oriented Attribute Reduction}, journal = {J. Inf. Process. Syst.}, volume = {16}, number = {2}, pages = {360--376}, year = {2020}, url = {https://doi.org/10.3745/JIPS.04.0166}, doi = {10.3745/JIPS.04.0166}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/LiDW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/ZhouWJM20, author = {Yujuan Zhou and Lei Wang and Jintai Jia and Gema Monasterio}, title = {Application of Back Propagation Neural Network and Information Entropy in Deep Detection of Anesthesia}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {8}, pages = {1875--1879}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3103}, doi = {10.1166/JMIHI.2020.3103}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/ZhouWJM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/LiuSZWW20, author = {Wei Liu and Chuang Sun and Fangbing Zhai and Lei Wang and Jianlin Wu}, title = {Adoption of Dynamic Contrast Enhanced Magnetic Resonance Imaging in Qualitative Diagnosis of Solid Breast Mass}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {9}, pages = {2156--2162}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3152}, doi = {10.1166/JMIHI.2020.3152}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/LiuSZWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/WangDZWSW20, author = {Lei Wang and Juan Deng and Shu Zhao and Hong Wang and Hong Sha and Yan Wang}, title = {Influence of Boundary Deformation on Image Reconstruction in Electrical Impedance Tomography}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {10}, pages = {2274--2278}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3171}, doi = {10.1166/JMIHI.2020.3171}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/WangDZWSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/XieWDXCZSC20, author = {Chongjin Xie and Lei Wang and Liang Dou and Ming Xia and Sai Chen and Huan Zhang and Zhao Sun and Jingchi Cheng}, title = {Open and disaggregated optical transport networks for data center interconnects [Invited]}, journal = {{JOCN}}, volume = {12}, number = {6}, pages = {C12--C22}, year = {2020}, url = {https://doi.org/10.1364/jocn.380721}, doi = {10.1364/JOCN.380721}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/XieWDXCZSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossac/LiuGPLW20, author = {Cui Liu and Hongwei Gao and Ovanes L. Petrosian and Ying Liu and Lei Wang}, title = {A Class of General Transformation of Characteristic Functions in Dynamic Games}, journal = {J. Syst. Sci. Complex.}, volume = {33}, number = {6}, pages = {1997--2012}, year = {2020}, url = {https://doi.org/10.1007/s11424-020-9069-0}, doi = {10.1007/S11424-020-9069-0}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jossac/LiuGPLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/LiuZYHW20, author = {Yang Liu and Ming Zhang and Xinfeng Yin and Zhou Huang and Lei Wang}, title = {Debonding Performance of CFRP-Strengthened Nanomaterial Concrete Beam Using Wavelet Packet Analysis}, journal = {J. Sensors}, volume = {2020}, pages = {7526703:1--7526703:13}, year = {2020}, url = {https://doi.org/10.1155/2020/7526703}, doi = {10.1155/2020/7526703}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/js/LiuZYHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiXWDY20, author = {Min Li and An Xiong and Lei Wang and Shaobo Deng and Jun Ye}, title = {{ACO} Resampling: Enhancing the performance of oversampling methods for class imbalance classification}, journal = {Knowl. Based Syst.}, volume = {196}, pages = {105818}, year = {2020}, url = {https://doi.org/10.1016/j.knosys.2020.105818}, doi = {10.1016/J.KNOSYS.2020.105818}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/LiXWDY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/misq/WangGSPG20, author = {Lei Wang and Kunter Gunasti and Ramesh Shankar and Joseph Pancras and Ram D. Gopal}, title = {Impact of Gamification on Perceptions of Word-of-Mouth Contributors and Actions of Word-of-Mouth Consumers}, journal = {{MIS} Q.}, volume = {44}, number = {4}, year = {2020}, url = {https://doi.org/10.25300/misq/2020/13726}, doi = {10.25300/MISQ/2020/13726}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/misq/WangGSPG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/WangCPZ20, author = {Lei Wang and Jenhui Chen and Yang Peng and Lei Zhang}, title = {Editorial: Physical Layer Security and Wireless Access Control {(QSHINE} 2017)}, journal = {Mob. Networks Appl.}, volume = {25}, number = {1}, pages = {1--3}, year = {2020}, url = {https://doi.org/10.1007/s11036-019-01249-z}, doi = {10.1007/S11036-019-01249-Z}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/WangCPZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/DongWF20, author = {Qicong Dong and Lei Wang and Jieqing Feng}, title = {Confidence-based camera calibration with modified census transform}, journal = {Multim. Tools Appl.}, volume = {79}, number = {31-32}, pages = {23093--23109}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-09023-0}, doi = {10.1007/S11042-020-09023-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/DongWF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/WangCW20, author = {Lei Wang and Yuntao Chen and Chao Wang}, title = {Research on evolutionary model of urban rail transit vulnerability based on computer simulation}, journal = {Neural Comput. Appl.}, volume = {32}, number = {1}, pages = {195--204}, year = {2020}, url = {https://doi.org/10.1007/s00521-018-3793-6}, doi = {10.1007/S00521-018-3793-6}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/WangCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/WangCHWF20, author = {Peng Wang and Jun Cheng and Fusheng Hao and Lei Wang and Wei Feng}, title = {Embedded adaptive cross-modulation neural network for few-shot learning}, journal = {Neural Comput. Appl.}, volume = {32}, number = {10}, pages = {5505--5515}, year = {2020}, url = {https://doi.org/10.1007/s00521-019-04605-y}, doi = {10.1007/S00521-019-04605-Y}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/WangCHWF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ZhangLZW20, author = {Xiu Zhang and Xiaohui Lu and Xin Zhang and Lei Wang}, title = {A novel three-coil wireless power transfer system and its optimization for implantable biomedical applications}, journal = {Neural Comput. Appl.}, volume = {32}, number = {11}, pages = {7069--7078}, year = {2020}, url = {https://doi.org/10.1007/s00521-019-04214-9}, doi = {10.1007/S00521-019-04214-9}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/ZhangLZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/QuZWW20, author = {Lianhua Qu and Zhenyu Zhao and Lei Wang and Yong Wang}, title = {Efficient and hardware-friendly methods to implement competitive learning for spiking neural networks}, journal = {Neural Comput. Appl.}, volume = {32}, number = {17}, pages = {13479--13490}, year = {2020}, url = {https://doi.org/10.1007/s00521-020-04755-4}, doi = {10.1007/S00521-020-04755-4}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/QuZWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/WangLWML20, author = {Yunhe Wang and Shaochuan Li and Lei Wang and Zhiqiang Ma and Xiangtao Li}, title = {Cancer molecular subtype classification from hypervolume-based discrete evolutionary optimization}, journal = {Neural Comput. Appl.}, volume = {32}, number = {19}, pages = {15489--15502}, year = {2020}, url = {https://doi.org/10.1007/s00521-020-04846-2}, doi = {10.1007/S00521-020-04846-2}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/WangLWML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/WenLLWZPLBWY20, author = {Dong Wen and Peng Li and Xiaoli Li and Zhenhao Wei and Yanhong Zhou and Huan Pei and Fengnian Li and Zhijie Bian and Lei Wang and Shimin Yin}, title = {The feature extraction of resting-state {EEG} signal from amnestic mild cognitive impairment with type 2 diabetes mellitus based on feature-fusion multispectral image method}, journal = {Neural Networks}, volume = {124}, pages = {373--382}, year = {2020}, url = {https://doi.org/10.1016/j.neunet.2020.01.025}, doi = {10.1016/J.NEUNET.2020.01.025}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/WenLLWZPLBWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/WangLGL20, author = {Lei Wang and Cui Liu and Hongwei Gao and Chong Lin}, title = {Strongly strategic support of cooperative solutions for games over event trees}, journal = {Oper. Res. Lett.}, volume = {48}, number = {1}, pages = {61--66}, year = {2020}, url = {https://doi.org/10.1016/j.orl.2019.11.006}, doi = {10.1016/J.ORL.2019.11.006}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/WangLGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ZhangWZDS20, author = {Dongxiang Zhang and Lei Wang and Luming Zhang and Bing Tian Dai and Heng Tao Shen}, title = {The Gap of Semantic Parsing: {A} Survey on Automatic Math Word Problem Solvers}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {42}, number = {9}, pages = {2287--2305}, year = {2020}, url = {https://doi.org/10.1109/TPAMI.2019.2914054}, doi = {10.1109/TPAMI.2019.2914054}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ZhangWZDS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/AvniAAABGGLLLMM20, author = {Hillel Avni and Alisher Aliev and Oren Amor and Aharon Avitzur and Ilan Bronshtein and Eli Ginot and Shay Goikhman and Eliezer Levy and Idan Levy and Fuyang Lu and Liran Mishali and Yeqin Mo and Nir Pachter and Dima Sivov and Vinoth Veeraraghavan and Vladi Vexler and Lei Wang and Peng Wang}, title = {Industrial Strength {OLTP} Using Main Memory and Many Cores}, journal = {Proc. {VLDB} Endow.}, volume = {13}, number = {12}, pages = {3099--3111}, year = {2020}, url = {http://www.vldb.org/pvldb/vol13/p3099-avni.pdf}, doi = {10.14778/3415478.3415537}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/AvniAAABGGLLLMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/quantum/LuoLZW20, author = {Xiu{-}Zhe Luo and Jin{-}Guo Liu and Pan Zhang and Lei Wang}, title = {Yao.jl: Extensible, Efficient Framework for Quantum Algorithm Design}, journal = {Quantum}, volume = {4}, pages = {341}, year = {2020}, url = {https://doi.org/10.22331/q-2020-10-11-341}, doi = {10.22331/Q-2020-10-11-341}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/quantum/LuoLZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangFPZKH20, author = {Lei Wang and Shibo Fang and Zhifang Pei and Yongchao Zhu and Dao Nguyen Khoi and Wei Han}, title = {Using FengYun-3C {VSM} Data and Multivariate Models to Estimate Land Surface Soil Moisture}, journal = {Remote. Sens.}, volume = {12}, number = {6}, pages = {1038}, year = {2020}, url = {https://doi.org/10.3390/rs12061038}, doi = {10.3390/RS12061038}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangFPZKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenJXWZLWYWSW20, author = {Zhulin Chen and Kun Jia and Chenchao Xiao and Dandan Wei and Xiang Zhao and Jinhui Lan and Xiangqin Wei and Yunjun Yao and Bing Wang and Yuan Sun and Lei Wang}, title = {Leaf Area Index Estimation Algorithm for {GF-5} Hyperspectral Data Based on Different Feature Selection and Machine Learning Methods}, journal = {Remote. Sens.}, volume = {12}, number = {13}, pages = {2110}, year = {2020}, url = {https://doi.org/10.3390/rs12132110}, doi = {10.3390/RS12132110}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenJXWZLWYWSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/HuWNL20, author = {Guangyuan Hu and Lei Wang and Rong Ni and Weishu Liu}, title = {Which h-index? An exploration within the Web of Science}, journal = {Scientometrics}, volume = {123}, number = {3}, pages = {1225--1233}, year = {2020}, url = {https://doi.org/10.1007/s11192-020-03425-5}, doi = {10.1007/S11192-020-03425-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/HuWNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuCWLJLC20, author = {Qing Wu and Zeyu Chen and Lei Wang and Hao Lin and Zijing Jiang and Shuai Li and Dechao Chen}, title = {Real-Time Dynamic Path Planning of Mobile Robots: {A} Novel Hybrid Heuristic Optimization Algorithm}, journal = {Sensors}, volume = {20}, number = {1}, pages = {188}, year = {2020}, url = {https://doi.org/10.3390/s20010188}, doi = {10.3390/S20010188}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WuCWLJLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YaoZCWWL20, author = {Lan Yao and Lingyan Zheng and Gaozhe Cai and Siyuan Wang and Lei Wang and Jianhan Lin}, title = {A Rapid and Sensitive Salmonella Biosensor Based on Viscoelastic Inertial Microfluidics}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2738}, year = {2020}, url = {https://doi.org/10.3390/s20092738}, doi = {10.3390/S20092738}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YaoZCWWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/HuSYZGW20, author = {Chengyu Hu and Xin Shu and Xuesong Yan and Deze Zeng and Wenyin Gong and Lei Wang}, title = {Inline wireless mobile sensors and fog nodes placement for leakage detection in water distribution systems}, journal = {Softw. Pract. Exp.}, volume = {50}, number = {7}, pages = {1152--1167}, year = {2020}, url = {https://doi.org/10.1002/spe.2631}, doi = {10.1002/SPE.2631}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/HuSYZGW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhouWTGZLW20, author = {Xijia Zhou and Pengxin Wang and Kevin Tansey and Darren Ghent and Shuyu Zhang and Hongmei Li and Lei Wang}, title = {Drought Monitoring Using the Sentinel-3-Based Multiyear Vegetation Temperature Condition Index in the Guanzhong Plain, China}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {13}, pages = {129--142}, year = {2020}, url = {https://doi.org/10.1109/JSTARS.2019.2953955}, doi = {10.1109/JSTARS.2019.2953955}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/ZhouWTGZLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/WangCWZ20, author = {Lei Wang and Guohua Cao and Naige Wang and Yunchang Zhang}, title = {Dynamic Behavior Analysis of a High-Rise Traction System with Tensioned Pulley Acting on Compensating Rope}, journal = {Symmetry}, volume = {12}, number = {1}, pages = {129}, year = {2020}, url = {https://doi.org/10.3390/sym12010129}, doi = {10.3390/SYM12010129}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/WangCWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WangSLLFI20, author = {Lei Wang and Zhuoyue Song and Xiangdong Liu and Zhen Li and Tyrone Fernando and Herbert H. C. Iu}, title = {Continuous Finite-Time Integral Sliding Mode Control for Attitude Stabilization}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {10}, pages = {2084--2088}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2949654}, doi = {10.1109/TCSII.2019.2949654}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WangSLLFI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/LiHLWWLZ20, author = {Han Li and Hu Han and Zeju Li and Lei Wang and Zhe Wu and Jingjing Lu and S. Kevin Zhou}, title = {High-Resolution Chest X-Ray Bone Suppression Using Unpaired {CT} Structural Priors}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {10}, pages = {3053--3063}, year = {2020}, url = {https://doi.org/10.1109/TMI.2020.2986242}, doi = {10.1109/TMI.2020.2986242}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/LiHLWWLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/XiaWZW20, author = {Tingting Xia and Michael Mao Wang and Jingjing Zhang and Lei Wang}, title = {Maritime Internet of Things: Challenges and Solutions}, journal = {{IEEE} Wirel. Commun.}, volume = {27}, number = {2}, pages = {188--196}, year = {2020}, url = {https://doi.org/10.1109/MWC.001.1900322}, doi = {10.1109/MWC.001.1900322}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/XiaWZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aca/ZhangLW20, author = {Ying Zhang and Gen Li and Lei Wang}, editor = {Dezun Dong and Xiaoli Gong and Cunlu Li and Dongsheng Li and Junjie Wu}, title = {A High-Performance with Low-Resource Utility {FPGA} Implementation of Variable Size {HEVC} 2D-DCT Transform}, booktitle = {Advanced Computer Architecture - 13th Conference, {ACA} 2020, Kunming, China, August 13-15, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1256}, pages = {325--333}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-8135-9\_24}, doi = {10.1007/978-981-15-8135-9\_24}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aca/ZhangLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atci/WangDHWZH20, author = {Lei Wang and Pan Dai and Zhesheng Hu and Ying Wang and Yanfang Zhou and Tao Huang}, editor = {Jemal H. Abawajy and Kim{-}Kwang Raymond Choo and Zheng Xu and Mohammed Atiquzzaman}, title = {Access Location of Distributed Generators in Power Grid}, booktitle = {2020 International Conference on Applications and Techniques in Cyber Intelligence - Applications and Techniques in Cyber Intelligence {(ATCI} 2020), Fuyang, China, 20-22 June, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1244}, pages = {950--954}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-53980-1\_139}, doi = {10.1007/978-3-030-53980-1\_139}, timestamp = {Tue, 05 Apr 2022 12:05:39 +0200}, biburl = {https://dblp.org/rec/conf/atci/WangDHWZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/WangCHL20, author = {Lei Wang and Chunhong Chang and Benli Hao and Chunxiang Liu}, editor = {Taesung Park and Young{-}Rae Cho and Xiaohua Hu and Illhoi Yoo and Hyun Goo Woo and Jianxin Wang and Julio C. Facelli and Seungyoon Nam and Mingon Kang}, title = {Multi-modal Medical Image Fusion Based on {GAN} and the Shift-Invariant Shearlet Transform}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2020, Virtual Event, South Korea, December 16-19, 2020}, pages = {2538--2543}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BIBM49941.2020.9313288}, doi = {10.1109/BIBM49941.2020.9313288}, timestamp = {Fri, 09 Apr 2021 17:11:13 +0200}, biburl = {https://dblp.org/rec/conf/bibm/WangCHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WangMTS20, author = {Lei Wang and Ian R. Manchester and Jochen Trumpf and Guodong Shi}, title = {Initial-Value Privacy of Linear Dynamical Systems}, booktitle = {59th {IEEE} Conference on Decision and Control, {CDC} 2020, Jeju Island, South Korea, December 14-18, 2020}, pages = {3108--3113}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CDC42340.2020.9303900}, doi = {10.1109/CDC42340.2020.9303900}, timestamp = {Fri, 04 Mar 2022 13:31:02 +0100}, biburl = {https://dblp.org/rec/conf/cdc/WangMTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChenWW0TWZZ20, author = {Cen Chen and Bingzhe Wu and Li Wang and Chaochao Chen and Jin Tan and Lei Wang and Jun Zhou and Benyu Zhang}, editor = {Mathieu d'Aquin and Stefan Dietze and Claudia Hauff and Edward Curry and Philippe Cudr{\'{e}}{-}Mauroux}, title = {Nebula: {A} Scalable Privacy-Preserving Machine Learning System in Ant Financial}, booktitle = {{CIKM} '20: The 29th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Ireland, October 19-23, 2020}, pages = {3369--3372}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3340531.3417418}, doi = {10.1145/3340531.3417418}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/ChenWW0TWZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csae/ZhaoWW20, author = {Xiaoyong Zhao and Ningning Wang and Lei Wang}, editor = {Ali Emrouznejad and Jui{-}Sheng Rayson Chou}, title = {An Interpretable Machine Learning Approach for the Detection of Novel Pathogens}, booktitle = {{CSAE} 2020: The 4th International Conference on Computer Science and Application Engineering, Sanya, China, October 20-22, 2020}, pages = {111:1--111:5}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3424978.3425093}, doi = {10.1145/3424978.3425093}, timestamp = {Tue, 27 Oct 2020 18:19:37 +0100}, biburl = {https://dblp.org/rec/conf/csae/ZhaoWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csae/WangLC20, author = {Lei Wang and Kunqin Li and Xianxiang Chen}, editor = {Ali Emrouznejad and Jui{-}Sheng Rayson Chou}, title = {Design and Implementation of Remote Medicine Monitoring System Based on Internet of Things}, booktitle = {{CSAE} 2020: The 4th International Conference on Computer Science and Application Engineering, Sanya, China, October 20-22, 2020}, pages = {143:1--143:6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3424978.3425128}, doi = {10.1145/3424978.3425128}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csae/WangLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csia/HouW20, author = {Qianying Hou and Lei Wang}, editor = {Zheng Xu and Reza M. Parizi and Mohammad Hammoudeh and Octavio Loyola{-}Gonz{\'{a}}lez}, title = {Recognition for Dangerous Goods Vehicles in Road Based on Dangerous Goods Mark Detection}, booktitle = {Cyber Security Intelligence and Analytics - Proceedings of the 2020 International Conference on Cyber Security Intelligence and Analytics, {CSIA} 2020, Haikou, China, 28-29 February 2020, Volume 2}, series = {Advances in Intelligent Systems and Computing}, volume = {1147}, pages = {96--101}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-43309-3\_13}, doi = {10.1007/978-3-030-43309-3\_13}, timestamp = {Mon, 11 Jan 2021 10:07:05 +0100}, biburl = {https://dblp.org/rec/conf/csia/HouW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/css/SongFLKW20, author = {Weinan Song and Xinyu Fan and Jing Li and Aslam Niaz Khan and Lei Wang}, editor = {Jieren Cheng and Xiangyan Tang and Xiaozhang Liu}, title = {Privacy-Preserving Movie Scoring Algorithm Based on Deep Neural Network}, booktitle = {Cyberspace Safety and Security - 12th International Symposium, {CSS} 2020, Haikou, China, December 1-3, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12653}, pages = {275--289}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-73671-2\_24}, doi = {10.1007/978-3-030-73671-2\_24}, timestamp = {Tue, 20 Jul 2021 14:40:23 +0200}, biburl = {https://dblp.org/rec/conf/css/SongFLKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/das/ZhouWLZZW20, author = {Gaojing Zhou and Lei Wang and Xi Liu and Yongsheng Zhou and Rui Zhang and Xiaolin Wei}, editor = {Xiang Bai and Dimosthenis Karatzas and Daniel Lopresti}, title = {A Method for Scene Text Style Transfer}, booktitle = {Document Analysis Systems - 14th {IAPR} International Workshop, {DAS} 2020, Wuhan, China, July 26-29, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12116}, pages = {559--571}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57058-3\_39}, doi = {10.1007/978-3-030-57058-3\_39}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/das/ZhouWLZZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/DingWLLLWTY20, author = {Pengjie Ding and Lei Wang and Yaobo Liang and Wei Lu and Linfeng Li and Chun Wang and Buzhou Tang and Jun Yan}, editor = {Yunmook Nah and Bin Cui and Sang{-}Won Lee and Jeffrey Xu Yu and Yang{-}Sae Moon and Steven Euijong Whang}, title = {Cross-Lingual Transfer Learning for Medical Named Entity Recognition}, booktitle = {Database Systems for Advanced Applications - 25th International Conference, {DASFAA} 2020, Jeju, South Korea, September 24-27, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12112}, pages = {403--418}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59410-7\_28}, doi = {10.1007/978-3-030-59410-7\_28}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/DingWLLLWTY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/WuWWMLHZY20, author = {Xinle Wu and Lei Wang and Shuo Wang and Xiaofeng Meng and Linfeng Li and Haitao Huang and Xiaohong Zhang and Jun Yan}, editor = {Yunmook Nah and Bin Cui and Sang{-}Won Lee and Jeffrey Xu Yu and Yang{-}Sae Moon and Steven Euijong Whang}, title = {A Unified Adversarial Learning Framework for Semi-supervised Multi-target Domain Adaptation}, booktitle = {Database Systems for Advanced Applications - 25th International Conference, {DASFAA} 2020, Jeju, South Korea, September 24-27, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12112}, pages = {419--434}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59410-7\_29}, doi = {10.1007/978-3-030-59410-7\_29}, timestamp = {Tue, 22 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/WuWWMLHZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/JinDLHWY20, author = {Qiao Jin and Haoyang Ding and Linfeng Li and Haitao Huang and Lei Wang and Jun Yan}, editor = {Yunmook Nah and Bin Cui and Sang{-}Won Lee and Jeffrey Xu Yu and Yang{-}Sae Moon and Steven Euijong Whang}, title = {Tackling MeSH Indexing Dataset Shift with Time-Aware Concept Embedding Learning}, booktitle = {Database Systems for Advanced Applications - 25th International Conference, {DASFAA} 2020, Jeju, South Korea, September 24-27, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12114}, pages = {474--488}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59419-0\_29}, doi = {10.1007/978-3-030-59419-0\_29}, timestamp = {Mon, 11 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/JinDLHWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ebimcs/WangDZ20, author = {Lei Wang and Jianzhi Deng and Fengming Zhang}, title = {Trajectory estimation method of people in forest based on inertial sensor}, booktitle = {{EBIMCS} 2020: 3rd International Conference on E-Business, Information Management and Computer Science, Wuhan, China, December, 2020}, pages = {582--586}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3453187.3453398}, doi = {10.1145/3453187.3453398}, timestamp = {Thu, 15 Feb 2024 13:36:17 +0100}, biburl = {https://dblp.org/rec/conf/ebimcs/WangDZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eitce/LiuJLWLWWG20, author = {Yang Liu and Daolian Jiang and Kun Li and Changsong Wang and Yongjian Liu and Lei Wang and Peng Wang and Hongyang Gao}, title = {A low-power and low-cost battery equalizing circuit topology}, booktitle = {{EITCE} 2020: 4th International Conference on Electronic Information Technology and Computer Engineering, Xiamen, China, 6 November, 2020 - 8 November, 2020}, pages = {1034--1038}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3443467.3444712}, doi = {10.1145/3443467.3444712}, timestamp = {Fri, 04 Aug 2023 10:04:46 +0200}, biburl = {https://dblp.org/rec/conf/eitce/LiuJLWLWWG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosp/LongWBB0TGC20, author = {Yunhui Long and Lei Wang and Diyue Bu and Vincent Bindschaedler and XiaoFeng Wang and Haixu Tang and Carl A. Gunter and Kai Chen}, title = {A Pragmatic Approach to Membership Inferences on Machine Learning Models}, booktitle = {{IEEE} European Symposium on Security and Privacy, EuroS{\&}P 2020, Genoa, Italy, September 7-11, 2020}, pages = {521--534}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EuroSP48549.2020.00040}, doi = {10.1109/EUROSP48549.2020.00040}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurosp/LongWBB0TGC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/XuTWSZ20, author = {Qingzheng Xu and Balin Tian and Lei Wang and Qian Sun and Feng Zou}, editor = {Carlos Artemio Coello Coello}, title = {An effective variable transfer strategy in multitasking optimization}, booktitle = {{GECCO} '20: Genetic and Evolutionary Computation Conference, Companion Volume, Canc{\'{u}}n, Mexico, July 8-12, 2020}, pages = {59--60}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3377929.3398155}, doi = {10.1145/3377929.3398155}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/XuTWSZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/FeiWHL20, author = {Yixiao Fei and Lei Wang and Ruan He and Jialiang Lu}, title = {{ECEM} - Generating Adversarial Logs under Black-box Setting in Web Security}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9347996}, doi = {10.1109/GLOBECOM42002.2020.9347996}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/FeiWHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/GaoW20, author = {Shan Gao and Lei Wang}, editor = {Don Harris and Wen{-}Chin Li}, title = {Effects of Mental Workload and Risk Perception on Pilots' Safety Performance in Adverse Weather Contexts}, booktitle = {Engineering Psychology and Cognitive Ergonomics. Cognition and Design - 17th International Conference, {EPCE} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12187}, pages = {278--291}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49183-3\_22}, doi = {10.1007/978-3-030-49183-3\_22}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/GaoW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ialp/WangZ20, author = {Lei Wang and Huibin Zhuang}, editor = {Yanfeng Lu and Minghui Dong and Lay{-}Ki Soon and Keng Hoon Gan}, title = {When Dialects Disappear: {A} Report of {PPLRC} in Henan Province}, booktitle = {International Conference on Asian Language Processing, {IALP} 2020, Kuala Lumpur, Malaysia, December 4-6, 2020}, pages = {141--146}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IALP51396.2020.9310505}, doi = {10.1109/IALP51396.2020.9310505}, timestamp = {Tue, 26 Jan 2021 15:35:36 +0100}, biburl = {https://dblp.org/rec/conf/ialp/WangZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/YuW20, author = {Miao Yu and Lei Wang}, title = {The Sequential Recommendation Strategy for the Intelligent Learning of Chinese Vocabulary}, booktitle = {20th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2020, Tartu, Estonia, July 6-9, 2020}, pages = {241--242}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICALT49669.2020.00079}, doi = {10.1109/ICALT49669.2020.00079}, timestamp = {Wed, 12 Aug 2020 12:28:51 +0200}, biburl = {https://dblp.org/rec/conf/icalt/YuW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarm/WangHWDZJ20, author = {Lei Wang and Jian Huang and Dongrui Wu and Tao Duan and Rui Zong and Shicong Jiang}, title = {Hand Gesture Recognition Based on Multi-Classification Adaptive Neuro-Fuzzy Inference System and pMMG}, booktitle = {5th International Conference on Advanced Robotics and Mechatronics, {ICARM} 2020, Shenzhen, China, December 18-21, 2020}, pages = {460--465}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICARM49381.2020.9195286}, doi = {10.1109/ICARM49381.2020.9195286}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icarm/WangHWDZJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/WangANZWH20, author = {Lei Wang and Bo Ai and Yong Niu and Zhangdui Zhong and Qi Wang and Zhu Han}, title = {A Fast Beam Training Method for 5G New Radio}, booktitle = {9th {IEEE/CIC} International Conference on Communications in China, {ICCC} 2020, Chongqing, China, August 9-11, 2020}, pages = {935--940}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCC49849.2020.9238785}, doi = {10.1109/ICCC49849.2020.9238785}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccchina/WangANZWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccpr/RenDWMJJL20, author = {Li Ren and Wenhao Deng and Lei Wang and Chen Mao and Yadong Jiang and Haitao Jia and Jing Li}, title = {Low-Resolution Face Recognition Method Combining Super-Resolution and Improved {DCR} Model}, booktitle = {{ICCPR} 2020: 9th International Conference on Computing and Pattern Recognition, Xiamen, China, October 30 - Vovember 1, 2020}, pages = {152--160}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3436369.3436490}, doi = {10.1145/3436369.3436490}, timestamp = {Tue, 19 Jan 2021 15:30:52 +0100}, biburl = {https://dblp.org/rec/conf/iccpr/RenDWMJJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/ChenSLWX20, author = {Xiangqun Chen and Weizhen Sun and Yu Luo and Lei Wang and Yong Xiang}, title = {Research on the knowledge hierarchy and practice teaching of operating system course under the background of emerging engineering}, booktitle = {15th International Conference on Computer Science {\&} Education, {ICCSE} 2020, Delft, The Netherlands, August 18-22, 2020}, pages = {30--34}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCSE49874.2020.9201856}, doi = {10.1109/ICCSE49874.2020.9201856}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccse2/ChenSLWX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/WangZMJW20, author = {Yong Wang and Qingsong Zhao and Shengjie Ma and Yunxiao Jiang and Lei Wang}, title = {Satellite Communication Based on Non-Continuous Orthogonal Frequency Division Multiplexing}, booktitle = {20th {IEEE} International Conference on Communication Technology, {ICCT} 2020, Nanning, China, October 28-31, 2020}, pages = {638--642}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCT50939.2020.9295712}, doi = {10.1109/ICCT50939.2020.9295712}, timestamp = {Wed, 03 Feb 2021 13:54:35 +0100}, biburl = {https://dblp.org/rec/conf/icct/WangZMJW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/LiuHWS20, author = {Jia Liu and Wencai Huang and Lei Wang and Zhiping Shi}, title = {Wideband Spectrum Sensing Based on Nested Sampling for Noncircular Signals}, booktitle = {20th {IEEE} International Conference on Communication Technology, {ICCT} 2020, Nanning, China, October 28-31, 2020}, pages = {1200--1203}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCT50939.2020.9295889}, doi = {10.1109/ICCT50939.2020.9295889}, timestamp = {Mon, 08 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icct/LiuHWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ZhangLNWZ20, author = {ChengYong Zhang and Zhengwei Li and Ru Nie and Lei Wang and Huan Zhao}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Abir Hussain}, title = {Image Classification Based on Deep Belief Network and {YELM}}, booktitle = {Intelligent Computing Theories and Application - 16th International Conference, {ICIC} 2020, Bari, Italy, October 2-5, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12463}, pages = {150--159}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60799-9\_13}, doi = {10.1007/978-3-030-60799-9\_13}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/ZhangLNWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icite/WangWZ20, author = {Lei Wang and Peng Wang and Jiandong Zhao}, title = {Simulation of Toll Lane Capacity of Highway Toll Station}, booktitle = {5th {IEEE} International Conference on Intelligent Transportation Engineering, {ICITE} 2020, Beijing, China, September 11-13, 2020}, pages = {151--154}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICITE50838.2020.9231353}, doi = {10.1109/ICITE50838.2020.9231353}, timestamp = {Wed, 28 Oct 2020 09:20:57 +0100}, biburl = {https://dblp.org/rec/conf/icite/WangWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChenLW20, author = {Chien{-}Lin Chen and Jian{-}Hong Lin and Lei Wang}, title = {A {VAE} Conversion Method for Heterogeneous Data Inputs to Create Uniform Outputs for Diagnosis}, booktitle = {3rd {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2020, Kaohsiung, Taiwan, August 21-23, 2020}, pages = {336--339}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICKII50300.2020.9318969}, doi = {10.1109/ICKII50300.2020.9318969}, timestamp = {Tue, 02 Feb 2021 11:57:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/ChenLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc2/FengW20a, author = {Xin Feng and Lei Wang}, title = {Application of Word2vec in Phoneme Recognition}, booktitle = {{ICMLC} 2020: 2020 12th International Conference on Machine Learning and Computing, Shenzhen, China, February 15-17, 2020}, pages = {495--499}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3383972.3384050}, doi = {10.1145/3383972.3384050}, timestamp = {Tue, 25 Aug 2020 12:51:28 +0200}, biburl = {https://dblp.org/rec/conf/icmlc2/FengW20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/YanW20, author = {Jianxin Yan and Lei Wang}, title = {The Novel Improving Algorithms on {DRA} Audio Entropy Coding}, booktitle = {{IEEE} International Conference on Signal Processing, Communications and Computing, {ICSPCC} 2020, Macau, SAR, China, August 21-24, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSPCC50002.2020.9259450}, doi = {10.1109/ICSPCC50002.2020.9259450}, timestamp = {Fri, 05 Feb 2021 11:26:13 +0100}, biburl = {https://dblp.org/rec/conf/icspcc/YanW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/WangDW20, author = {Huidong Wang and Mingguang Dong and Lei Wang}, title = {A new fuzzy {DEA} model for green supplier evaluation considering undesirable outputs}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2020, Kagawa, Japan, August 31 - September 3, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSSE50014.2020.9219293}, doi = {10.1109/ICSSE50014.2020.9219293}, timestamp = {Thu, 22 Oct 2020 12:46:11 +0200}, biburl = {https://dblp.org/rec/conf/icsse/WangDW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/PunWW20, author = {Chi Seng Pun and Lei Wang and Hoi Ying Wong}, editor = {Christian Bessiere}, title = {Financial Thought Experiment: {A} GAN-based Approach to Vast Robust Portfolio Selection}, booktitle = {Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, {IJCAI} 2020}, pages = {4619--4625}, publisher = {ijcai.org}, year = {2020}, url = {https://doi.org/10.24963/ijcai.2020/637}, doi = {10.24963/IJCAI.2020/637}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/PunWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/Wang20, author = {Lei Wang}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {The Phonology and Phonetics of Kaifeng Mandarin Vowels}, booktitle = {Interspeech 2020, 21st Annual Conference of the International Speech Communication Association, Virtual Event, Shanghai, China, 25-29 October 2020}, pages = {651--655}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-2375}, doi = {10.21437/INTERSPEECH.2020-2375}, timestamp = {Fri, 29 Jan 2021 17:40:16 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/Wang20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ZhangZWW20, author = {Jingyu Zhang and Siqi Zhong and Jin Wang and Lei Wang}, editor = {Jia Hu and Geyong Min and Nektarios Georgalas and Zhiwei Zhao and Fei Hao and Wang Miao}, title = {An Systematic Study on Blockchain Transaction Databases Storage and Optimization}, booktitle = {{IEEE} International Conference on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom 2020, Exeter, United Kingdom, December 17-19, 2020}, pages = {298--304}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom51426.2020.00063}, doi = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM51426.2020.00063}, timestamp = {Tue, 15 Mar 2022 15:49:18 +0100}, biburl = {https://dblp.org/rec/conf/ispa/ZhangZWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/WangYZW20, author = {Jin Wang and Yaqiong Yang and Jingyu Zhang and Lei Wang}, editor = {Jia Hu and Geyong Min and Nektarios Georgalas and Zhiwei Zhao and Fei Hao and Wang Miao}, title = {Remote Procedure Call Optimization of Big Data Systems Based on Data Awareness}, booktitle = {{IEEE} International Conference on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom 2020, Exeter, United Kingdom, December 17-19, 2020}, pages = {441--447}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom51426.2020.00081}, doi = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM51426.2020.00081}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispa/WangYZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/LiWRWQ20, author = {Tao Li and Lei Wang and Yongjun Ren and Lingyun Wang and Qi Qian}, title = {Multi-source Meteorological Observation Data Quality Control Algorithm Based on Data Mining}, booktitle = {2020 International Conferences on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData) and {IEEE} Congress on Cybermatics (Cybermatics), iThings/GreenCom/CPSCom/SmartData/Cybermatics 2020, Rhodes Island, Greece, November 2-6, 2020}, pages = {699--704}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/iThings-GreenCom-CPSCom-SmartData-Cybermatics50389.2020.00121}, doi = {10.1109/ITHINGS-GREENCOM-CPSCOM-SMARTDATA-CYBERMATICS50389.2020.00121}, timestamp = {Thu, 28 Jan 2021 15:35:58 +0100}, biburl = {https://dblp.org/rec/conf/ithings/LiWRWQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/YangYHWWX20, author = {Dalu Yang and Yehui Yang and Tiantian Huang and Binghong Wu and Lei Wang and Yanwu Xu}, editor = {Anne L. Martel and Purang Abolmaesumi and Danail Stoyanov and Diana Mateus and Maria A. Zuluaga and S. Kevin Zhou and Daniel Racoceanu and Leo Joskowicz}, title = {Residual-CycleGAN Based Camera Adaptation for Robust Diabetic Retinopathy Screening}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2020 - 23rd International Conference, Lima, Peru, October 4-8, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12262}, pages = {464--474}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59713-9\_45}, doi = {10.1007/978-3-030-59713-9\_45}, timestamp = {Fri, 04 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/YangYHWWX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/midl/MaWZWLZGLPWWC20, author = {Jun Ma and Zhan Wei and Yiwen Zhang and Yixin Wang and Rongfei Lv and Cheng Zhu and Chen Gaoxiang and Jianan Liu and Chao Peng and Lei Wang and Yunpeng Wang and Jianan Chen}, editor = {Tal Arbel and Ismail Ben Ayed and Marleen de Bruijne and Maxime Descoteaux and Herv{\'{e}} Lombaert and Christopher Pal}, title = {How Distance Transform Maps Boost Segmentation CNNs: An Empirical Study}, booktitle = {International Conference on Medical Imaging with Deep Learning, {MIDL} 2020, 6-8 July 2020, Montr{\'{e}}al, QC, Canada}, series = {Proceedings of Machine Learning Research}, volume = {121}, pages = {479--492}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v121/ma20b.html}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/midl/MaWZWLZGLPWWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/PourvaliJSMWGH20, author = {Mohsen Pourvali and Yucheng Jin and Chen Sheng and Yao Meng and Lei Wang and Masha Gorkovenko and Changjian Hu}, editor = {Xiaodan Zhu and Min Zhang and Yu Hong and Ruifang He}, title = {Path-Based Visual Explanation}, booktitle = {Natural Language Processing and Chinese Computing - 9th {CCF} International Conference, {NLPCC} 2020, Zhengzhou, China, October 14-18, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12431}, pages = {454--466}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60457-8\_37}, doi = {10.1007/978-3-030-60457-8\_37}, timestamp = {Wed, 20 Dec 2023 17:00:52 +0100}, biburl = {https://dblp.org/rec/conf/nlpcc/PourvaliJSMWGH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/DouWCCSXZXXYX30, author = {Liang Dou and Lei Wang and Sai Chen and Jingchi Cheng and Zhao Sun and Ming Xia and Huan Zhang and Li Xiao and Jian Xu and Jiekui Yu and Chongjin Xie}, title = {Demonstration of Open and Disaggregated {ROADM} Networks Based on Augmented OpenConfig Data Model and Node Controller}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083145}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/DouWCCSXZXXYX30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WangPZXWWWSZZPC30, author = {Xin Wang and Yi Peng and Yuanxi Zhang and Tao Xia and Yifan Wu and Juncheng Wang and Lei Wang and Liujia Song and Lei Zhao and Shenglong Zhuo and Quan Pan and Xuefeng Chen and Patrick Yin Chiang and Rui Bai}, title = {PAM-X{\texttrademark}: {A} 25Gb/s-PAM4 Optical Transceiver Chipset for 5G Optical Front-Haul}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083399}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/WangPZXWWWSZZPC30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WangZSPBSSK30, author = {Lei Wang and Hongwei Zhao and Bei Shi and Sergio Pinna and Simone Tommaso Suran Brunelli and Fengqiao Sang and Bowen Song and Jonathan Klamkin}, title = {High Performance 1.3 {\(\mu\)}m Aluminum-Free Quantum Dot Lasers Grown by {MOCVD}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083594}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/WangZSPBSSK30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WeiZWCPLCDWWLF30, author = {Zixian Wei and Li Zhang and Lei Wang and Chien{-}Ju Chen and Alberto Pepe and Xin Liu and Kai{-}Chia Chen and Yuhan Dong and Meng{-}Chyi Wu and Lai Wang and Yi Luo and H. Y. Fu}, title = {High-speed Visible Light Communication System Based on a Packaged Single Layer Quantum Dot Blue Micro-LED with 4-Gbps {QAM-OFDM}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083300}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/WeiZWCPLCDWWLF30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/services/ZhuW20, author = {Qiliang Zhu and Lei Wang}, title = {Context-Aware Restaurant Recommendation for Group of People}, booktitle = {2020 {IEEE} World Congress on Services, {SERVICES} 2020, Beijing, China, October 18-23, 2020}, pages = {51--54}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SERVICES48979.2020.00025}, doi = {10.1109/SERVICES48979.2020.00025}, timestamp = {Thu, 28 Jan 2021 10:17:40 +0100}, biburl = {https://dblp.org/rec/conf/services/ZhuW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/FangLMYWZKLCC20, author = {Chongrong Fang and Haoyu Liu and Mao Miao and Jie Ye and Lei Wang and Wansheng Zhang and Daxiang Kang and Biao Lyv and Peng Cheng and Jiming Chen}, editor = {Henning Schulzrinne and Vishal Misra}, title = {VTrace: Automatic Diagnostic System for Persistent Packet Loss in Cloud-Scale Overlay Network}, booktitle = {{SIGCOMM} '20: Proceedings of the 2020 Annual conference of the {ACM} Special Interest Group on Data Communication on the applications, technologies, architectures, and protocols for computer communication, Virtual Event, USA, August 10-14, 2020}, pages = {31--43}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3387514.3405851}, doi = {10.1145/3387514.3405851}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/FangLMYWZKLCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/simutools/WangWZJ20, author = {Yuwen Wang and Lei Wang and WeiJiong Zhang and Dingde Jiang}, editor = {Houbing Song and Dingde Jiang}, title = {A Space-Air-Ground Integrated Networking Method for Air Mobile Targets}, booktitle = {Simulation Tools and Techniques - 12th {EAI} International Conference, SIMUtools 2020, Guiyang, China, August 28-29, 2020, Proceedings, Part {I}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {369}, pages = {117--126}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-72792-5\_11}, doi = {10.1007/978-3-030-72792-5\_11}, timestamp = {Thu, 06 May 2021 12:15:52 +0200}, biburl = {https://dblp.org/rec/conf/simutools/WangWZJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaccs/WuWLL20, author = {Kaidi Wu and Lei Wang and Huijie Li and Xin Li}, editor = {Guojun Wang and Bing Chen and Wei Li and Roberto Di Pietro and Xuefeng Yan and Hao Han}, title = {QoS-Aware Dynamical Resource Scheduling in Cloud Data Centers}, booktitle = {Security, Privacy, and Anonymity in Computation, Communication, and Storage - SpaCCS 2020 International Workshops, Nanjing, China, December 18-20, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12383}, pages = {53--64}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68884-4\_5}, doi = {10.1007/978-3-030-68884-4\_5}, timestamp = {Mon, 08 Feb 2021 16:08:22 +0100}, biburl = {https://dblp.org/rec/conf/spaccs/WuWLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/MoudgillGHTXYWL20, author = {Mayan Moudgill and John Glossner and Wei Huang and Chaoyang Tian and Chunxia Xu and Nianliang Yang and Lei Wang and Tailin Liang and Shaobo Shi and Xiaodong Zhang and Daniel Iancu and Gary Nacer and Kerry Li}, title = {Heterogeneous Edge {CNN} Hardware Accelerator}, booktitle = {2020 International Conference on Wireless Communications and Signal Processing (WCSP), Nanjing, China, October 21-23, 2020}, pages = {636--641}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WCSP49889.2020.9299736}, doi = {10.1109/WCSP49889.2020.9299736}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/MoudgillGHTXYWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-05198, author = {Longfei Zheng and Chaochao Chen and Yingting Liu and Bingzhe Wu and Xibin Wu and Li Wang and Lei Wang and Jun Zhou and Shuang Yang}, title = {Industrial Scale Privacy Preserving Deep Neural Network}, journal = {CoRR}, volume = {abs/2003.05198}, year = {2020}, url = {https://arxiv.org/abs/2003.05198}, eprinttype = {arXiv}, eprint = {2003.05198}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-05198.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-06769, author = {Lei Wang and Wenbing Huang and Yuanpeng Li and Julian Evans and Sailing He}, title = {Multi-AI competing and winning against humans in iterated Rock-Paper-Scissors game}, journal = {CoRR}, volume = {abs/2003.06769}, year = {2020}, url = {https://arxiv.org/abs/2003.06769}, eprinttype = {arXiv}, eprint = {2003.06769}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-06769.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-04898, author = {Chaochao Chen and Liang Li and Wenjing Fang and Jun Zhou and Li Wang and Lei Wang and Shuang Yang and Alex Liu and Hao Wang}, title = {Secret Sharing based Secure Regressions with Applications}, journal = {CoRR}, volume = {abs/2004.04898}, year = {2020}, url = {https://arxiv.org/abs/2004.04898}, eprinttype = {arXiv}, eprint = {2004.04898}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-04898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-07864, author = {Shuo Tian and Lianhua Qu and Kai Hu and Nan Li and Lei Wang and Weixia Xu}, title = {A Neural Architecture Search based Framework for Liquid State Machine Design}, journal = {CoRR}, volume = {abs/2004.07864}, year = {2020}, url = {https://arxiv.org/abs/2004.07864}, eprinttype = {arXiv}, eprint = {2004.07864}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-07864.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-08479, author = {Wenjing Fang and Chaochao Chen and Jin Tan and Chaofan Yu and Yufei Lu and Li Wang and Lei Wang and Jun Zhou and Alex X}, title = {A Hybrid-Domain Framework for Secure Gradient Tree Boosting}, journal = {CoRR}, volume = {abs/2005.08479}, year = {2020}, url = {https://arxiv.org/abs/2005.08479}, eprinttype = {arXiv}, eprint = {2005.08479}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-08479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-16132, author = {Zhenyu Liu and Yaqiang Yao and Yan Liu and Yuening Zhu and Zhenchao Tao and Lei Wang and Yuhong Feng}, title = {Human Activity Recognition based on Dynamic Spatio-Temporal Relations}, journal = {CoRR}, volume = {abs/2006.16132}, year = {2020}, url = {https://arxiv.org/abs/2006.16132}, eprinttype = {arXiv}, eprint = {2006.16132}, timestamp = {Wed, 01 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-16132.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-15874, author = {Dalu Yang and Yehui Yang and Tiantian Huang and Binghong Wu and Lei Wang and Yanwu Xu}, title = {Residual-CycleGAN based Camera Adaptation for Robust Diabetic Retinopathy Screening}, journal = {CoRR}, volume = {abs/2007.15874}, year = {2020}, url = {https://arxiv.org/abs/2007.15874}, eprinttype = {arXiv}, eprint = {2007.15874}, timestamp = {Fri, 04 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-15874.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-15883, author = {Xu Sun and Xingxing Cao and Yehui Yang and Lei Wang and Yanwu Xu}, title = {Robust Retinal Vessel Segmentation from a Data Augmentation Perspective}, journal = {CoRR}, volume = {abs/2007.15883}, year = {2020}, url = {https://arxiv.org/abs/2007.15883}, eprinttype = {arXiv}, eprint = {2007.15883}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-15883.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-00610, author = {Yehui Yang and Fangxin Shang and Binghong Wu and Dalu Yang and Lei Wang and Yanwu Xu and Wensheng Zhang and Tianzhu Zhang}, title = {Robust Collaborative Learning of Patch-level and Image-level Annotations for Diabetic Retinopathy Grading from Fundus Image}, journal = {CoRR}, volume = {abs/2008.00610}, year = {2020}, url = {https://arxiv.org/abs/2008.00610}, eprinttype = {arXiv}, eprint = {2008.00610}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-00610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-08753, author = {Chaochao Chen and Jun Zhou and Li Wang and Xibin Wu and Wenjing Fang and Jin Tan and Lei Wang and Xiaoxi Ji and Alex Liu and Hao Wang and Cheng Hong}, title = {When Homomorphic Encryption Marries Secret Sharing: Secure Large-Scale Sparse Logistic Regression and Applications in Risk Control}, journal = {CoRR}, volume = {abs/2008.08753}, year = {2020}, url = {https://arxiv.org/abs/2008.08753}, eprinttype = {arXiv}, eprint = {2008.08753}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-08753.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-10193, author = {Lei Wang and Ian R. Manchester and Jochen Trumpf and Guodong Shi}, title = {Initial-Value Privacy of Linear Dynamical Systems}, journal = {CoRR}, volume = {abs/2008.10193}, year = {2020}, url = {https://arxiv.org/abs/2008.10193}, eprinttype = {arXiv}, eprint = {2008.10193}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-10193.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-09932, author = {Song Cheng and Lei Wang and Pan Zhang}, title = {Supervised Learning with Projected Entangled Pair States}, journal = {CoRR}, volume = {abs/2009.09932}, year = {2020}, url = {https://arxiv.org/abs/2009.09932}, eprinttype = {arXiv}, eprint = {2009.09932}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-09932.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06212, author = {Junming Ma and Chaofan Yu and Aihui Zhou and Bingzhe Wu and Xibin Wu and Xingyu Chen and Xiangqun Chen and Lei Wang and Donggang Cao}, title = {{S3ML:} {A} Secure Serving System for Machine Learning Inference}, journal = {CoRR}, volume = {abs/2010.06212}, year = {2020}, url = {https://arxiv.org/abs/2010.06212}, eprinttype = {arXiv}, eprint = {2010.06212}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06212.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-03461, author = {Lei Wang and Xin Liu and Yin Zhang}, title = {A Distributed and Secure Algorithm for Computing Dominant {SVD} Based on Projection Splitting}, journal = {CoRR}, volume = {abs/2012.03461}, year = {2020}, url = {https://arxiv.org/abs/2012.03461}, eprinttype = {arXiv}, eprint = {2012.03461}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-03461.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-03674, author = {Bo Wang and Lei Wang and Junyang Chen and Zhenghua Xu and Thomas Lukasiewicz and Zhigang Fu}, title = {w-Net: Dual Supervised Medical Image Segmentation Model with Multi-Dimensional Attention and Cascade Multi-Scale Convolution}, journal = {CoRR}, volume = {abs/2012.03674}, year = {2020}, url = {https://arxiv.org/abs/2012.03674}, eprinttype = {arXiv}, eprint = {2012.03674}, timestamp = {Wed, 09 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-03674.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangDHQWLX19, author = {Lei Wang and Xinchang Deng and Pingping Han and Xianjun Qi and Xiaolong Wu and Mengdi Li and Haihua Xu}, title = {Electromagnetic Transient Modeling and Simulation of Power Converters Based on a Piecewise Generalized State Space Averaging Method}, journal = {{IEEE} Access}, volume = {7}, pages = {12241--12251}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2891122}, doi = {10.1109/ACCESS.2019.2891122}, timestamp = {Wed, 27 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangDHQWLX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangCLLWY19, author = {Fan Yang and Houjin Chen and Jupeng Li and Feng Li and Lei Wang and Xiaomiao Yan}, title = {Single Shot Multibox Detector With Kalman Filter for Online Pedestrian Detection in Video}, journal = {{IEEE} Access}, volume = {7}, pages = {15478--15488}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2895376}, doi = {10.1109/ACCESS.2019.2895376}, timestamp = {Fri, 06 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangCLLWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenWYL19, author = {Gang Chen and Lei Wang and Bonan Yuan and Dan Liu}, title = {Configuration Optimization for Manipulator Kinematic Calibration Based on Comprehensive Quality Index}, journal = {{IEEE} Access}, volume = {7}, pages = {50179--50197}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2910325}, doi = {10.1109/ACCESS.2019.2910325}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenWYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanLZXW19, author = {Pingping Han and Zihao Lin and Jingjing Zhang and Yu Xia and Lei Wang}, title = {Equivalent Modeling of Photovoltaic Power Plant Based on Factor Analysis and Correlation Clustering}, journal = {{IEEE} Access}, volume = {7}, pages = {56935--56946}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2913946}, doi = {10.1109/ACCESS.2019.2913946}, timestamp = {Sun, 01 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HanLZXW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangYZWDZ19, author = {Lei Wang and Minyu Yuan and Fan Zhang and Xuli Wang and Lei Dai and Feng Zhao}, title = {Risk Assessment of Distribution Networks Integrating Large-Scale Distributed Photovoltaics}, journal = {{IEEE} Access}, volume = {7}, pages = {59653--59664}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2912804}, doi = {10.1109/ACCESS.2019.2912804}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangYZWDZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MaoWWC19, author = {Lidan Mao and Ning Wang and Lei Wang and Yu Chen}, title = {Classroom Micro-Expression Recognition Algorithms Based on Multi-Feature Fusion}, journal = {{IEEE} Access}, volume = {7}, pages = {64978--64983}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2917230}, doi = {10.1109/ACCESS.2019.2917230}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MaoWWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoHDYCWSHL19, author = {Lei Zhao and Yixin Huang and Qiongdan Dai and Li Yang and Fei Chen and Lei Wang and Ke Sun and Jingjing Huang and Zhenzhi Lin}, title = {Multistage Active Distribution Network Planning With Restricted Operation Scenario Selection}, journal = {{IEEE} Access}, volume = {7}, pages = {121067--121080}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936936}, doi = {10.1109/ACCESS.2019.2936936}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhaoHDYCWSHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangZWW19a, author = {Zheng Zhang and Xuejun Zhou and Xichen Wang and Lei Wang}, title = {A Novel Diagnosis and Location Method of Short-Circuit Grounding High-Impedance Fault for a Mesh Topology Constant Current Remote Power Supply System in Cabled Underwater Information Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {121457--121471}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2937848}, doi = {10.1109/ACCESS.2019.2937848}, timestamp = {Wed, 14 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangZWW19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangXLQX19, author = {Lei Wang and Weihua Xu and Shenyi Liu and Ruichang Qiu and Chunmei Xu}, title = {Online Fatigue Estimation and Prediction of Switching Device in Urban Railway Traction Converter Based on Current Recognition and Gray Model}, journal = {{IEEE} Access}, volume = {7}, pages = {123307--123319}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936259}, doi = {10.1109/ACCESS.2019.2936259}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangXLQX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangWM19a, author = {Hejun Yang and Lei Wang and Yinghao Ma}, title = {Optimal Time of Use Electricity Pricing Model and Its Application to Electrical Distribution System}, journal = {{IEEE} Access}, volume = {7}, pages = {123558--123568}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2938415}, doi = {10.1109/ACCESS.2019.2938415}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangWM19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/FengHZYW19, author = {Tong Feng and Shuanghui Hao and Xiuwen Zhang and Tianhong Yang and Lei Wang}, title = {Development of a Fault-Tolerant Permanent-Magnet Synchronous Motor}, journal = {{IEEE} Access}, volume = {7}, pages = {146228--146239}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2945604}, doi = {10.1109/ACCESS.2019.2945604}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/FengHZYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangWLLC19, author = {Lei Wang and Qing Wu and Fei Lin and Shuai Li and Dechao Chen}, title = {A New Trajectory-Planning Beetle Swarm Optimization Algorithm for Trajectory Planning of Robot Manipulators}, journal = {{IEEE} Access}, volume = {7}, pages = {154331--154345}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2949271}, doi = {10.1109/ACCESS.2019.2949271}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangWLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiDZLLSWW19, author = {Haifeng Li and Ruisheng Diao and Xiaohu Zhang and Xi Lin and Xiao Lu and Di Shi and Zhiwei Wang and Lei Wang}, title = {An Integrated Online Dynamic Security Assessment System for Improved Situational Awareness and Economic Operation}, journal = {{IEEE} Access}, volume = {7}, pages = {162571--162582}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2952178}, doi = {10.1109/ACCESS.2019.2952178}, timestamp = {Fri, 30 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiDZLLSWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RenWWYZCL19, author = {Xiaogang Ren and Yue Wu and Lei Wang and Xiaoqiang Yan and Li Zhang and Zhiying Cao and Huangxing Lin}, title = {Three-Dimensional Reconstruction of Abdominal Aortic Aneurysm Based on Compressive Sensing With Iterative Optimization and Its Application in 3D Printing}, journal = {{IEEE} Access}, volume = {7}, pages = {170012--170018}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2955448}, doi = {10.1109/ACCESS.2019.2955448}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RenWWYZCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/WangLL19, author = {Lei Wang and Yaru Liu and Yisi Liu}, title = {An inverse method for distributed dynamic load identification of structures with interval uncertainties}, journal = {Adv. Eng. Softw.}, volume = {131}, pages = {77--89}, year = {2019}, url = {https://doi.org/10.1016/j.advengsoft.2019.02.003}, doi = {10.1016/J.ADVENGSOFT.2019.02.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aes/WangLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/LiuWWL19, author = {Yisi Liu and Xiaojun Wang and Lei Wang and Dongliang Liu}, title = {A modified leaky ReLU scheme {(MLRS)} for topology optimization with multiple materials}, journal = {Appl. Math. Comput.}, volume = {352}, pages = {188--204}, year = {2019}, url = {https://doi.org/10.1016/j.amc.2019.01.038}, doi = {10.1016/J.AMC.2019.01.038}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/LiuWWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/LiW19, author = {Hongye Li and Lei Wang}, title = {A self-organizing map based hybrid chemical reaction optimization algorithm for multiobjective optimization}, journal = {Appl. Intell.}, volume = {49}, number = {6}, pages = {2266--2286}, year = {2019}, url = {https://doi.org/10.1007/s10489-018-1358-0}, doi = {10.1007/S10489-018-1358-0}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LiW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/WangZ19a, author = {Lei Wang and Juhua Zhang}, title = {Prediction of sgRNA on-target activity in bacteria by deep learning}, journal = {{BMC} Bioinform.}, volume = {20}, number = {1}, pages = {517:1--517:14}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-3151-4}, doi = {10.1186/S12859-019-3151-4}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/WangZ19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/LiZZWWL19, author = {Jing Li and Yan Zhang and Hang Zhou and Lei Wang and Zhenchang Wang and Hongyang Li}, title = {Magnetic resonance imaging indicator of the causes of optic neuropathy in IgG4-related ophthalmic disease}, journal = {{BMC} Medical Imaging}, volume = {19}, number = {1}, pages = {49:1--49:8}, year = {2019}, url = {https://doi.org/10.1186/s12880-019-0347-z}, doi = {10.1186/S12880-019-0347-Z}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/LiZZWWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WangLADA19, author = {Lei Wang and Xi Long and Ronald M. Aarts and Johannes P. van Dijk and Johan B. A. M. Arends}, title = {EEG-based seizure detection in patients with intellectual disability: Which {EEG} and clinical factors are important?}, journal = {Biomed. Signal Process. Control.}, volume = {49}, pages = {404--418}, year = {2019}, url = {https://doi.org/10.1016/j.bspc.2018.12.003}, doi = {10.1016/J.BSPC.2018.12.003}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/WangLADA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WangLADA19a, author = {Lei Wang and Xi Long and Ronald M. Aarts and Johannes P. van Dijk and Johan B. A. M. Arends}, title = {A broadband method of quantifying phase synchronization for discriminating seizure {EEG} signals}, journal = {Biomed. Signal Process. Control.}, volume = {52}, pages = {371--383}, year = {2019}, url = {https://doi.org/10.1016/j.bspc.2018.10.019}, doi = {10.1016/J.BSPC.2018.10.019}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/WangLADA19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacie/LiPSSZWWPP19, author = {Wei Li and Hao Pu and Paul M. Schonfeld and Zhanfeng Song and Hong Zhang and Lei Wang and Jie Wang and Xianbao Peng and Lihui Peng}, title = {A Method for Automatically Recreating the Horizontal Alignment Geometry of Existing Railways}, journal = {Comput. Aided Civ. Infrastructure Eng.}, volume = {34}, number = {1}, pages = {71--94}, year = {2019}, url = {https://doi.org/10.1111/mice.12392}, doi = {10.1111/MICE.12392}, timestamp = {Wed, 05 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacie/LiPSSZWWPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/WangWLQLX19, author = {Lei Wang and Pengxin Wang and Shunlin Liang and Xuan Qi and Li Li and Lianxiang Xu}, title = {Monitoring maize growth conditions by training a {BP} neural network with remotely sensed vegetation temperature condition index and leaf area index}, journal = {Comput. Electron. Agric.}, volume = {160}, pages = {82--90}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.03.017}, doi = {10.1016/J.COMPAG.2019.03.017}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/WangWLQLX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/WangWWZT19, author = {Zhoufeng Wang and Yujun Wang and Lei Wang and Tingshan Zhang and Zhangying Tang}, title = {Research on the comprehensive evaluation system of eco-geological environmental carrying capacity based on the analytic hierarchy process}, journal = {Clust. Comput.}, volume = {22}, number = {Supplement}, pages = {5347--5356}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1242-4}, doi = {10.1007/S10586-017-1242-4}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/WangWWZT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ZhouXRWZZW19, author = {Bin Zhou and Shousheng Xie and Litong Ren and Lei Wang and Yu Zhang and Ledi Zhang and Hao Wang}, title = {Piecewise Adaptive Sliding Mode Control for Aeroengine Networked Control Systems with Resource Constraints}, journal = {Complex.}, volume = {2019}, pages = {8693780:1--8693780:15}, year = {2019}, url = {https://doi.org/10.1155/2019/8693780}, doi = {10.1155/2019/8693780}, timestamp = {Wed, 16 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/ZhouXRWZZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csm/XiaWJZWY19, author = {Tingting Xia and Michael Mao Wang and Chengling Jiang and Jingjing Zhang and Lei Wang and Xiaohu You}, title = {Practical Machine-Type Communication for Energy Internet of Things: An Introduction}, journal = {{IEEE} Commun. Stand. Mag.}, volume = {3}, number = {1}, pages = {48--59}, year = {2019}, url = {https://doi.org/10.1109/MCOMSTD.2019.1800042}, doi = {10.1109/MCOMSTD.2019.1800042}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csm/XiaWJZWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/LiWRLXA19, author = {Tao Li and Lei Wang and Yongjun Ren and Xiang Li and Jinyue Xia and Ran An}, title = {An efficient method for meteorological nephogram recognition in cloud environment}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2019}, pages = {273}, year = {2019}, url = {https://doi.org/10.1186/s13638-019-1611-1}, doi = {10.1186/S13638-019-1611-1}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/LiWRLXA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/WanWJR19, author = {Fayu Wan and Lei Wang and Qizheng Ji and Blaise Ravelo}, title = {Canonical transfer function of band-pass {NGD} circuit}, journal = {{IET} Circuits Devices Syst.}, volume = {13}, number = {2}, pages = {125--130}, year = {2019}, url = {https://doi.org/10.1049/iet-cds.2018.5214}, doi = {10.1049/IET-CDS.2018.5214}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cds/WanWJR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/YangW19, author = {Xiao{-}Song Yang and Lei Wang}, title = {Period-Increasing Indicates Loss of Stability}, journal = {Int. J. Bifurc. Chaos}, volume = {29}, number = {12}, pages = {1950159:1--1950159:9}, year = {2019}, url = {https://doi.org/10.1142/S0218127419501591}, doi = {10.1142/S0218127419501591}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/YangW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/WangLYYWD19, author = {Lei Wang and Min Li and Jun Ye and Xiang Yu and Ziqi Wang and Shaobo Deng}, title = {Dynamic Knowledge Update Using Three-Way Decisions in Dominance-Based Rough Sets Approach While the Object Set Varies}, journal = {Int. J. Comput. Intell. Syst.}, volume = {12}, number = {2}, pages = {914--928}, year = {2019}, url = {https://doi.org/10.2991/ijcis.d.190807.001}, doi = {10.2991/IJCIS.D.190807.001}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcisys/WangLYYWD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmssc/ZhangHWZG19, author = {Lei Zhang and Chuanhui Huang and Lei Wang and Enlan Zhao and Wenke Gao}, title = {Data-driven modeling and simulation of complex multistation manufacturing process for dimensional variation analysis}, journal = {Int. J. Model. Simul. Sci. Comput.}, volume = {10}, number = {3}, pages = {1950011:1--1950011:15}, year = {2019}, url = {https://doi.org/10.1142/S1793962319500119}, doi = {10.1142/S1793962319500119}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmssc/ZhangHWZG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/YanWL19, author = {Huanqian Yan and Lei Wang and Yonggang Lu}, title = {Identifying cluster centroids from decision graph automatically using a statistical outlier detection method}, journal = {Neurocomputing}, volume = {329}, pages = {348--358}, year = {2019}, url = {https://doi.org/10.1016/j.neucom.2018.10.067}, doi = {10.1016/J.NEUCOM.2018.10.067}, timestamp = {Wed, 27 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/YanWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SongZGWL19, author = {Cheng Song and Yadong Zhang and Xinan Gu and Lei Wang and Zhizhong Liu}, title = {A Trajectory Substitution Privacy Protection Scheme in location-based services}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {9}, pages = {4771--4787}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.09.024}, doi = {10.3837/TIIS.2019.09.024}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/SongZGWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SongGWLP19, author = {Cheng Song and Xinan Gu and Lei Wang and Zhizhong Liu and Yuan Ping}, title = {Research on Identity-based Batch Anonymous Authentication Scheme for {VANET}}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {12}, pages = {6175--6189}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.12.021}, doi = {10.3837/TIIS.2019.12.021}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/SongGWLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/WangW19, author = {Xiaodong Wang and Lei Wang}, title = {Computing Nonoverlapping Inversion Distance Between Two Strings in Linear Average Time}, journal = {J. Comput. Biol.}, volume = {26}, number = {3}, pages = {193--201}, year = {2019}, url = {https://doi.org/10.1089/cmb.2018.0136}, doi = {10.1089/CMB.2018.0136}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/WangW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/HuPW19, author = {Bin Hu and Fang Pan and Lei Wang}, title = {A scheduling algorithm for medical emergency rescue aircraft trajectory based on hybrid estimation and intent inference}, journal = {J. Comb. Optim.}, volume = {37}, number = {1}, pages = {40--61}, year = {2019}, url = {https://doi.org/10.1007/s10878-017-0209-y}, doi = {10.1007/S10878-017-0209-Y}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/HuPW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/WangYDNS19, author = {Lei Wang and Qing Ye and Xianan Dou and Jinsong Nie and Xiaoquan Sun}, title = {Anti-cat-eye effect imaging technique based on the light-field imaging technique}, journal = {J. Electronic Imaging}, volume = {28}, number = {5}, pages = {053020}, year = {2019}, url = {https://doi.org/10.1117/1.JEI.28.5.053020}, doi = {10.1117/1.JEI.28.5.053020}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/WangYDNS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/LuWXJWJW19, author = {Zhihua Lu and Lei Wang and Kaijian Xia and Heng Jiang and Xiaoyan Weng and Jianlong Jiang and Mei Wu}, title = {Prediction of Clinical Pathologic Prognostic Factors for Rectal Adenocarcinoma: Volumetric Texture Analysis Based on Apparent Diffusion Coefficient Maps}, journal = {J. Medical Syst.}, volume = {43}, number = {12}, pages = {331:1--331:9}, year = {2019}, url = {https://doi.org/10.1007/s10916-019-1464-5}, doi = {10.1007/S10916-019-1464-5}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/LuWXJWJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossac/WangNW19, author = {Lei Wang and Changhong Nie and Shouyang Wang}, title = {A New Credit Spread to Predict Economic Activities in China}, journal = {J. Syst. Sci. Complex.}, volume = {32}, number = {4}, pages = {1140--1166}, year = {2019}, url = {https://doi.org/10.1007/s11424-019-8033-3}, doi = {10.1007/S11424-019-8033-3}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jossac/WangNW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/JinWSL19, author = {Xu Jin and Lei Wang and Guozi Sun and Huakang Li}, title = {{\unicode{19968}}{\unicode{31181}}{\unicode{22522}}{\unicode{20110}}{\unicode{36136}}{\unicode{24515}}{\unicode{31354}}{\unicode{38388}}{\unicode{30340}}{\unicode{19981}}{\unicode{22343}}{\unicode{34913}}{\unicode{25968}}{\unicode{25454}}{\unicode{27424}}{\unicode{37319}}{\unicode{26679}}{\unicode{26041}}{\unicode{27861}} (Under-sampling Method for Unbalanced Data Based on Centroid Space)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {2}, pages = {50--55}, year = {2019}, url = {https://doi.org/10.11896/j.issn.1002-137X.2019.02.008}, doi = {10.11896/J.ISSN.1002-137X.2019.02.008}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/JinWSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/ZhuWZMXC19, author = {Xuan Zhu and Lei Wang and Chao Zhang and Dongfeng Mei and Jiaping Xue and Qingwen Cao}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{36830}}{\unicode{32493}}{\unicode{24615}}{\unicode{32422}}{\unicode{26463}}{\unicode{32972}}{\unicode{26223}}{\unicode{27169}}{\unicode{22411}}{\unicode{20943}}{\unicode{38500}}{\unicode{30340}}{\unicode{36816}}{\unicode{21160}}{\unicode{30446}}{\unicode{26631}}{\unicode{26816}}{\unicode{27979}} (Moving Object Detection Based on Continuous Constraint Background Model Deduction)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {6}, pages = {311--315}, year = {2019}, url = {https://doi.org/10.11896/j.issn.1002-137X.2019.06.047}, doi = {10.11896/J.ISSN.1002-137X.2019.06.047}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/ZhuWZMXC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/JiangWWLP19, author = {Xuanyou Jiang and Yimin Wei and Lei Wang and Lingjun Liu and Lei Peng}, title = {{\unicode{36924}}{\unicode{36817}}{\unicode{39640}}{\unicode{26031}}{\unicode{20449}}{\unicode{36947}}{\unicode{23481}}{\unicode{37327}}{\unicode{30340}}M-APSK{\unicode{35843}}{\unicode{21046}}{\unicode{19982}}{\unicode{35299}}{\unicode{35843}}{\unicode{26041}}{\unicode{27861}} {(M-APSK} Signal Modulation and Demodulation Method Approaching Gaussian Channel Capacity)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {10}, pages = {97--102}, year = {2019}, url = {https://doi.org/10.11896/jsjkx.180901777}, doi = {10.11896/JSJKX.180901777}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/JiangWWLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/WuWMTG19, author = {Zhongzhi Wu and Lei Wang and Jianping Ma and Siyang Tan and Manyi Guo}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{31995}}{\unicode{32479}}{\unicode{26550}}{\unicode{26500}}{\unicode{30340}}{\unicode{20856}}{\unicode{22411}}{\unicode{22235}}{\unicode{26059}}{\unicode{32764}}{\unicode{26080}}{\unicode{20154}}{\unicode{26426}}{\unicode{35774}}{\unicode{35745}} (Design of Typical Quadrotor {UAV} Based on System Architecture)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {11A}, pages = {575--579}, year = {2019}, url = {http://www.jsjkx.com/CN/Y2019/V46/I11A/575}, doi = {Y2019/V46/I11A/575}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/WuWMTG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ZhangLKWN19, author = {Shihui Zhang and He Li and Weihang Kong and Lei Wang and Xiaofang Niu}, title = {An object counting network based on hierarchical context and feature fusion}, journal = {J. Vis. Commun. Image Represent.}, volume = {62}, pages = {166--173}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2019.05.003}, doi = {10.1016/J.JVCIR.2019.05.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/ZhangLKWN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WangZSWL19, author = {Zhen Wang and Long{-}Bo Zhang and Fu{-}Zhen Sun and Lei Wang and Shu{-}Shu Liu}, title = {Relative similarity preserving bitwise weights generated by an adaptive mechanism}, journal = {Multim. Tools Appl.}, volume = {78}, number = {17}, pages = {24453--24472}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6997-y}, doi = {10.1007/S11042-018-6997-Y}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WangZSWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/WenJHZLCLYW19, author = {Dong Wen and Peilei Jia and Sheng{-}Hsiou Hsu and Yanhong Zhou and Xifa Lan and Dong Cui and Guolin Li and Shimin Yin and Lei Wang}, title = {Estimating coupling strength between multivariate neural series with multivariate permutation conditional mutual information}, journal = {Neural Networks}, volume = {110}, pages = {159--169}, year = {2019}, url = {https://doi.org/10.1016/j.neunet.2018.11.006}, doi = {10.1016/J.NEUNET.2018.11.006}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/WenJHZLCLYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PeiWWNKSHGTYXWY19, author = {Jie Pei and Li Wang and Xiaoyue Wang and Zheng Niu and Maggi Kelly and Xiao{-}Peng Song and Ni Huang and Jing Geng and Haifeng Tian and Yang Yu and Shiguang Xu and Lei Wang and Qing Ying and Jianhua Cao}, title = {Time Series of Landsat Imagery Shows Vegetation Recovery in Two Fragile Karst Watersheds in Southwest China from 1988 to 2016}, journal = {Remote. Sens.}, volume = {11}, number = {17}, pages = {2044}, year = {2019}, url = {https://doi.org/10.3390/rs11172044}, doi = {10.3390/RS11172044}, timestamp = {Mon, 14 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/PeiWWNKSHGTYXWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhaoLXLSW19, author = {Bingxue Zhao and Yongxue Liu and Wenxuan Xu and Yongchao Liu and Jiaqi Sun and Lei Wang}, title = {Morphological Characteristics of Tidal Creeks in the Central Coastal Region of Jiangsu, China, Using LiDAR}, journal = {Remote. Sens.}, volume = {11}, number = {20}, pages = {2426}, year = {2019}, url = {https://doi.org/10.3390/rs11202426}, doi = {10.3390/RS11202426}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhaoLXLSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ZhangWS19, author = {Xufang Zhang and Lei Wang and John Dalsgaard S{\o}rensen}, title = {{REIF:} {A} novel active-learning function toward adaptive Kriging surrogate models for structural reliability analysis}, journal = {Reliab. Eng. Syst. Saf.}, volume = {185}, pages = {440--454}, year = {2019}, url = {https://doi.org/10.1016/j.ress.2019.01.014}, doi = {10.1016/J.RESS.2019.01.014}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/ZhangWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/QinWCQW19, author = {Tao Qin and Bo Wang and Ruoya Chen and Zunying Qin and Lei Wang}, title = {{IMLADS:} Intelligent Maintenance and Lightweight Anomaly Detection System for Internet of Things}, journal = {Sensors}, volume = {19}, number = {4}, pages = {958}, year = {2019}, url = {https://doi.org/10.3390/s19040958}, doi = {10.3390/S19040958}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/QinWCQW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangLTZW19, author = {Lei Wang and Zhicheng L{\"{u}} and Xiaomei Tang and Ke Zhang and Feixue Wang}, title = {LEO-Augmented {GNSS} Based on Communication Navigation Integrated Signal}, journal = {Sensors}, volume = {19}, number = {21}, pages = {4700}, year = {2019}, url = {https://doi.org/10.3390/s19214700}, doi = {10.3390/S19214700}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangLTZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuWLBW19, author = {Zengjun Liu and Lei Wang and Kui Li and Jingxuan Ban and Meng Wang}, title = {A Calibration Method for the Errors of Ring Laser Gyro in Rate-Biased Mode}, journal = {Sensors}, volume = {19}, number = {21}, pages = {4754}, year = {2019}, url = {https://doi.org/10.3390/s19214754}, doi = {10.3390/S19214754}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiuWLBW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LiQWWC19, author = {Wenhui Li and Feng Qu and Ying Wang and Lei Wang and Yuhao Chen}, title = {A robust lane detection method based on hyperbolic model}, journal = {Soft Comput.}, volume = {23}, number = {19}, pages = {9161--9174}, year = {2019}, url = {https://doi.org/10.1007/s00500-018-3607-x}, doi = {10.1007/S00500-018-3607-X}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/LiQWWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/NawazW19, author = {Yasir Nawaz and Lei Wang}, title = {Block Cipher in the Ideal Cipher Model: {A} Dedicated Permutation Modeled as a Black-Box Public Random Permutation}, journal = {Symmetry}, volume = {11}, number = {12}, pages = {1485}, year = {2019}, url = {https://doi.org/10.3390/sym11121485}, doi = {10.3390/SYM11121485}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/NawazW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MaWZLDZHL19, author = {Wei Ma and Lei Wang and Rui Zhang and Jiahong Li and Zhiming Dong and Yihui Zhang and Min Hu and Shuxi Liu}, title = {Hopf Bifurcation and Its Control in the One-Cycle Controlled Cuk Converter}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {66-II}, number = {8}, pages = {1411--1415}, year = {2019}, url = {https://doi.org/10.1109/TCSII.2018.2880868}, doi = {10.1109/TCSII.2018.2880868}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MaWZLDZHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/ZhaoWLZLLR19, author = {Ying Zhao and Lei Wang and Shijie Li and Fangfang Zhou and Xiaoru Lin and Qiang Lu and Lei Ren}, title = {A Visual Analysis Approach for Understanding Durability Test Data of Automotive Products}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {10}, number = {6}, pages = {70:1--70:23}, year = {2019}, url = {https://doi.org/10.1145/3345640}, doi = {10.1145/3345640}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tist/ZhaoWLZLLR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/WangANCH19, author = {Lei Wang and Bo Ai and Yong Niu and Xia Chen and Pan Hui}, title = {Energy-Efficient Power Control of Train-Ground mmWave Communication for High-Speed Trains}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {8}, pages = {7704--7714}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2923066}, doi = {10.1109/TVT.2019.2923066}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/WangANCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/5gwn/ChenWBTL19, author = {Wei Chen and Lei Wang and Fangming Bi and Chaogang Tang and Senyu Li}, editor = {Victor C. M. Leung and Haijun Zhang and Xiping Hu and Qiang Liu and Zhi Liu}, title = {Security Risk Assessment for Miniature Internet of Thing Systems with 5G}, booktitle = {5G for Future Wireless Networks - 2nd {EAI} International Conference, 5GWN 2019, Changsha, China, February 23-24, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {278}, pages = {174--181}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-17513-9\_12}, doi = {10.1007/978-3-030-17513-9\_12}, timestamp = {Fri, 03 Jul 2020 08:57:15 +0200}, biburl = {https://dblp.org/rec/conf/5gwn/ChenWBTL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiDWXL19, author = {Chao Li and Cheng Deng and Lei Wang and De Xie and Xianglong Liu}, title = {Coupled CycleGAN: Unsupervised Hashing Network for Cross-Modal Retrieval}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {176--183}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.3301176}, doi = {10.1609/AAAI.V33I01.3301176}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiDWXL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangZZXGDS19, author = {Lei Wang and Dongxiang Zhang and Jipeng Zhang and Xing Xu and Lianli Gao and Bing Tian Dai and Heng Tao Shen}, title = {Template-Based Math Word Problem Solvers with Recursive Neural Networks}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {7144--7151}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33017144}, doi = {10.1609/AAAI.V33I01.33017144}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangZZXGDS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LiWZWDZ19, author = {Jierui Li and Lei Wang and Jipeng Zhang and Yan Wang and Bing Tian Dai and Dongxiang Zhang}, editor = {Anna Korhonen and David R. Traum and Llu{\'{\i}}s M{\`{a}}rquez}, title = {Modeling Intra-Relation in Math Word Problems with Different Functional Multi-Head Attentions}, booktitle = {Proceedings of the 57th Conference of the Association for Computational Linguistics, {ACL} 2019, Florence, Italy, July 28- August 2, 2019, Volume 1: Long Papers}, pages = {6162--6167}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/p19-1619}, doi = {10.18653/V1/P19-1619}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LiWZWDZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/HuangJCW19, author = {Xueliang Huang and Dan Jing and Yan Cheng and Lei Wang}, title = {Redundancy Analysis of 3D Assembly Geometric Constraint System}, booktitle = {International Conference on Artificial Intelligence and Advanced Manufacturing, {AIAM} 2019, Dublin, Ireland, October 16-18, 2019}, pages = {571--577}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AIAM48774.2019.00119}, doi = {10.1109/AIAM48774.2019.00119}, timestamp = {Fri, 25 Mar 2022 10:06:03 +0100}, biburl = {https://dblp.org/rec/conf/aiam/HuangJCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bench/WangY19, author = {Lei Wang and Minghe Yu}, editor = {Wanling Gao and Jianfeng Zhan and Geoffrey C. Fox and Xiaoyi Lu and Dan Stanzione}, title = {GraphBench: {A} Benchmark Suite for Graph Computing Systems}, booktitle = {Benchmarking, Measuring, and Optimizing - Second BenchCouncil International Symposium, Bench 2019, Denver, CO, USA, November 14-16, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12093}, pages = {20--31}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-49556-5\_2}, doi = {10.1007/978-3-030-49556-5\_2}, timestamp = {Wed, 10 Jun 2020 15:10:43 +0200}, biburl = {https://dblp.org/rec/conf/bench/WangY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/WangWX19, author = {Lei Wang and Bo Wang and Zhenghua Xu}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Tumor Segmentation Based on Deeply Supervised Multi-Scale U-Net}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {746--749}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983147}, doi = {10.1109/BIBM47256.2019.8983147}, timestamp = {Fri, 09 Apr 2021 17:11:15 +0200}, biburl = {https://dblp.org/rec/conf/bibm/WangWX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/RoscoeW19, author = {Andrew William Roscoe and Lei Wang}, editor = {Joshua D. Guttman and Carl E. Landwehr and Jos{\'{e}} Meseguer and Dusko Pavlovic}, title = {Key Agreement via Protocols}, booktitle = {Foundations of Security, Protocols, and Equational Reasoning - Essays Dedicated to Catherine A. Meadows}, series = {Lecture Notes in Computer Science}, volume = {11565}, pages = {156--166}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-19052-1\_11}, doi = {10.1007/978-3-030-19052-1\_11}, timestamp = {Thu, 21 Sep 2023 09:08:34 +0200}, biburl = {https://dblp.org/rec/conf/birthday/RoscoeW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/LuanWWLHS19, author = {Jingmin Luan and Yuheng Wang and Lei Wang and Xulong Liu and Wenxue Hong and Jialin Song}, title = {Identifying Compatible Syndrome Elements of Traditional Chinese Medicine Based on Three-Way Decisions}, booktitle = {12th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2019, Suzhou, China, October 19-21, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CISP-BMEI48845.2019.8965770}, doi = {10.1109/CISP-BMEI48845.2019.8965770}, timestamp = {Thu, 03 Dec 2020 11:15:26 +0100}, biburl = {https://dblp.org/rec/conf/bmei/LuanWWLHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/WangLSZZ19, author = {Lei Wang and Hongying Liu and Li Sun and Mei Zhou and Quanjie Zhuang}, title = {A Parallel Strategies for 2-D Lifting Wavelet Transform Using {GPU}}, booktitle = {12th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2019, Suzhou, China, October 19-21, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CISP-BMEI48845.2019.8965965}, doi = {10.1109/CISP-BMEI48845.2019.8965965}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/WangLSZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbs/LiuZPWWCLZ19, author = {Yanqing Liu and Dongchen Zhu and Jingquan Peng and Xianshun Wang and Lei Wang and Lili Chen and Jiamao Li and Xiaolin Zhang}, title = {Robust Active Visual {SLAM} System Based on Bionic Eyes}, booktitle = {2019 {IEEE} International Conference on Cyborg and Bionic Systems, {CBS} 2019, Munich, Germany, September 18-20, 2019}, pages = {340--345}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CBS46900.2019.9114468}, doi = {10.1109/CBS46900.2019.9114468}, timestamp = {Fri, 26 Jun 2020 13:35:06 +0200}, biburl = {https://dblp.org/rec/conf/cbs/LiuZPWWCLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbs/ShiZZCWLZ19, author = {Wenjun Shi and Dongchen Zhu and Guanghui Zhang and Lili Chen and Lei Wang and Jiamao Li and Xiaolin Zhang}, title = {Multilevel Cross-Aware {RGBD} Semantic Segmentation of Indoor Environments}, booktitle = {2019 {IEEE} International Conference on Cyborg and Bionic Systems, {CBS} 2019, Munich, Germany, September 18-20, 2019}, pages = {346--351}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CBS46900.2019.9114405}, doi = {10.1109/CBS46900.2019.9114405}, timestamp = {Fri, 26 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cbs/ShiZZCWLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csia/YeWHRSW19, author = {Bin Ye and Xuli Wang and Bin Hu and Junxi Ren and Xuemei Shi and Lei Wang}, editor = {Zheng Xu and Kim{-}Kwang Raymond Choo and Ali Dehghantanha and Reza M. Parizi and Mohammad Hammoudeh}, title = {Comprehensive Evaluation System Which Adapt to the Layout of Power Facilities and the Utilization of Channel Resource Based on the New-Type Urbanization}, booktitle = {Cyber Security Intelligence and Analytics, {CSIA} 2019, Shenyang, China, 21-22 February 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {928}, pages = {15--23}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15235-2\_3}, doi = {10.1007/978-3-030-15235-2\_3}, timestamp = {Mon, 11 Jan 2021 10:17:39 +0100}, biburl = {https://dblp.org/rec/conf/csia/YeWHRSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csps/SongZZXW19, author = {Ze Song and Xin Zhang and Xiu Zhang and Ruiqing Xing and Lei Wang}, editor = {Qilian Liang and Wei Wang and Xin Liu and Zhenyu Na and Min Jia and Baoju Zhang}, title = {A Wireless Power Transfer System with Switching Circuit of Power Grid and Solar Energy}, booktitle = {Communications, Signal Processing, and Systems - Proceedings of the 8th International Conference on Communications, Signal Processing, and Systems, {CSPS} 2019, Urumqi, China, 20-22 July 2019}, series = {Lecture Notes in Electrical Engineering}, volume = {571}, pages = {564--571}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-13-9409-6\_66}, doi = {10.1007/978-981-13-9409-6\_66}, timestamp = {Thu, 09 Apr 2020 10:53:46 +0200}, biburl = {https://dblp.org/rec/conf/csps/SongZZXW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cyberc/LiuCLW19, author = {Yu Liu and Chunling Cheng and Yulong Li and Lei Wang}, title = {Network Coding for Reliable Video Distribution in Device-to-Device Communications}, booktitle = {2019 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, CyberC 2019, Guilin, China, October 17-19, 2019}, pages = {143--146}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CyberC.2019.00033}, doi = {10.1109/CYBERC.2019.00033}, timestamp = {Tue, 21 Jan 2020 19:19:11 +0100}, biburl = {https://dblp.org/rec/conf/cyberc/LiuCLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dpta/WangYWH19, author = {Na Wang and Jing Yu and Lei Wang and Xiaomei Hao}, editor = {Chuanchao Huang and Yu{-}Wei Chan and Neil Y. Yen}, title = {Application of Intelligent Control in Medical Education}, booktitle = {Data Processing Techniques and Applications for Cyber-Physical Systems, {DPTA} 2019, Shanghai, China, November 15-16, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {1088}, pages = {1335--1340}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-1468-5\_157}, doi = {10.1007/978-981-15-1468-5\_157}, timestamp = {Tue, 11 Feb 2020 13:32:03 +0100}, biburl = {https://dblp.org/rec/conf/dpta/WangYWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/0057XRW19, author = {Yu Zhang and Shousheng Xie and Litong Ren and Lei Wang}, editor = {Zheng Xiao and Laurence T. Yang and Pavan Balaji and Tao Li and Keqin Li and Albert Y. Zomaya}, title = {Robust Packet Dropout Compensator Design for Multiple Packet Transmission Networked Control Systems}, booktitle = {21st {IEEE} International Conference on High Performance Computing and Communications; 17th {IEEE} International Conference on Smart City; 5th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2019, Zhangjiajie, China, August 10-12, 2019}, pages = {1382--1389}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCC/SmartCity/DSS.2019.00192}, doi = {10.1109/HPCC/SMARTCITY/DSS.2019.00192}, timestamp = {Fri, 19 Nov 2021 12:28:04 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/0057XRW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/QiLZWY19, author = {Shengyuan Qi and Zhigang Liu and Juan Zhang and Lei Wang and Juntong Yang}, editor = {Zheng Xiao and Laurence T. Yang and Pavan Balaji and Tao Li and Keqin Li and Albert Y. Zomaya}, title = {An Anti-Occlusion Correlation Filter Algorithm for Visual Tracking}, booktitle = {21st {IEEE} International Conference on High Performance Computing and Communications; 17th {IEEE} International Conference on Smart City; 5th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2019, Zhangjiajie, China, August 10-12, 2019}, pages = {2601--2605}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCC/SmartCity/DSS.2019.00364}, doi = {10.1109/HPCC/SMARTCITY/DSS.2019.00364}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/QiLZWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbet/DengWZWTS19, author = {Juan Deng and Yan Wang and Shu Zhao and Lei Wang and Yunjie Tian and Hong Sha}, title = {A Full-Implantable Continuous Blood Glucose Monitoring System Design}, booktitle = {Proceedings of the 2019 9th International Conference on Biomedical Engineering and Technology, {ICBET} 2019, Tokyo, Japan, March 28-30, 2019}, pages = {240--247}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3326172.3326180}, doi = {10.1145/3326172.3326180}, timestamp = {Fri, 22 Dec 2023 09:09:32 +0100}, biburl = {https://dblp.org/rec/conf/icbet/DengWZWTS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ZengLW19, author = {Zhuoqi Zeng and Steven Liu and Lei Wang}, title = {{UWB} {NLOS} identification with feature combination selection based on genetic algorithm}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019, Las Vegas, NV, USA, January 11-13, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE.2019.8662065}, doi = {10.1109/ICCE.2019.8662065}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ZengLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/ZhangYBSKLJZJSL19, author = {Rui Zhang and Mingkun Yang and Xiang Bai and Baoguang Shi and Dimosthenis Karatzas and Shijian Lu and C. V. Jawahar and Yongsheng Zhou and Qianyi Jiang and Qi Song and Nan Li and Kai Zhou and Lei Wang and Dong Wang and Minghui Liao}, title = {{ICDAR} 2019 Robust Reading Challenge on Reading Chinese Text on Signboard}, booktitle = {2019 International Conference on Document Analysis and Recognition, {ICDAR} 2019, Sydney, Australia, September 20-25, 2019}, pages = {1577--1581}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDAR.2019.00253}, doi = {10.1109/ICDAR.2019.00253}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdar/ZhangYBSKLJZJSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WangFJJM19, author = {Lei Wang and Guangtao Fu and Zhuqing Jiang and Guodong Ju and Aidong Men}, title = {Low-Light Image Enhancement with Attention and Multi-level Feature Fusion}, booktitle = {{IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2019, Shanghai, China, July 8-12, 2019}, pages = {276--281}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMEW.2019.00054}, doi = {10.1109/ICMEW.2019.00054}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/WangFJJM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WangSF19, author = {Lei Wang and Jinli Suo and Jingtao Fan}, title = {Spatial-Temporal Codec Accuracy Calibration for Multi-scale Giga-Pixel Macroscope}, booktitle = {{IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2019, Shanghai, China, July 8-12, 2019}, pages = {414--419}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMEW.2019.00077}, doi = {10.1109/ICMEW.2019.00077}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/WangSF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/LiSW19, author = {Nan Li and Mohamad Sawan and Lei Wang}, editor = {Wanqing Wu and Lipo Wang and Chunlei Ji and Niansheng Chen and Qiang Sun and Xiaoyong Song and Xin Wang}, title = {An Efficient Adaptive Online Neural Spikes Detection and Classification Engine Based on Bayesian Inference}, booktitle = {6th International Conference on Systems and Informatics, {ICSAI} 2019, Shanghai, China, November 2-4, 2019}, pages = {1100--1104}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICSAI48974.2019.9010516}, doi = {10.1109/ICSAI48974.2019.9010516}, timestamp = {Mon, 09 Mar 2020 12:14:21 +0100}, biburl = {https://dblp.org/rec/conf/icsai/LiSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icycsee/WangCCL19, author = {Lei Wang and Yinan Chen and Shijie Cai and Xia Liu}, editor = {Rui Mao and Hongzhi Wang and Xiaolan Xie and Zeguang Lu}, title = {Application of Grey Correlation Analysis in Physical Fitness Assessment of Higher Vocational Students}, booktitle = {Data Science - 5th International Conference of Pioneering Computer Scientists, Engineers and Educators, {ICPCSEE} 2019, Guilin, China, September 20-23, 2019, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1059}, pages = {382--389}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-0121-0\_29}, doi = {10.1007/978-981-15-0121-0\_29}, timestamp = {Fri, 05 Feb 2021 15:43:40 +0100}, biburl = {https://dblp.org/rec/conf/icycsee/WangCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/WangLZZWXGG19, author = {Kun Wang and Peng Liu and Anran Zhao and Qixun Zhang and Lei Wang and Yiming Xue and Xiyu Gao and Dawei Gao}, title = {Development and Application of {MES} Based on Cloud Platform for Steel Structure Enterprises}, booktitle = {2019 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2019, Macao, Macao, December 15-18, 2019}, pages = {521--525}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IEEM44572.2019.8978516}, doi = {10.1109/IEEM44572.2019.8978516}, timestamp = {Tue, 04 Feb 2020 13:23:52 +0100}, biburl = {https://dblp.org/rec/conf/ieem/WangLZZWXGG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/ZhaoLWZWXGG19, author = {Anran Zhao and Peng Liu and Kun Wang and Qixun Zhang and Lei Wang and Yiming Xue and Xiyu Gao and Dawei Gao}, title = {Process Management of Customized Product Manufacturing for Steel Structures}, booktitle = {2019 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2019, Macao, Macao, December 15-18, 2019}, pages = {531--535}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IEEM44572.2019.8978910}, doi = {10.1109/IEEM44572.2019.8978910}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieem/ZhaoLWZWXGG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/GuZZYWWW19, author = {Jun Gu and Yue Zhang and Wenkai Zhang and Hongfeng Yu and Siyue Wang and Yaoling Wang and Lei Wang}, title = {Aerial Image and Map Synthesis Using Generative Adversarial Networks}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {9803--9806}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8900222}, doi = {10.1109/IGARSS.2019.8900222}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/GuZZYWWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/MaLBWHL19, author = {Yuqing Ma and Xianglong Liu and Shihao Bai and Lei Wang and Dailan He and Aishan Liu}, editor = {Sarit Kraus}, title = {Coarse-to-Fine Image Inpainting via Region-wise Convolutions and Non-Local Correlation}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {3123--3129}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/433}, doi = {10.24963/IJCAI.2019/433}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/MaLBWHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/WangLCCW19, author = {Ruishuang Wang and Zhao Li and Jian Cao and Tong Chen and Lei Wang}, title = {Convolutional Recurrent Neural Networks for Text Classification}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest, Hungary, July 14-19, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IJCNN.2019.8852406}, doi = {10.1109/IJCNN.2019.8852406}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/WangLCCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/WeiXATWR019, author = {Wei Wei and Haishan Xu and Julian Alpers and Zhang Tianbao and Lei Wang and Marko Rak and Christian Hansen}, title = {Fast Registration for Liver Motion Compensation in Ultrasound-Guided Navigation}, booktitle = {16th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2019, Venice, Italy, April 8-11, 2019}, pages = {1132--1136}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISBI.2019.8759464}, doi = {10.1109/ISBI.2019.8759464}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/WeiXATWR019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isi/ZhangBWHL19, author = {Donglei Zhang and Jie Bai and Lei Wang and Min He and Yin Luo}, title = {Identifying Risks of the Internet Finance Platforms Using Multi-Source Text Data}, booktitle = {2019 {IEEE} International Conference on Intelligence and Security Informatics, {ISI} 2019, Shenzhen, China, July 1-3, 2019}, pages = {185--187}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISI.2019.8823525}, doi = {10.1109/ISI.2019.8823525}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isi/ZhangBWHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/YuLYZW19, author = {Heng Yu and Ying Lu and Cong Yu and Hongya Zhao and Lei Wang}, title = {Co-Occurrence Morphological Edge Detection}, booktitle = {2019 International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), iThings/GreenCom/CPSCom/SmartData 2019, Atlanta, GA, USA, July 14-17, 2019}, pages = {395--402}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/iThings/GreenCom/CPSCom/SmartData.2019.00086}, doi = {10.1109/ITHINGS/GREENCOM/CPSCOM/SMARTDATA.2019.00086}, timestamp = {Mon, 28 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/YuLYZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/LiRRWWW19, author = {Tao Li and Yongzhen Ren and Yongjun Ren and Lina Wang and Lingyun Wang and Lei Wang}, title = {NMF-Based Privacy-Preserving Collaborative Filtering on Cloud Computing}, booktitle = {2019 International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), iThings/GreenCom/CPSCom/SmartData 2019, Atlanta, GA, USA, July 14-17, 2019}, pages = {476--481}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/iThings/GreenCom/CPSCom/SmartData.2019.00098}, doi = {10.1109/ITHINGS/GREENCOM/CPSCOM/SMARTDATA.2019.00098}, timestamp = {Thu, 24 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ithings/LiRRWWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/LiWRL19, author = {Tao Li and Lei Wang and Yongjun Ren and Xiang Li}, title = {A Nephogram Recognition Algorithm Based on Cloud Computing Platform}, booktitle = {2019 International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), iThings/GreenCom/CPSCom/SmartData 2019, Atlanta, GA, USA, July 14-17, 2019}, pages = {482--487}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/iThings/GreenCom/CPSCom/SmartData.2019.00099}, doi = {10.1109/ITHINGS/GREENCOM/CPSCOM/SMARTDATA.2019.00099}, timestamp = {Thu, 24 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ithings/LiWRL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HuYYSZWWBWXPYLC19, author = {Shang Hu and Tingyu Yao and Bozhi Yin and Chunyu Song and Lei Zhao and Juncheng Wang and Lei Wang and Rui Bai and Xin Wang and Tao Xia and Yi Peng and Binbin Yao and Yuan Li and Xuefeng Chen and Quan Pan and Nan Qi and Patrick Yin Chiang}, title = {A 50Gb/s {PAM-4} Retimer-CDR + {VCSEL} Driver with Asymmetric Pulsed Pre-Emphasis Integrated into a Single {CMOS} Die}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696680}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/HuYYSZWWBWXPYLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/TianXTWDW19, author = {Ming Tian and Yuting Xue and Chunna Tian and Lei Wang and Donghu Deng and Wei Wei}, editor = {Zhouchen Lin and Liang Wang and Jian Yang and Guangming Shi and Tieniu Tan and Nanning Zheng and Xilin Chen and Yanning Zhang}, title = {SS-GANs: Text-to-Image via Stage by Stage Generative Adversarial Networks}, booktitle = {Pattern Recognition and Computer Vision - Second Chinese Conference, {PRCV} 2019, Xi'an, China, November 8-11, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11858}, pages = {475--486}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-31723-2\_40}, doi = {10.1007/978-3-030-31723-2\_40}, timestamp = {Fri, 03 Dec 2021 12:20:53 +0100}, biburl = {https://dblp.org/rec/conf/prcv/TianXTWDW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skg/LiWWS19, author = {Huakang Li and Lei Wang and Yongchao Wang and Guozi Sun}, title = {Sentiment Analysis Based on Bi-LSTM Using Tone}, booktitle = {15th International Conference on Semantics, Knowledge and Grids, {SKG} 2019, Guangzhou, China, September 17-18, 2019}, pages = {30--35}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SKG49510.2019.00014}, doi = {10.1109/SKG49510.2019.00014}, timestamp = {Fri, 03 Apr 2020 11:44:41 +0200}, biburl = {https://dblp.org/rec/conf/skg/LiWWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skg/WangXWLS19, author = {Yongchao Wang and Mengting Xu and Lei Wang and Huakang Li and Guozi Sun}, title = {JEDoDF: Judicial Event Discrimination Based on Deep Forest}, booktitle = {15th International Conference on Semantics, Knowledge and Grids, {SKG} 2019, Guangzhou, China, September 17-18, 2019}, pages = {36--43}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SKG49510.2019.00015}, doi = {10.1109/SKG49510.2019.00015}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/skg/WangXWLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ZengBWL19, author = {Zhuoqi Zeng and Rubing Bai and Lei Wang and Steven Liu}, title = {{NLOS} identification and mitigation based on {CIR} with particle filter}, booktitle = {2019 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2019, Marrakesh, Morocco, April 15-18, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WCNC.2019.8886002}, doi = {10.1109/WCNC.2019.8886002}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ZengBWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/whiceb/LiYW19, author = {Silan Li and Xiyi Yang and Lei Wang}, title = {The Influence of Corporate Social Responsibility Publicity on Consumers' Willingness to purchase in the Context of Product-harm Crisis}, booktitle = {The 18th Wuhan International Conference on E-Business, {WHICEB} 2019, Wuhan, China, May 24-26, 2019}, pages = {33}, publisher = {Association for Information Systems}, year = {2019}, url = {https://aisel.aisnet.org/whiceb2019/33}, timestamp = {Thu, 15 Jun 2023 14:26:07 +0200}, biburl = {https://dblp.org/rec/conf/whiceb/LiYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-02217, author = {Song Cheng and Lei Wang and Tao Xiang and Pan Zhang}, title = {Tree Tensor Networks for Generative Modeling}, journal = {CoRR}, volume = {abs/1901.02217}, year = {2019}, url = {http://arxiv.org/abs/1901.02217}, eprinttype = {arXiv}, eprint = {1901.02217}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-02217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-02149, author = {Chao Li and Cheng Deng and Lei Wang and De Xie and Xianglong Liu}, title = {Coupled CycleGAN: Unsupervised Hashing Network for Cross-Modal Retrieval}, journal = {CoRR}, volume = {abs/1903.02149}, year = {2019}, url = {http://arxiv.org/abs/1903.02149}, eprinttype = {arXiv}, eprint = {1903.02149}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-02149.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-07427, author = {Lei Wang and Bo Ai and Yong Niu and Xia Chen and Pan Hui}, title = {Energy-Efficient Power Control of Train-ground mmWave Communication for High Speed Trains}, journal = {CoRR}, volume = {abs/1907.07427}, year = {2019}, url = {http://arxiv.org/abs/1907.07427}, eprinttype = {arXiv}, eprint = {1907.07427}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-07427.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-12507, author = {Yuqing Ma and Xianglong Liu and Shihao Bai and Lei Wang and Aishan Liu and Dacheng Tao and Edwin R. Hancock}, title = {Region-wise Generative Adversarial ImageInpainting for Large Missing Areas}, journal = {CoRR}, volume = {abs/1909.12507}, year = {2019}, url = {http://arxiv.org/abs/1909.12507}, eprinttype = {arXiv}, eprint = {1909.12507}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-12507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-00024, author = {Shuo{-}Hui Li and Chen{-}Xiao Dong and Linfeng Zhang and Lei Wang}, title = {Neural Canonical Transformation with Symplectic Flows}, journal = {CoRR}, volume = {abs/1910.00024}, year = {2019}, url = {http://arxiv.org/abs/1910.00024}, eprinttype = {arXiv}, eprint = {1910.00024}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-00024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-07840, author = {Chuang Geng and Lei Wang}, title = {End-to-end speech enhancement based on discrete cosine transform}, journal = {CoRR}, volume = {abs/1910.07840}, year = {2019}, url = {http://arxiv.org/abs/1910.07840}, eprinttype = {arXiv}, eprint = {1910.07840}, timestamp = {Fri, 25 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-07840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-08011, author = {Xin Feng and Lei Wang}, title = {Application of Word2vec in Phoneme Recognition}, journal = {CoRR}, volume = {abs/1912.08011}, year = {2019}, url = {http://arxiv.org/abs/1912.08011}, eprinttype = {arXiv}, eprint = {1912.08011}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-08011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-09641, author = {Xi Liu and Rui Zhang and Yongsheng Zhou and Qianyi Jiang and Qi Song and Nan Li and Kai Zhou and Lei Wang and Dong Wang and Minghui Liao and Mingkun Yang and Xiang Bai and Baoguang Shi and Dimosthenis Karatzas and Shijian Lu and C. V. Jawahar}, title = {{ICDAR} 2019 Robust Reading Challenge on Reading Chinese Text on Signboard}, journal = {CoRR}, volume = {abs/1912.09641}, year = {2019}, url = {http://arxiv.org/abs/1912.09641}, eprinttype = {arXiv}, eprint = {1912.09641}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-09641.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MaZWLDZH18, author = {Wei Ma and Rui Zhang and Lei Wang and Jiahong Li and Zhiming Dong and Yihui Zhang and Min Hu}, title = {Stabilizing the Buck Converter With a First-Order-Filter-Based Time Delay Feedback Controller}, journal = {{IEEE} Access}, volume = {6}, pages = {1967--1973}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2017.2781180}, doi = {10.1109/ACCESS.2017.2781180}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MaZWLDZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiGWWJO18, author = {Chengming Li and Shimin Gong and Xiaojie Wang and Lei Wang and Qingshan Jiang and Koji Okamura}, title = {Secure and Efficient Content Distribution in Crowdsourced Vehicular Content-Centric Networking}, journal = {{IEEE} Access}, volume = {6}, pages = {5727--5739}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2017.2778502}, doi = {10.1109/ACCESS.2017.2778502}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiGWWJO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KhanWXWL18, author = {Jahangir Khan and Pengxin Wang and Yi Xie and Lei Wang and Li Li}, title = {Mapping {MODIS} {LST} {NDVI} Imagery for Drought Monitoring in Punjab Pakistan}, journal = {{IEEE} Access}, volume = {6}, pages = {19898--19911}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2821717}, doi = {10.1109/ACCESS.2018.2821717}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KhanWXWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangZWN18, author = {Xiaojie Wang and Hengyuan Zhang and Lei Wang and Zhaolong Ning}, title = {A Demand-Supply Oriented Taxi Recommendation System for Vehicular Social Networks}, journal = {{IEEE} Access}, volume = {6}, pages = {41529--41538}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2857002}, doi = {10.1109/ACCESS.2018.2857002}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangZWN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaiCYBWZL18, author = {Jiangyan Dai and Yuqi Chen and Yugen Yi and Jining Bao and Lei Wang and Wei Zhou and Gang Lei}, title = {Unsupervised Feature Selection With Ordinal Preserving Self-Representation}, journal = {{IEEE} Access}, volume = {6}, pages = {67446--67458}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2878855}, doi = {10.1109/ACCESS.2018.2878855}, timestamp = {Wed, 26 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/DaiCYBWZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangCZWQL18, author = {Xinyu Zhang and Jie Chen and Gang Zhang and Lei Wang and Ruichang Qiu and Zhigang Liu}, title = {An Active Oscillation Compensation Method to Mitigate High-Frequency Harmonic Instability and Low-Frequency Oscillation in Railway Traction Power Supply System}, journal = {{IEEE} Access}, volume = {6}, pages = {70359--70367}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2879054}, doi = {10.1109/ACCESS.2018.2879054}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangCZWQL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ZhangPW18, author = {Juhua Zhang and Wenbo Peng and Lei Wang}, title = {LeNup: learning nucleosome positioning from {DNA} sequences with improved convolutional neural networks}, journal = {Bioinform.}, volume = {34}, number = {10}, pages = {1705--1712}, year = {2018}, url = {https://doi.org/10.1093/bioinformatics/bty003}, doi = {10.1093/BIOINFORMATICS/BTY003}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ZhangPW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LiuLW18, author = {Jun Liu and Ling Li and Lei Wang}, title = {Acetowhite region segmentation in uterine cervix images using a registered ratio image}, journal = {Comput. Biol. Medicine}, volume = {93}, pages = {47--55}, year = {2018}, url = {https://doi.org/10.1016/j.compbiomed.2017.12.009}, doi = {10.1016/J.COMPBIOMED.2017.12.009}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LiuLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/JinFWYLLZ18, author = {Cheng Jin and Jianjiang Feng and Lei Wang and Heng Yu and Jiang Liu and Jiwen Lu and Jie Zhou}, title = {Left atrial appendage segmentation and quantitative assisted diagnosis of atrial fibrillation based on fusion of temporal-spatial information}, journal = {Comput. Biol. Medicine}, volume = {96}, pages = {52--68}, year = {2018}, url = {https://doi.org/10.1016/j.compbiomed.2018.03.002}, doi = {10.1016/J.COMPBIOMED.2018.03.002}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/JinFWYLLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/LeiLZWL18, author = {Xiaolong Lei and Yitao Liao and Qingsong Zhang and Lei Wang and Qingxi Liao}, title = {Numerical simulation of seed motion characteristics of distribution head for rapeseed and wheat}, journal = {Comput. Electron. Agric.}, volume = {150}, pages = {98--109}, year = {2018}, url = {https://doi.org/10.1016/j.compag.2018.04.009}, doi = {10.1016/J.COMPAG.2018.04.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/LeiLZWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/WangWLXKL18, author = {Lei Wang and Pengxin Wang and Li Li and Lan Xun and Qingling Kong and Shunlin Liang}, title = {Developing an integrated indicator for monitoring maize growth condition using remotely sensed vegetation temperature condition index and leaf area index}, journal = {Comput. Electron. Agric.}, volume = {152}, pages = {340--349}, year = {2018}, url = {https://doi.org/10.1016/j.compag.2018.07.026}, doi = {10.1016/J.COMPAG.2018.07.026}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/WangWLXKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/CaoSWW18, author = {Lihong Cao and Jiamin Shen and Lei Wang and Ye Wang}, title = {Predicting spikes with artificial neural network}, journal = {Sci. China Inf. Sci.}, volume = {61}, number = {6}, pages = {060428:1--060428:3}, year = {2018}, url = {https://doi.org/10.1007/s11432-017-9379-4}, doi = {10.1007/S11432-017-9379-4}, timestamp = {Wed, 29 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/CaoSWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/WangW18a, author = {Xin Wang and Lei Wang}, title = {Darboux transformation and nonautonomous solitons for a modified Kadomtsev-Petviashvili equation with variable coefficients}, journal = {Comput. Math. Appl.}, volume = {75}, number = {12}, pages = {4201--4213}, year = {2018}, url = {https://doi.org/10.1016/j.camwa.2018.03.022}, doi = {10.1016/J.CAMWA.2018.03.022}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/WangW18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csm/WangZGBJYBLB18, author = {Lei Wang and Yang Zhao and Aihua Guo and Igor Bryskin and Christopher Janz and Yingxi Yaoi and Italo Busi and Young Lee and Sergio Belotti}, title = {{ACTN} Transport Multi-Vendor Interoperability Testing}, journal = {{IEEE} Commun. Stand. Mag.}, volume = {2}, number = {1}, pages = {82--89}, year = {2018}, url = {https://doi.org/10.1109/MCOMSTD.2018.1700085}, doi = {10.1109/MCOMSTD.2018.1700085}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csm/WangZGBJYBLB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ChengCW18, author = {Song Cheng and Jing Chen and Lei Wang}, title = {Information Perspective to Probabilistic Modeling: Boltzmann Machines versus Born Machines}, journal = {Entropy}, volume = {20}, number = {8}, pages = {583}, year = {2018}, url = {https://doi.org/10.3390/e20080583}, doi = {10.3390/E20080583}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ChengCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/ChenWWTZ18, author = {Baozi Chen and Lei Wang and Qingbo Wu and Yusong Tan and Peng Zou}, title = {Cross Hardware-Software Boundary Exploration for Scalable and Optimized Deep Learning Platform Design}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {10}, number = {4}, pages = {107--110}, year = {2018}, url = {https://doi.org/10.1109/LES.2017.2776949}, doi = {10.1109/LES.2017.2776949}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/ChenWWTZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcsc/DengGLWS18, author = {Shaobo Deng and Sujie Guan and Min Li and Lei Wang and Yuefei Sui}, title = {Decomposition for a new kind of imprecise information system}, journal = {Frontiers Comput. Sci.}, volume = {12}, number = {2}, pages = {376--395}, year = {2018}, url = {https://doi.org/10.1007/s11704-017-4436-2}, doi = {10.1007/S11704-017-4436-2}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fcsc/DengGLWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LiuW18, author = {Shudong Liu and Lei Wang}, title = {A self-adaptive point-of-interest recommendation algorithm based on a multi-order Markov model}, journal = {Future Gener. Comput. Syst.}, volume = {89}, pages = {506--514}, year = {2018}, url = {https://doi.org/10.1016/j.future.2018.07.008}, doi = {10.1016/J.FUTURE.2018.07.008}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LiuW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/BiWWD18, author = {Shuhui Bi and Lei Wang and Shengjun Wen and Mingcong Deng}, title = {Operator-based robust nonlinear control for {SISO} and {MIMO} nonlinear systems with {PI} hysteresis}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {5}, number = {2}, pages = {523--530}, year = {2018}, url = {https://doi.org/10.1109/JAS.2016.7510175}, doi = {10.1109/JAS.2016.7510175}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeejas/BiWWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/WuCWZWXWYP18, author = {Jian{-}Dong Wu and Zhuo{-}Jia Chen and Jun{-}Sheng Wang and Lei Zhou and Wei{-}Jing Wu and Miao Xu and Lei Wang and Ruo{-}He Yao and Jun{-}Biao Peng}, title = {Manchester-encoded data transmission circuit integrated by metal-oxide TFTs suitable for 13.56 MHz radio-frequency identification tag application}, journal = {{IET} Circuits Devices Syst.}, volume = {12}, number = {6}, pages = {771--776}, year = {2018}, url = {https://doi.org/10.1049/iet-cds.2017.0499}, doi = {10.1049/IET-CDS.2017.0499}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/WuCWZWXWYP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/WangY18, author = {Lei Wang and Xiao{-}Song Yang}, title = {Existence of Homoclinic Cycles and Periodic Orbits in a Class of Three-Dimensional Piecewise Affine Systems}, journal = {Int. J. Bifurc. Chaos}, volume = {28}, number = {2}, pages = {1850024:1--1850024:15}, year = {2018}, url = {https://doi.org/10.1142/S0218127418500244}, doi = {10.1142/S0218127418500244}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/WangY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/WuWY18, author = {Tiantian Wu and Lei Wang and Xiao{-}Song Yang}, title = {Chaotic Dynamics in Four-Dimensional Piecewise Affine Systems with Bifocal Heteroclinic Cycles}, journal = {Int. J. Bifurc. Chaos}, volume = {28}, number = {11}, pages = {1850141:1--1850141:15}, year = {2018}, url = {https://doi.org/10.1142/S0218127418501419}, doi = {10.1142/S0218127418501419}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/WuWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijiids/TuoZYZW18, author = {Mingfu Tuo and Cheng Zhou and Zhonghai Yin and Xin Zhao and Lei Wang}, title = {Modelling behaviour of cyber-physical system and verifying its safety based on algebra of event}, journal = {Int. J. Intell. Inf. Database Syst.}, volume = {11}, number = {2/3}, pages = {169--185}, year = {2018}, url = {https://doi.org/10.1504/IJIIDS.2018.10013268}, doi = {10.1504/IJIIDS.2018.10013268}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijiids/TuoZYZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/TongCW18, author = {Ping Tong and Shihua Chen and Lei Wang}, title = {Finite-time consensus of multi-agent systems with continuous time-varying interaction topology}, journal = {Neurocomputing}, volume = {284}, pages = {187--193}, year = {2018}, url = {https://doi.org/10.1016/j.neucom.2018.01.004}, doi = {10.1016/J.NEUCOM.2018.01.004}, timestamp = {Mon, 19 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/TongCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/ZhangRW18, author = {Wenyu Zhang and Lu Ren and Lei Wang}, title = {A Method of Deep Belief Network Image Classification Based on Probability Measure Rough Set Theory}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {32}, number = {11}, pages = {1850040:1--1850040:22}, year = {2018}, url = {https://doi.org/10.1142/S0218001418500404}, doi = {10.1142/S0218001418500404}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/ZhangRW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijra/WangLL18, author = {Lei Wang and Yitao Liao and Qingxi Liao}, title = {Design and Experiment of Remote control Precision Planter for Chinese Cabbage Vegetable}, journal = {Int. J. Robotics Autom.}, volume = {33}, number = {2}, year = {2018}, url = {https://doi.org/10.2316/Journal.206.2018.2.206-5536}, doi = {10.2316/JOURNAL.206.2018.2.206-5536}, timestamp = {Tue, 11 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijra/WangLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwmc/ZhangWLGLC18, author = {Yongping Zhang and Lei Wang and Yan Liu and Jun Gao and Qiming Liu and Rong Chen}, title = {{BMROMP:} a fast algorithm of block compressed sensing}, journal = {Int. J. Wirel. Mob. Comput.}, volume = {15}, number = {1}, pages = {21--27}, year = {2018}, url = {https://doi.org/10.1504/IJWMC.2018.10015856}, doi = {10.1504/IJWMC.2018.10015856}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwmc/ZhangWLGLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/WangJ18, author = {Lei Wang and Weiping Jing}, title = {A Frame Collision Reduction Method for Safety Message Broadcasting in IEEE1609.4/IEEE802.11p based VANETs}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {12}, number = {3}, pages = {1031--1046}, year = {2018}, url = {https://doi.org/10.3837/tiis.2018.03.003}, doi = {10.3837/TIIS.2018.03.003}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/WangJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/WangW18, author = {Xiaodong Wang and Lei Wang}, title = {A Simple Linear Space Algorithm for Computing Nonoverlapping Inversion and Transposition Distance in Quadratic Average Time}, journal = {J. Comput. Biol.}, volume = {25}, number = {6}, pages = {563--575}, year = {2018}, url = {https://doi.org/10.1089/cmb.2017.0257}, doi = {10.1089/CMB.2017.0257}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcb/WangW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/ChenWHZZWD18, author = {Chunlei Chen and Chengduan Wang and Jinkui Hou and Peng Zhang and Yonghui Zhang and Lei Wang and Jiangyan Dai}, title = {Optimizing data transmission and access of the incremental clustering algorithm using {CUDA:} {A} case study}, journal = {J. Comput. Methods Sci. Eng.}, volume = {18}, number = {4}, pages = {989--1005}, year = {2018}, url = {https://doi.org/10.3233/JCM-180840}, doi = {10.3233/JCM-180840}, timestamp = {Sun, 05 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcmse/ChenWHZZWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ZhuWZX18, author = {Lei Zhu and Lei Wang and Xiang Zheng and Yuzhang Xu}, title = {The Barab{\'{a}}si and Albert scale-free network model}, journal = {J. Intell. Fuzzy Syst.}, volume = {35}, number = {1}, pages = {123--132}, year = {2018}, url = {https://doi.org/10.3233/JIFS-169573}, doi = {10.3233/JIFS-169573}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/ZhuWZX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ZhuLWW18, author = {Lei Zhu and Xuefei Liang and Lei Wang and Xingrong Wu}, title = {Generalized pythagorean fuzzy point operators and their application in multi-attributes decision making}, journal = {J. Intell. Fuzzy Syst.}, volume = {35}, number = {2}, pages = {1407--1418}, year = {2018}, url = {https://doi.org/10.3233/JIFS-169683}, doi = {10.3233/JIFS-169683}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/ZhuLWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/SongZWL18, author = {Cheng Song and Yadong Zhang and Lei Wang and Zhizhong Liu}, title = {An Improved Location Privacy Protection Scheme}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {9}, number = {6}, pages = {1518--1527}, year = {2018}, url = {http://bit.kuas.edu.tw/\%7Ejihmsp/2018/vol9/Number6/JIH-MSP-2018-11-016.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/SongZWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/WuWSSWLSSJD18, author = {Huiqun Wu and Yufang Wei and Yujuan Shang and Wei Shi and Lei Wang and Jingjing Li and Aimin Sang and Lili Shi and Kui Jiang and Jiancheng Dong}, title = {iT2DMS: a Standard-Based Diabetic Disease Data Repository and its Pilot Experiment on Diabetic Retinopathy Phenotyping and Examination Results Integration}, journal = {J. Medical Syst.}, volume = {42}, number = {7}, pages = {131:1--131:9}, year = {2018}, url = {https://doi.org/10.1007/s10916-018-0939-0}, doi = {10.1007/S10916-018-0939-0}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/WuWSSWLSSJD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/BiWWM18, author = {Shuhui Bi and Lei Wang and Shengjun Wen and Liyao Ma}, title = {Operator-Based Control System Analysis and Design for Nonlinear System with Input and Output Constraints}, journal = {J. Robotics Mechatronics}, volume = {30}, number = {6}, pages = {950--957}, year = {2018}, url = {https://doi.org/10.20965/jrm.2018.p0950}, doi = {10.20965/JRM.2018.P0950}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/BiWWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/LuoJW18, author = {Jinliang Luo and Jiacai Jin and Lei Wang}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{21151}}{\unicode{33021}}{\unicode{36129}}{\unicode{29486}}{\unicode{24230}}{\unicode{30340}}{\unicode{32593}}{\unicode{32476}}{\unicode{21270}}{\unicode{38450}}{\unicode{31354}}{\unicode{33410}}{\unicode{28857}}{\unicode{37325}}{\unicode{35201}}{\unicode{24615}}{\unicode{35780}}{\unicode{20215}}{\unicode{26041}}{\unicode{27861}} (Evaluation Method for Node Importance in Air Defense Networks Based on Functional Contribution Degree)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {45}, number = {2}, pages = {175--180}, year = {2018}, url = {https://doi.org/10.11896/j.issn.1002-137X.2018.02.031}, doi = {10.11896/J.ISSN.1002-137X.2018.02.031}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/LuoJW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/NiCWQ18, author = {Yuanhui Ni and Weiwen Chen and Lei Wang and Keni Qiu}, title = {{\unicode{38754}}{\unicode{21521}}MLC STT-RAM{\unicode{30340}}{\unicode{23492}}{\unicode{23384}}{\unicode{22120}}{\unicode{20998}}{\unicode{37197}}{\unicode{31574}}{\unicode{30053}}{\unicode{20248}}{\unicode{21270}}{\unicode{30740}}{\unicode{31350}} (Optimization of Register Allocation Strategy for {MLC} {STT-RAM)}}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {45}, number = {6A}, pages = {562--567}, year = {2018}, url = {http://www.jsjkx.com/CN/Y2018/V45/I6A/562}, doi = {Y2018/V45/I6A/562}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/NiCWQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/WangLSW18, author = {Lei Wang and Yan Liang and Shangyong Sun and Guangyu Wang}, title = {{\unicode{22810}}{\unicode{36733}}{\unicode{27874}}{\unicode{26102}}{\unicode{20998}}{\unicode{22810}}{\unicode{22336}}{\unicode{21450}}{\unicode{20854}}{\unicode{24555}}{\unicode{36895}}{\unicode{21367}}{\unicode{31215}}{\unicode{23454}}{\unicode{29616}} (Multicarrier Time Division Multiple Access and System Implementation Based on Fast Convolution Scheme)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {45}, number = {8}, pages = {88--93}, year = {2018}, url = {https://doi.org/10.11896/j.issn.1002-137X.2018.08.016}, doi = {10.11896/J.ISSN.1002-137X.2018.08.016}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/WangLSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/YangWG18, author = {Lisu Yang and Lei Wang and Quan Guo}, title = {{\unicode{22522}}{\unicode{20110}}NSST{\unicode{19982}}{\unicode{33258}}{\unicode{36866}}{\unicode{24212}}PCNN{\unicode{30340}}{\unicode{22810}}{\unicode{32858}}{\unicode{28966}}{\unicode{22270}}{\unicode{20687}}{\unicode{34701}}{\unicode{21512}}{\unicode{26041}}{\unicode{27861}} (Multi-focus Image Fusion Method Based on {NSST} and Adaptive {PCNN)}}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {45}, number = {12}, pages = {217--222}, year = {2018}, url = {https://doi.org/10.11896/j.issn.1002-137X.2018.12.036}, doi = {10.11896/J.ISSN.1002-137X.2018.12.036}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/YangWG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/WangFWL18, author = {Lei Wang and Li{-}Hao Feng and Jinjun Wang and Tian Li}, title = {Parameter influence on the evolution of low-aspect-ratio rectangular synthetic jets}, journal = {J. Vis.}, volume = {21}, number = {1}, pages = {105--115}, year = {2018}, url = {https://doi.org/10.1007/s12650-017-0440-8}, doi = {10.1007/S12650-017-0440-8}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvis/WangFWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WangGZXCFZG18, author = {Lei Wang and Xiaoqing Gong and Yongqin Zhang and Pengfei Xu and Xiaojiang Chen and Dingyi Fang and Xia Zheng and Jun Guo}, title = {Artistic features extraction from chinese calligraphy works via regional guided filter with reference image}, journal = {Multim. Tools Appl.}, volume = {77}, number = {3}, pages = {2973--2990}, year = {2018}, url = {https://doi.org/10.1007/s11042-017-4688-8}, doi = {10.1007/S11042-017-4688-8}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WangGZXCFZG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/XiaZWCL18, author = {Chongkun Xia and Yunzhou Zhang and Lei Wang and Sonya Coleman and Yanbo Liu}, title = {Microservice-based cloud robotics system for intelligent space}, journal = {Robotics Auton. Syst.}, volume = {110}, pages = {139--150}, year = {2018}, url = {https://doi.org/10.1016/j.robot.2018.10.001}, doi = {10.1016/J.ROBOT.2018.10.001}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ras/XiaZWCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangHSH18, author = {Lei Wang and Yuchun Huang and Jie Shan and Liu He}, title = {MSNet: Multi-Scale Convolutional Network for Point Cloud Classification}, journal = {Remote. Sens.}, volume = {10}, number = {4}, pages = {612}, year = {2018}, url = {https://doi.org/10.3390/rs10040612}, doi = {10.3390/RS10040612}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangHSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/WangZZ18, author = {Lei Wang and Xufang Zhang and Yangjunjian Zhou}, title = {An effective approach for kinematic reliability analysis of steering mechanisms}, journal = {Reliab. Eng. Syst. Saf.}, volume = {180}, pages = {62--76}, year = {2018}, url = {https://doi.org/10.1016/j.ress.2018.07.009}, doi = {10.1016/J.RESS.2018.07.009}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/WangZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangHYLDYC18, author = {Lei Wang and Bing Han and Xinzhe Yuan and Bin Lei and Chibiao Ding and Yulin Yao and Qi Chen}, title = {A Preliminary Analysis of Wind Retrieval, Based on {GF-3} Wave Mode Data}, journal = {Sensors}, volume = {18}, number = {5}, pages = {1604}, year = {2018}, url = {https://doi.org/10.3390/s18051604}, doi = {10.3390/S18051604}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangHYLDYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SuiWHZ18, author = {Jie Sui and Lei Wang and Tao Huang and Qi Zhou}, title = {Analysis and Self-Calibration Method for Asynchrony between Sensors in Rotation {INS}}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2921}, year = {2018}, url = {https://doi.org/10.3390/s18092921}, doi = {10.3390/S18092921}, timestamp = {Mon, 06 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SuiWHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/WangCYW18, author = {Naige Wang and Guohua Cao and Lu Yan and Lei Wang}, title = {Modeling and Control for a Multi-Rope Parallel Suspension Lifting System under Spatial Distributed Tensions and Multiple Constraints}, journal = {Symmetry}, volume = {10}, number = {9}, pages = {412}, year = {2018}, url = {https://doi.org/10.3390/sym10090412}, doi = {10.3390/SYM10090412}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/WangCYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/XuWGDPZ18, author = {Renhui Xu and Lei Wang and Zhe Geng and Hai Deng and Laixian Peng and Lei Zhang}, title = {A Unitary Precoder for Optimizing Spectrum and {PAPR} Characteristic of {OFDMA} Signal}, journal = {{IEEE} Trans. Broadcast.}, volume = {64}, number = {2}, pages = {293--306}, year = {2018}, url = {https://doi.org/10.1109/TBC.2017.2731042}, doi = {10.1109/TBC.2017.2731042}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/XuWGDPZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgis/LiHWYW18, author = {Mengya Li and Qingyu Huang and Lei Wang and Jie Yin and Jun Wang}, title = {Modeling the traffic disruption caused by pluvial flash flood on intra-urban road network}, journal = {Trans. {GIS}}, volume = {22}, number = {1}, pages = {311--322}, year = {2018}, url = {https://doi.org/10.1111/tgis.12311}, doi = {10.1111/TGIS.12311}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgis/LiHWYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/WangHRYW18, author = {Lei Wang and Xiaoqing Han and Chunguang Ren and Yu Yang and Peng Wang}, title = {A Modified One-Cycle-Control-Based Active Power Filter for Harmonic Compensation}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {1}, pages = {738--748}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2682021}, doi = {10.1109/TIE.2017.2682021}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/WangHRYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JiangGXWDW18, author = {Weidong Jiang and Yan Gao and Benxian Xiao and JinPing Wang and Xingxing Ding and Lei Wang}, title = {Suppression of High-Frequency Circulating Current Caused by Asynchronous Carriers for Parallel Three-Phase Grid-Connected Converters}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {2}, pages = {1031--1040}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2726985}, doi = {10.1109/TIE.2017.2726985}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JiangGXWDW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/RenHWYQW18, author = {Chunguang Ren and Xiaoqing Han and Lei Wang and Yu Yang and Wenping Qin and Peng Wang}, title = {High-Performance Three-Phase {PWM} Converter With a Reduced DC-Link Capacitor Under Unbalanced {AC} Voltage Conditions}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {2}, pages = {1041--1050}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2688962}, doi = {10.1109/TIE.2017.2688962}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/RenHWYQW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JiangWNWWL18, author = {Weidong Jiang and Peixia Wang and Youyuan Ni and JinPing Wang and Lei Wang and Yuming Liao}, title = {Multimode Current Hysteresis Control for Brushless {DC} Motor in Motor and Generator State With Commutation Torque Ripple Reduction}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {4}, pages = {2975--2985}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2752126}, doi = {10.1109/TIE.2017.2752126}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JiangWNWWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JiangMWWZW18, author = {Weidong Jiang and Weicheng Ma and JinPing Wang and Wei Wang and Xuewei Zhang and Lei Wang}, title = {Suppression of Zero Sequence Circulating Current for Parallel Three-Phase Grid-Connected Converters Using Hybrid Modulation Strategy}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {4}, pages = {3017--3026}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2750625}, doi = {10.1109/TIE.2017.2750625}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JiangMWWZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JiangWWZW18, author = {Weidong Jiang and Lei Wang and JinPing Wang and Xuewei Zhang and Peixia Wang}, title = {A Carrier-Based Virtual Space Vector Modulation With Active Neutral-Point Voltage Control for a Neutral-Point-Clamped Three-Level Inverter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {11}, pages = {8687--8696}, year = {2018}, url = {https://doi.org/10.1109/TIE.2018.2808926}, doi = {10.1109/TIE.2018.2808926}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JiangWWZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/HanJZWY18, author = {Te Han and Dongxiang Jiang and Qi Zhao and Lei Wang and Kai Yin}, title = {Comparison of random forest, artificial neural networks and support vector machine for intelligent diagnosis of rotating machinery}, journal = {Trans. Inst. Meas. Control}, volume = {40}, number = {8}, pages = {2681--2693}, year = {2018}, url = {https://doi.org/10.1177/0142331217708242}, doi = {10.1177/0142331217708242}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tinstmc/HanJZWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/LiuWWS18, author = {Zengjun Liu and Lei Wang and Wei Wang and Tianxiao Song}, title = {A self-calibration method for non-orthogonal angles of gimbals in rotational inertial navigation system based on fiber optic gyro}, journal = {Trans. Inst. Meas. Control}, volume = {40}, number = {13}, pages = {3665--3674}, year = {2018}, url = {https://doi.org/10.1177/0142331217729203}, doi = {10.1177/0142331217729203}, timestamp = {Thu, 18 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tinstmc/LiuWWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/JinFWYLLZ18, author = {Cheng Jin and Jianjiang Feng and Lei Wang and Heng Yu and Jiang Liu and Jiwen Lu and Jie Zhou}, title = {Left Atrial Appendage Segmentation Using Fully Convolutional Neural Networks and Modified Three-Dimensional Conditional Random Fields}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {6}, pages = {1906--1916}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2018.2794552}, doi = {10.1109/JBHI.2018.2794552}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/JinFWYLLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangZGSGS18, author = {Lei Wang and Dongxiang Zhang and Lianli Gao and Jingkuan Song and Long Guo and Heng Tao Shen}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {MathDQN: Solving Arithmetic Word Problems via Deep Reinforcement Learning}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {5545--5552}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11981}, doi = {10.1609/AAAI.V32I1.11981}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangZGSGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/ZhaoWZ18, author = {Xia Zhao and Lei Wang and Cheng Zhang}, title = {Content Snacking and Pricing in Mobile Channels}, booktitle = {24th Americas Conference on Information Systems, {AMCIS} 2018, New Orleans, LA, USA, August 16-18, 2018}, publisher = {Association for Information Systems}, year = {2018}, url = {https://aisel.aisnet.org/amcis2018/eBusiness/Presentations/5}, timestamp = {Mon, 22 Oct 2018 17:24:45 +0200}, biburl = {https://dblp.org/rec/conf/amcis/ZhaoWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdccf/Wang00WS18, author = {Lei Wang and Quan Wang and Di Wang and Bo Wan and Bin Shang}, editor = {Zongben Xu and Xinbo Gao and Qiguang Miao and Yunquan Zhang and Jiajun Bu}, title = {Online Matrix Factorization Hashing for Large-Scale Image Retrieval}, booktitle = {Big Data - 6th {CCF} Conference, Big Data 2018, Xi'an, China, October 11-13, 2018, Proceedings}, series = {Communications in Computer and Information Science}, volume = {945}, pages = {124--134}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-2922-7\_8}, doi = {10.1007/978-981-13-2922-7\_8}, timestamp = {Wed, 03 Nov 2021 08:01:37 +0100}, biburl = {https://dblp.org/rec/conf/bdccf/Wang00WS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ceec/WangG18, author = {Lei Wang and John Q. Gan}, title = {Prediction of the 2017 French Election Based on Twitter Data Analysis Using Term Weighting}, booktitle = {2018 10th Computer Science and Electronic Engineering Conference, {CEEC} 2018, University of Essex, Colchester, UK, September 19-21, 2018}, pages = {231--235}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CEEC.2018.8674188}, doi = {10.1109/CEEC.2018.8674188}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ceec/WangG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciss/ZengLW18, author = {Zhuoqi Zeng and Steven Liu and Lei Wang}, title = {{UWB/IMU} integration approach with {NLOS} identification and mitigation}, booktitle = {52nd Annual Conference on Information Sciences and Systems, {CISS} 2018, Princeton, NJ, USA, March 21-23, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CISS.2018.8362197}, doi = {10.1109/CISS.2018.8362197}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ciss/ZengLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/ZhangWS18, author = {Peicui Zhang and Lei Wang and Wentong Sun}, editor = {Jia{-}Fei Hong and Qi Su and Jiun{-}Shiung Wu}, title = {On the Condition of {X} in "fei {X} bu ke" Constructions - An Analysis Based on the {BCC} Corpus}, booktitle = {Chinese Lexical Semantics - 19th Workshop, {CLSW} 2018, Chiayi, Taiwan, May 26-28, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11173}, pages = {347--357}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04015-4\_29}, doi = {10.1007/978-3-030-04015-4\_29}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clsw/ZhangWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cns/QinCWH18, author = {Tao Qin and Ruoya Chen and Lei Wang and Chao He}, title = {{LMHADC:} Lightweight Method for Host based Anomaly Detection in Cloud using Mobile Agents}, booktitle = {2018 {IEEE} Conference on Communications and Network Security, {CNS} 2018, Beijing, China, May 30 - June 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CNS.2018.8433208}, doi = {10.1109/CNS.2018.8433208}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cns/QinCWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csae/YangZHZWH18, author = {Ye Yang and Peng Zheng and Hao He and Tianyu Zheng and Lei Wang and Shan He}, editor = {Ali Emrouznejad and Zhihong Qian}, title = {An Evaluation Method of Acceptable and Failed Spot Welding Products Based on Image Classification with Transfer Learning Technique}, booktitle = {The 2nd International Conference on Computer Science and Application Engineering, {CSAE} 2018, Hohhot, China, October 22-24, 2018}, pages = {109:1--109:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3207677.3278004}, doi = {10.1145/3207677.3278004}, timestamp = {Thu, 10 Jun 2021 16:50:33 +0200}, biburl = {https://dblp.org/rec/conf/csae/YangZHZWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csps/WangZGZ18, author = {Lei Wang and Bing Zhao and Daoxing Guo and Xiaokai Zhang}, editor = {Qilian Liang and Xin Liu and Zhenyu Na and Wei Wang and Jiasong Mu and Baoju Zhang}, title = {The Optimized Retransmission Size Choosing of {RB-HARQ} Implemented in Secure Communication for High Throughput}, booktitle = {Communications, Signal Processing, and Systems - Proceedings of the 2018 CSPS, Volume {I:} Communications, Dalian, China, 14-16 July 2018}, series = {Lecture Notes in Electrical Engineering}, volume = {515}, pages = {453--461}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-6264-4\_55}, doi = {10.1007/978-981-13-6264-4\_55}, timestamp = {Mon, 28 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csps/WangZGZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cyberc/LingWJXDD18, author = {Jian Ling and Lei Wang and Hong Ji and Hu Xie and Junfeng Ding and Qiejun Dai}, title = {UWB-Based Real-Time Continuous Positioning System in {NLOS} Tunnel Environment}, booktitle = {International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, CyberC 2018, Zhengzhou, China, October 18-20, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CyberC.2018.00037}, doi = {10.1109/CYBERC.2018.00037}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/cyberc/LingWJXDD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/ChengLWCZSL18, author = {Kai Cheng and Qiang Li and Lei Wang and Qian Chen and Yaowen Zheng and Limin Sun and Zhenkai Liang}, title = {DTaint: Detecting the Taint-Style Vulnerability in Embedded Device Firmware}, booktitle = {48th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2018, Luxembourg City, Luxembourg, June 25-28, 2018}, pages = {430--441}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSN.2018.00052}, doi = {10.1109/DSN.2018.00052}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/ChengLWCZSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eeet/YangWWLS18, author = {Yang Yang and Lei Wang and Yuanqi Wang and Yihong Li and Hao Song}, title = {Design of Microbial Power Generation and Energy Storage System}, booktitle = {Proceedings of the International Conference on Electronics and Electrical Engineering Technology, {EEET} 2018, Tianjin, China, September 19-21, 2018}, pages = {52--56}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3277453.3277468}, doi = {10.1145/3277453.3277468}, timestamp = {Tue, 24 May 2022 15:08:53 +0200}, biburl = {https://dblp.org/rec/conf/eeet/YangWWLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/WangWCZL18, author = {Lei Wang and Yan Wang and Deng Cai and Dongxiang Zhang and Xiaojiang Liu}, editor = {Ellen Riloff and David Chiang and Julia Hockenmaier and Jun'ichi Tsujii}, title = {Translating Math Word Problem to Expression Tree}, booktitle = {Proceedings of the 2018 Conference on Empirical Methods in Natural Language Processing, Brussels, Belgium, October 31 - November 4, 2018}, pages = {1064--1069}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/d18-1132}, doi = {10.18653/V1/D18-1132}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/WangWCZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/er/GuoZWWC18, author = {Long Guo and Dongxiang Zhang and Lei Wang and Han Wang and Bin Cui}, editor = {Juan Trujillo and Karen C. Davis and Xiaoyong Du and Zhanhuai Li and Tok Wang Ling and Guoliang Li and Mong{-}Li Lee}, title = {{CRAN:} {A} Hybrid {CNN-RNN} Attention-Based Model for Text Classification}, booktitle = {Conceptual Modeling - 37th International Conference, {ER} 2018, Xi'an, China, October 22-25, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11157}, pages = {571--585}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-00847-5\_42}, doi = {10.1007/978-3-030-00847-5\_42}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/er/GuoZWWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsdm/WangLY18, author = {Lei Wang and Yonggang Lu and Huanqian Yan}, editor = {Antonio J. Tall{\'{o}}n{-}Ballesteros and Kaicheng Li}, title = {A Fast and Robust Grid-Based Clustering Method for Dataset with Arbitrary Shapes}, booktitle = {Fuzzy Systems and Data Mining {IV} - Proceedings of {FSDM} 2018, Bangkok, Thailand, 16-19 November 2018}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {309}, pages = {636--645}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-927-0-636}, doi = {10.3233/978-1-61499-927-0-636}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fsdm/WangLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WangL18a, author = {Lei Wang and Song Liu}, editor = {Aaron Marcus and Wentao Wang}, title = {Research on Conversational User Interface in Financial Scenario}, booktitle = {Design, User Experience, and Usability: Designing Interactions - 7th International Conference, {DUXU} 2018, Held as Part of {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10919}, pages = {472--482}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91803-7\_36}, doi = {10.1007/978-3-319-91803-7\_36}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/WangL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/AndersonBCCCDDD18, author = {Patrick Anderson and Richard Black and Ausra Cerkauskaite and Andromachi Chatzieleftheriou and James Clegg and Chris Dainty and Raluca Diaconu and Rokas Drevinskas and Austin Donnelly and Alexander L. Gaunt and Andreas Georgiou and Ariel Gomez Diaz and Peter G. Kazansky and David Lara and Sergey Legtchenko and Sebastian Nowozin and Aaron Ogus and Douglas Phillips and Antony I. T. Rowstron and Masaaki Sakakura and Ioan A. Stefanovici and Benn Thomsen and Lei Wang and Hugh Williams and Mengyang Yang}, editor = {Ashvin Goel and Nisha Talagala}, title = {Glass: {A} New Media for a New Era?}, booktitle = {10th {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2018, Boston, MA, USA, July 9-10, 2018}, publisher = {{USENIX} Association}, year = {2018}, url = {https://www.usenix.org/conference/hotstorage18/presentation/anderson}, timestamp = {Tue, 02 Feb 2021 07:59:34 +0100}, biburl = {https://dblp.org/rec/conf/hotstorage/AndersonBCCCDDD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/WangWHM18, author = {Xingfu Wang and Lei Wang and Ammar Hawbani and Fuyou Miao}, title = {Aspect Level Sentiment Classification with Memory Network Using Word Sentiment Vectors and a New Attention Mechanism {AM-PPOSC}}, booktitle = {20th {IEEE} International Conference on High Performance Computing and Communications; 16th {IEEE} International Conference on Smart City; 4th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2018, Exeter, United Kingdom, June 28-30, 2018}, pages = {1058--1063}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCC/SmartCity/DSS.2018.00176}, doi = {10.1109/HPCC/SMARTCITY/DSS.2018.00176}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/WangWHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/WangLC18, author = {Lei Wang and Kunqin Li and Xianxiang Chen}, editor = {Xingming Sun and Zhaoqing Pan and Elisa Bertino}, title = {Internet of Things Security Analysis of Smart Campus}, booktitle = {Cloud Computing and Security - 4th International Conference, {ICCCS} 2018, Haikou, China, June 8-10, 2018, Revised Selected Papers, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11067}, pages = {418--428}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-00018-9\_37}, doi = {10.1007/978-3-030-00018-9\_37}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icccsec/WangLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccip/ChengZW18, author = {Yulun Cheng and Peng Zhao and Lei Wang}, editor = {Jalel Ben{-}Othman and Hui Yu and Herwig Unger and Masayuki Arai}, title = {User-oriented green computation in small cell networks with mobile edge computing}, booktitle = {Proceedings of the 4th International Conference on Communication and Information Processing, {ICCIP} '18, Qingdao, China, November 2-4, 2018}, pages = {246--250}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3290420.3290456}, doi = {10.1145/3290420.3290456}, timestamp = {Sat, 03 Feb 2024 21:41:47 +0100}, biburl = {https://dblp.org/rec/conf/iccip/ChengZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdl-epirob/AiWCCHFLZ18, author = {Qingsong Ai and Lei Wang and Kun Chen and Anqi Chen and Jiwei Hu and Yilin Fang and Quan Liu and Zude Zhou}, title = {Cooperative Control of An Ankle Rehabilitation Robot Based on Human Intention}, booktitle = {2018 Joint {IEEE} 8th International Conference on Development and Learning and Epigenetic Robotics, ICDL-EpiRob 2018, Tokyo, Japan, September 17-20, 2018}, pages = {181--186}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DEVLRN.2018.8761006}, doi = {10.1109/DEVLRN.2018.8761006}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdl-epirob/AiWCCHFLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinfa/ZhaoCTJW18, author = {Qingsong Zhao and Jun Cheng and Dapeng Tao and Xiaopeng Ji and Lei Wang}, title = {{CAS-YNU} Multi-modal Cross-view Human Action Dataset}, booktitle = {{IEEE} International Conference on Information and Automation, {ICIA} 2018, Wuyishan, China, August 11-13, 2018}, pages = {678--683}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICInfA.2018.8812322}, doi = {10.1109/ICINFA.2018.8812322}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icinfa/ZhaoCTJW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconac/LiWWJ18, author = {Wenhua Li and Lei Wang and Long Wang and Jiafan Jing}, editor = {Xiandong Ma}, title = {A model based on eye movement data and artificial neutral network for product styling evaluation}, booktitle = {24th International Conference on Automation and Computing, {ICAC} 2018, Newcastle upon Tyne, United Kingdom, September 6-7, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/IConAC.2018.8749034}, doi = {10.23919/ICONAC.2018.8749034}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iconac/LiWWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/WangZJ18, author = {Lei Wang and Ruiqing Zhang and Yang Jiang}, title = {Analysis of Waste Heat Recovery of Power Plant Thermal System Based on Organic Rankine Cycle}, booktitle = {5th International Conference on Systems and Informatics, {ICSAI} 2018, Nanjing, China, November 10-12, 2018}, pages = {144--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSAI.2018.8599397}, doi = {10.1109/ICSAI.2018.8599397}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icsai/WangZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/YangWJ18, author = {Qianyu Yang and Lei Wang and Yang Jiang}, title = {Operation parameters analysis of gas steam combined cycle}, booktitle = {5th International Conference on Systems and Informatics, {ICSAI} 2018, Nanjing, China, November 10-12, 2018}, pages = {155--160}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSAI.2018.8599299}, doi = {10.1109/ICSAI.2018.8599299}, timestamp = {Fri, 08 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsai/YangWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/SongWZML18, author = {Yang Song and Lei Wang and Xinhe Zhang and Lei Ma and Xing Li}, title = {Low-carbon Comprehensive Benefit Analysis of Integrated Energy Service Provider Under Bundled Cooperation Mode}, booktitle = {5th International Conference on Systems and Informatics, {ICSAI} 2018, Nanjing, China, November 10-12, 2018}, pages = {250--255}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSAI.2018.8599333}, doi = {10.1109/ICSAI.2018.8599333}, timestamp = {Fri, 08 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsai/SongWZML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsh/WangHNZYSHGJDW18, author = {Lei Wang and Defu He and Xiaowei Ni and Ruyi Zou and Xinlu Yuan and Yujuan Shang and Xinping Hu and Xingyun Geng and Kui Jiang and Jiancheng Dong and Huiqun Wu}, editor = {Hsinchun Chen and Qing Fang and Daniel Zeng and Jiang Wu}, title = {The Development of a Smart Personalized Evidence Based Medicine Diabetes Risk Factor Calculator}, booktitle = {Smart Health - International Conference, {ICSH} 2018, Wuhan, China, July 1-3, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10983}, pages = {292--300}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03649-2\_29}, doi = {10.1007/978-3-030-03649-2\_29}, timestamp = {Mon, 15 May 2023 16:24:40 +0200}, biburl = {https://dblp.org/rec/conf/icsh/WangHNZYSHGJDW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/ZengLW18, author = {Zhuoqi Zeng and Steven Liu and Lei Wang}, editor = {Tadeusz A. Wysocki and Beata J. Wysocki}, title = {{NLOS} Identification for {UWB} Based on Channel Impulse Response}, booktitle = {12th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2018, Cairns, Australia, December 17-19, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSPCS.2018.8631718}, doi = {10.1109/ICSPCS.2018.8631718}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icspcs/ZengLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/WangLJXWL18, author = {Lei Wang and Peng Liu and Shengqian Jiang and Yiming Xue and Kun Wang and Xiangnan Li}, title = {Production Management System for Small and Medium Sized Manufacturing Enterprises}, booktitle = {2018 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2018, Bangkok, Thailand, December 16-19, 2018}, pages = {685--689}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IEEM.2018.8607576}, doi = {10.1109/IEEM.2018.8607576}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ieem/WangLJXWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/XuWGZCTFGW18, author = {Pengfei Xu and Lei Wang and Ziyu Guan and Xia Zheng and Xiaojiang Chen and Zhanyong Tang and Dingyi Fang and Xiaoqing Gong and Zheng Wang}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Evaluating Brush Movements for Chinese Calligraphy: {A} Computer Vision Based Approach}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {1050--1056}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/146}, doi = {10.24963/IJCAI.2018/146}, timestamp = {Thu, 26 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/XuWGZCTFGW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/WangCC18, author = {Lei Wang and Jie Cui and Ying Chen}, editor = {B. Yegnanarayana}, title = {Wuxi Speakers' Production and Perception of Coda Nasals in Mandarin}, booktitle = {Interspeech 2018, 19th Annual Conference of the International Speech Communication Association, Hyderabad, India, 2-6 September 2018}, pages = {2559--2562}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-2224}, doi = {10.21437/INTERSPEECH.2018-2224}, timestamp = {Fri, 21 May 2021 08:16:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/WangCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipta/MeiZYCWZS18, author = {Dongfeng Mei and Xuan Zhu and Cheng Yue and Qingwen Cao and Lei Wang and Longfei Zhang and Qiheng Song}, title = {Image Super-Resolution based on multi-pairs of dictionaries via Patch Prior Guided Clustering}, booktitle = {Eighth International Conference on Image Processing Theory, Tools and Applications, {IPTA} 2018, Xi'an, China, November 7-10, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IPTA.2018.8608128}, doi = {10.1109/IPTA.2018.8608128}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ipta/MeiZYCWZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isc2/XiWZZF18, author = {Xue{-}Feng Xi and Lei Wang and Encen Zou and Cheng Zeng and Baochuan Fu}, title = {Joint Learning for Non-standard Chinese Building Address Standardization}, booktitle = {{IEEE} International Smart Cities Conference, {ISC2} 2018, Kansas City, MO, USA, September 16-19, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISC2.2018.8656953}, doi = {10.1109/ISC2.2018.8656953}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/isc2/XiWZZF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/YangJLW18, author = {Chunlin Yang and Yong Jiang and Yang Liu and Lei Wang}, title = {{CNOR:} {A} Non-Overlapping Wildcard Rule Caching System for Software-Defined Networks}, booktitle = {2018 {IEEE} Symposium on Computers and Communications, {ISCC} 2018, Natal, Brazil, June 25-28, 2018}, pages = {707--712}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCC.2018.8538522}, doi = {10.1109/ISCC.2018.8538522}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/YangJLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChenHWZC18, author = {Hao Chen and Yaowei Hu and Lei Wang and Zhuhaobo Zhang and Guozhu Chen}, title = {{EMI} Filter Design Based on High-Frequency Modeling of Common-mode Chokes}, booktitle = {27th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2018, Cairns, Australia, June 13-15, 2018}, pages = {384--388}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIE.2018.8433822}, doi = {10.1109/ISIE.2018.8433822}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChenHWZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LiCZW18, author = {Hia Li and Jing Chen and Jinyong Zhang and Lei Wang}, title = {Novel Fabrication of Photopatternable Magnetic Hollow Microstructures for Potential Drug Delivery Applications}, booktitle = {13th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2018, Singapore, Singapore, April 22-26, 2018}, pages = {611--614}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NEMS.2018.8557017}, doi = {10.1109/NEMS.2018.8557017}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/nems/LiCZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/XieWXJ18, author = {Honggang Xie and Lei Wang and Jinsheng Xiao and Qian Jia}, editor = {Jian{-}Huang Lai and Cheng{-}Lin Liu and Xilin Chen and Jie Zhou and Tieniu Tan and Nanning Zheng and Hongbin Zha}, title = {Frame Interpolation Algorithm Using Improved 3-D Recursive Search}, booktitle = {Pattern Recognition and Computer Vision - First Chinese Conference, {PRCV} 2018, Guangzhou, China, November 23-26, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11256}, pages = {203--212}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03398-9\_18}, doi = {10.1007/978-3-030-03398-9\_18}, timestamp = {Fri, 03 Dec 2021 12:20:53 +0100}, biburl = {https://dblp.org/rec/conf/prcv/XieWXJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/QinZHCW18, author = {Cao Qin and Yunzhou Zhang and Meiyu Hu and Hao Chu and Lei Wang}, editor = {Jian{-}Huang Lai and Cheng{-}Lin Liu and Xilin Chen and Jie Zhou and Tieniu Tan and Nanning Zheng and Hongbin Zha}, title = {Image Segmentation Based on Semantic Knowledge and Hierarchical Conditional Random Fields}, booktitle = {Pattern Recognition and Computer Vision - First Chinese Conference, {PRCV} 2018, Guangzhou, China, November 23-26, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11256}, pages = {213--225}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03398-9\_19}, doi = {10.1007/978-3-030-03398-9\_19}, timestamp = {Sun, 04 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prcv/QinZHCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/LiuZHZWW18, author = {Zuoyu Liu and Bo Zou and Jingjing Huang and Xizhu Zhang and Lei Wang and Fushuan Wen}, title = {Optimal Planning of a Virtual Power Plant with Demand Side Management}, booktitle = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, pages = {859--864}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TENCON.2018.8650125}, doi = {10.1109/TENCON.2018.8650125}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tencon/LiuZHZWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ZengLW18, author = {Zhuoqi Zeng and Steven Liu and Lei Wang}, title = {A novel {NLOS} mitigation approach for {TDOA} based on {IMU} measurements}, booktitle = {2018 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2018, Barcelona, Spain, April 15-18, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCNC.2018.8377041}, doi = {10.1109/WCNC.2018.8377041}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ZengLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-05032, author = {Feng{-}Lin Li and Minghui Qiu and Haiqing Chen and Xiongwei Wang and Xing Gao and Jun Huang and Juwei Ren and Zhongzhou Zhao and Weipeng Zhao and Lei Wang and Guwei Jin and Wei Chu}, title = {AliMe Assist: An Intelligent Assistant for Creating an Innovative E-commerce Experience}, journal = {CoRR}, volume = {abs/1801.05032}, year = {2018}, url = {http://arxiv.org/abs/1801.05032}, eprinttype = {arXiv}, eprint = {1801.05032}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-05032.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-06122, author = {Chengcheng Shao and Pik{-}Mai Hui and Lei Wang and Xinwen Jiang and Alessandro Flammini and Filippo Menczer and Giovanni Luca Ciampaglia}, title = {Anatomy of an online misinformation network}, journal = {CoRR}, volume = {abs/1801.06122}, year = {2018}, url = {http://arxiv.org/abs/1801.06122}, eprinttype = {arXiv}, eprint = {1801.06122}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-06122.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-02840, author = {Shuo{-}Hui Li and Lei Wang}, title = {Neural Network Renormalization Group}, journal = {CoRR}, volume = {abs/1802.02840}, year = {2018}, url = {http://arxiv.org/abs/1802.02840}, eprinttype = {arXiv}, eprint = {1802.02840}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-02840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-04889, author = {Yunhui Long and Vincent Bindschaedler and Lei Wang and Diyue Bu and Xiaofeng Wang and Haixu Tang and Carl A. Gunter and Kai Chen}, title = {Understanding Membership Inferences on Well-Generalized Learning Models}, journal = {CoRR}, volume = {abs/1802.04889}, year = {2018}, url = {http://arxiv.org/abs/1802.04889}, eprinttype = {arXiv}, eprint = {1802.04889}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-04889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-04168, author = {Jin{-}Guo Liu and Lei Wang}, title = {Differentiable Learning of Quantum Circuit Born Machine}, journal = {CoRR}, volume = {abs/1804.04168}, year = {2018}, url = {http://arxiv.org/abs/1804.04168}, eprinttype = {arXiv}, eprint = {1804.04168}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-04168.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-09532, author = {Qi Chen and Lei Wang and Yifan Wu and Guangming Wu and Zhiling Guo and Steven L. Waslander}, title = {Aerial Imagery for Roof Segmentation: {A} Large-Scale Dataset towards Automatic Mapping of Buildings}, journal = {CoRR}, volume = {abs/1807.09532}, year = {2018}, url = {http://arxiv.org/abs/1807.09532}, eprinttype = {arXiv}, eprint = {1807.09532}, timestamp = {Fri, 07 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-09532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-03425, author = {Jinfeng Zeng and Yufeng Wu and Jin{-}Guo Liu and Lei Wang and Jiangping Hu}, title = {Learning and Inference on Generative Adversarial Quantum Circuits}, journal = {CoRR}, volume = {abs/1808.03425}, year = {2018}, url = {http://arxiv.org/abs/1808.03425}, eprinttype = {arXiv}, eprint = {1808.03425}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-03425.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-07290, author = {Dongxiang Zhang and Lei Wang and Nuo Xu and Bing Tian Dai and Heng Tao Shen}, title = {The Gap of Semantic Parsing: {A} Survey on Automatic Math Word Problem Solvers}, journal = {CoRR}, volume = {abs/1808.07290}, year = {2018}, url = {http://arxiv.org/abs/1808.07290}, eprinttype = {arXiv}, eprint = {1808.07290}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-07290.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-10188, author = {Linfeng Zhang and Weinan E and Lei Wang}, title = {Monge-Amp{\`{e}}re Flow for Generative Modeling}, journal = {CoRR}, volume = {abs/1809.10188}, year = {2018}, url = {http://arxiv.org/abs/1809.10188}, eprinttype = {arXiv}, eprint = {1809.10188}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-10188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-10606, author = {Dian Wu and Lei Wang and Pan Zhang}, title = {Solving Statistical Mechanics using Variational Autoregressive Networks}, journal = {CoRR}, volume = {abs/1809.10606}, year = {2018}, url = {http://arxiv.org/abs/1809.10606}, eprinttype = {arXiv}, eprint = {1809.10606}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-10606.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-05632, author = {Lei Wang and Yan Wang and Deng Cai and Dongxiang Zhang and Xiaojiang Liu}, title = {Translating a Math Word Problem to an Expression Tree}, journal = {CoRR}, volume = {abs/1811.05632}, year = {2018}, url = {http://arxiv.org/abs/1811.05632}, eprinttype = {arXiv}, eprint = {1811.05632}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-05632.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-09922, author = {Tailin Liang and Lei Wang and Shaobo Shi and John Glossner}, title = {Dynamic Runtime Feature Map Pruning}, journal = {CoRR}, volume = {abs/1812.09922}, year = {2018}, url = {http://arxiv.org/abs/1812.09922}, eprinttype = {arXiv}, eprint = {1812.09922}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-09922.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DiaoWDWLS17, author = {Lijun Diao and Lei Wang and Huiqing Du and Lu Wang and Zhigang Liu and Suleiman M. Sharkh}, title = {{AI-HM} Based Zero Portion Effects and Phase-Shift Optimization for Railway Auxiliary Inverter With Pulsating DC-Link}, journal = {{IEEE} Access}, volume = {5}, pages = {7444--7453}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2700723}, doi = {10.1109/ACCESS.2017.2700723}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DiaoWDWLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DengZW17, author = {Xiaogang Deng and Na Zhong and Lei Wang}, title = {Nonlinear Multimode Industrial Process Fault Detection Using Modified Kernel Principal Component Analysis}, journal = {{IEEE} Access}, volume = {5}, pages = {23121--23132}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2764518}, doi = {10.1109/ACCESS.2017.2764518}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DengZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/agis/XuWFK17, author = {Yanqing Xu and Lei Wang and Cong Fu and Tim Kosmyna}, title = {A fishnet-constrained land use mix index derived from remotely sensed data}, journal = {Ann. {GIS}}, volume = {23}, number = {4}, pages = {303--313}, year = {2017}, url = {https://doi.org/10.1080/19475683.2017.1382570}, doi = {10.1080/19475683.2017.1382570}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/agis/XuWFK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WangALCD17, author = {Lei Wang and Johan B. A. M. Arends and Xi Long and Pierre J. M. Cluitmans and Johannes P. van Dijk}, title = {Seizure pattern-specific epileptic epoch detection in patients with intellectual disability}, journal = {Biomed. Signal Process. Control.}, volume = {35}, pages = {38--49}, year = {2017}, url = {https://doi.org/10.1016/j.bspc.2017.02.008}, doi = {10.1016/J.BSPC.2017.02.008}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/WangALCD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacie/LiPSYZWX17, author = {Wei Li and Hao Pu and Paul M. Schonfeld and Junsheng Yang and Hong Zhang and Lei Wang and Jiaxing Xiong}, title = {Mountain Railway Alignment Optimization with Bidirectional Distance Transform and Genetic Algorithm}, journal = {Comput. Aided Civ. Infrastructure Eng.}, volume = {32}, number = {8}, pages = {691--709}, year = {2017}, url = {https://doi.org/10.1111/mice.12280}, doi = {10.1111/MICE.12280}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacie/LiPSYZWX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/ChenHZZW17, author = {Chunlei Chen and Li He and Huixiang Zhang and Hao Zheng and Lei Wang}, title = {On the Accuracy and Parallelism of GPGPU-Powered Incremental Clustering Algorithms}, journal = {Comput. Intell. Neurosci.}, volume = {2017}, pages = {2519782:1--2519782:12}, year = {2017}, url = {https://doi.org/10.1155/2017/2519782}, doi = {10.1155/2017/2519782}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cin/ChenHZZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/WangTTL17, author = {Lei Wang and Zhidong Teng and Tingting Tang and Zhiming Li}, title = {Threshold Dynamics in Stochastic {SIRS} Epidemic Models with Nonlinear Incidence and Vaccination}, journal = {Comput. Math. Methods Medicine}, volume = {2017}, pages = {7294761:1--7294761:20}, year = {2017}, url = {https://doi.org/10.1155/2017/7294761}, doi = {10.1155/2017/7294761}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/WangTTL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/combinatorics/WangLLW17, author = {Lei Wang and Cai Heng Li and Yin Liu and Ci Xuan Wu}, title = {New Constructions of Self-Complementary Cayley Graphs}, journal = {Electron. J. Comb.}, volume = {24}, number = {3}, pages = {3}, year = {2017}, url = {https://doi.org/10.37236/6695}, doi = {10.37236/6695}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/combinatorics/WangLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWWX17, author = {Tiedi Zhang and Lei Wang and Chung{-}Tse Michael Wu and Ruimin Xu}, title = {A GaAs passive mixer with an ultra-wide {IF} bandwidth}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {1}, pages = {20160973}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20160973}, doi = {10.1587/ELEX.13.20160973}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWWX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLC17, author = {Lei Wang and Fujiang Lin and Qing Cui}, title = {Dual 3-phase buck converter for multi-core CPUs power supply in mobile devices}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {7}, pages = {20170045}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170045}, doi = {10.1587/ELEX.14.20170045}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZZWXSCWN17, author = {Oupeng Li and Yong Zhang and Tiedi Zhang and Lei Wang and Ruimin Xu and Yan Sun and Wei Cheng and Yuan Wang and Bin Niu}, title = {140 GHz power amplifier based on 0.5 {\(\mathrm{\mu}\)}m composite collector InP {DHBT}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {8}, pages = {20170191}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170191}, doi = {10.1587/ELEX.14.20170191}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZZWXSCWN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZLYCZG17, author = {Lei Wang and Tiedi Zhang and Oupeng Li and Manfei Yang and Qing Chen and Mingzhou Zan and Yunchuan Guo}, title = {A D-band divide-by-6 injection-locked frequency divider with Lange-coupler feedback architecture in 0.13 {\(\mathrm{\mu}\)}m SiGe {HBT}}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170328}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170328}, doi = {10.1587/ELEX.14.20170328}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZLYCZG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoSLWLXZ17, author = {Yunchuan Guo and Chengwei Shang and Kun Liu and Lei Wang and Xiansuo Liu and Yuehang Xu and Tiedi Zhang}, title = {A true-time-delay transmit/receive module for X-band subarray phased arrays}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {22}, pages = {20171039}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20171039}, doi = {10.1587/ELEX.14.20171039}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoSLWLXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/WangY17, author = {Lei Wang and Xiao{-}Song Yang}, title = {The Coexistence of Invariant Tori and Topological Horseshoe in a Generalized Nos{\'{e}}-Hoover Oscillator}, journal = {Int. J. Bifurc. Chaos}, volume = {27}, number = {7}, pages = {1750111:1--1750111:12}, year = {2017}, url = {https://doi.org/10.1142/S0218127417501115}, doi = {10.1142/S0218127417501115}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/WangY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijguc/YaoW17, author = {Xiaofeng Yao and Lei Wang}, title = {Design and implementation of {IOT} gateway based on embedded {\(\mu\)}Tenux operating system}, journal = {Int. J. Grid Util. Comput.}, volume = {8}, number = {1}, pages = {22--28}, year = {2017}, url = {https://doi.org/10.1504/IJGUC.2017.10003004}, doi = {10.1504/IJGUC.2017.10003004}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijguc/YaoW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/BuWHLL17, author = {Shuhui Bu and Lei Wang and Pengcheng Han and Zhenbao Liu and Ke Li}, title = {3D shape recognition and retrieval based on multi-modality deep learning}, journal = {Neurocomputing}, volume = {259}, pages = {183--193}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.06.088}, doi = {10.1016/J.NEUCOM.2016.06.088}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/BuWHLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijra/WangYWW17, author = {Lei Wang and Xiufen Ye and Gaobo Wang and Lin Wang}, title = {A Fast Hierarchical {MRF} sonar Image Segmentation Algorithm}, journal = {Int. J. Robotics Autom.}, volume = {32}, number = {1}, year = {2017}, url = {https://doi.org/10.2316/Journal.206.2017.1.206-4739}, doi = {10.2316/JOURNAL.206.2017.1.206-4739}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijra/WangYWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/CuiCW17, author = {Lingxia Cui and Shihua Chen and Lei Wang}, title = {Distributed control for multi-target circumnavigation by a group of agents}, journal = {Int. J. Syst. Sci.}, volume = {48}, number = {12}, pages = {2565--2574}, year = {2017}, url = {https://doi.org/10.1080/00207721.2017.1324921}, doi = {10.1080/00207721.2017.1324921}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/CuiCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/RenW17, author = {Fuji Ren and Lei Wang}, title = {Sentiment analysis of text based on three-way decisions}, journal = {J. Intell. Fuzzy Syst.}, volume = {33}, number = {1}, pages = {245--254}, year = {2017}, url = {https://doi.org/10.3233/JIFS-161522}, doi = {10.3233/JIFS-161522}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/RenW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/BiWH17, author = {Shuhui Bi and Lei Wang and Chunyan Han}, title = {Robust Control of Nonlinear System with Input and Output Nonlinear Constraints}, journal = {J. Robotics Mechatronics}, volume = {29}, number = {6}, pages = {1073--1081}, year = {2017}, url = {https://doi.org/10.20965/jrm.2017.p1073}, doi = {10.20965/JRM.2017.P1073}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/BiWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/HuangDFLYW17, author = {Jilei Huang and Kazhong Deng and Hongdong Fan and Shaogang Lei and Shiyong Yan and Lei Wang}, title = {An Improved Adaptive Template Size Pixel-Tracking Method for Monitoring Large-Gradient Mining Subsidence}, journal = {J. Sensors}, volume = {2017}, pages = {3059159:1--3059159:11}, year = {2017}, url = {https://doi.org/10.1155/2017/3059159}, doi = {10.1155/2017/3059159}, timestamp = {Thu, 16 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/HuangDFLYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/HouW18, author = {Jinkui Hou and Lei Wang}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{20307}}{\unicode{31995}}{\unicode{32467}}{\unicode{26500}}{\unicode{30340}}{\unicode{27169}}{\unicode{22411}}{\unicode{36716}}{\unicode{25442}}{\unicode{35821}}{\unicode{20041}}{\unicode{25551}}{\unicode{36848}}{\unicode{26694}}{\unicode{26550}} (Formal Framework of Architecture-based Model Transformation)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {44}, number = {4}, pages = {148--152}, year = {2017}, url = {https://doi.org/10.11896/j.issn.1002-137X.2017.04.032}, doi = {10.11896/J.ISSN.1002-137X.2017.04.032}, timestamp = {Fri, 20 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/HouW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/WangCL17, author = {Lei Wang and Xin Chen and Qiang Liu}, title = {A Lightweight Intelligent Manufacturing System Based on Cloud Computing for Plate Production}, journal = {Mob. Networks Appl.}, volume = {22}, number = {6}, pages = {1170--1181}, year = {2017}, url = {https://doi.org/10.1007/s11036-017-0862-5}, doi = {10.1007/S11036-017-0862-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/WangCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/DengZWWWS17, author = {Juan Deng and Shu Zhao and Yan Wang and Lei Wang and Hong Wang and Hong Sha}, title = {Image compression-encryption scheme combining 2D compressive sensing with discrete fractional random transform}, journal = {Multim. Tools Appl.}, volume = {76}, number = {7}, pages = {10097--10117}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3600-2}, doi = {10.1007/S11042-016-3600-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/DengZWWWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HeRWL17, author = {Chen He and Ling{-}Qiang Ran and Lei Wang and Xueqing Li}, title = {Point set surface compression based on shape pattern analysis}, journal = {Multim. Tools Appl.}, volume = {76}, number = {20}, pages = {20545--20565}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3991-0}, doi = {10.1007/S11042-016-3991-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HeRWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/ZhouOWS17, author = {Lan Zhou and Yang Ou{-}Yang and Lei Wang and Yu{-}Bo Sheng}, title = {Protecting single-photon entanglement with practical entanglement source}, journal = {Quantum Inf. Process.}, volume = {16}, number = {6}, pages = {151}, year = {2017}, url = {https://doi.org/10.1007/s11128-017-1601-3}, doi = {10.1007/S11128-017-1601-3}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/ZhouOWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DuSLHHZWWG17, author = {Lingtong Du and Naiping Song and Ke Liu and Jing Hou and Yue Hu and Yuguo Zhu and Xinyun Wang and Lei Wang and Yige Guo}, title = {Comparison of Two Simulation Methods of the Temperature Vegetation Dryness Index {(TVDI)} for Drought Monitoring in Semi-Arid Regions of China}, journal = {Remote. Sens.}, volume = {9}, number = {2}, pages = {177}, year = {2017}, url = {https://doi.org/10.3390/rs9020177}, doi = {10.3390/RS9020177}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DuSLHHZWWG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SiLCSWL17, author = {Yidan Si and Shenshen Li and Liangfu Chen and Huazhe Shang and Lei Wang and Husi Letu}, title = {Assessment and Improvement of {MISR} Angstrom Exponent and Single-Scattering Albedo Products Using {AERONET} Data in China}, journal = {Remote. Sens.}, volume = {9}, number = {7}, pages = {693}, year = {2017}, url = {https://doi.org/10.3390/rs9070693}, doi = {10.3390/RS9070693}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/SiLCSWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZengWWHQLHGGS17, author = {Youjun Zeng and Lei Wang and Shu Yuen Wu and Jianan He and Junle Qu and Xuejin Li and Ho Pui Ho and Dayong Gu and Bruce Zhi Gao and Yonghong Shao}, title = {Wavelength-Scanning {SPR} Imaging Sensors Based on an Acousto-Optic Tunable Filter and a White Light Laser}, journal = {Sensors}, volume = {17}, number = {1}, pages = {90}, year = {2017}, url = {https://doi.org/10.3390/s17010090}, doi = {10.3390/S17010090}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZengWWHQLHGGS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangWWLWL17, author = {Tongyu Yang and Zhong Wang and Zhengang Wu and Xing{-}Qiang Li and Lei Wang and Changjie Liu}, title = {Calibration of Laser Beam Direction for Inner Diameter Measuring Device}, journal = {Sensors}, volume = {17}, number = {2}, pages = {294}, year = {2017}, url = {https://doi.org/10.3390/s17020294}, doi = {10.3390/S17020294}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YangWWLWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangYFWYL17, author = {Lei Wang and Fangyun Yang and Lu{-}Hua Fu and Zhong Wang and Tongyu Yang and Changjie Liu}, title = {A Fast Measuring Method for the Inner Diameter of Coaxial Holes}, journal = {Sensors}, volume = {17}, number = {3}, pages = {652}, year = {2017}, url = {https://doi.org/10.3390/s17030652}, doi = {10.3390/S17030652}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangYFWYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WangPAST17, author = {Lei Wang and Peder C. Pedersen and Emmanuel Agu and Diane M. Strong and Bengisu Tulu}, title = {Area Determination of Diabetic Foot Ulcer Images Using a Cascaded Two-Stage SVM-Based Classification}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {64}, number = {9}, pages = {2098--2109}, year = {2017}, url = {https://doi.org/10.1109/TBME.2016.2632522}, doi = {10.1109/TBME.2016.2632522}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/WangPAST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/GengCFTTYYWLW17, author = {Jun Geng and Jing M. Chen and Weiliang Fan and Lili Tu and Qingjiu Tian and Ranran Yang and Yanjun Yang and Lei Wang and Chunguang Lv and Shengbiao Wu}, title = {{GOFP:} {A} Geometric-Optical Model for Forest Plantations}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {55}, number = {9}, pages = {5230--5241}, year = {2017}, url = {https://doi.org/10.1109/TGRS.2017.2704079}, doi = {10.1109/TGRS.2017.2704079}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/GengCFTTYYWLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JiangMWWG17, author = {Weidong Jiang and Weicheng Ma and JinPing Wang and Lei Wang and Yan Gao}, title = {Deadbeat Control Based on Current Predictive Calibration for Grid-Connected Converter Under Unbalanced Grid Voltage}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {7}, pages = {5479--5491}, year = {2017}, url = {https://doi.org/10.1109/TIE.2017.2674620}, doi = {10.1109/TIE.2017.2674620}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JiangMWWG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/GaoLWL17, author = {Pengyu Gao and Kui Li and Lei Wang and Zengjun Liu}, title = {A Self-Calibration Method for Accelerometer Nonlinearity Errors in Triaxis Rotational Inertial Navigation System}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {2}, pages = {243--253}, year = {2017}, url = {https://doi.org/10.1109/TIM.2016.2625958}, doi = {10.1109/TIM.2016.2625958}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/GaoLWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LuYHWPZS17, author = {Zuliang Lu and Yan Yang and Lu Huang and Lei Wang and Xianlin Pan and Jiangtao Zhang and Eddy So}, title = {Further Investigation for Piecewise Sampling to Overcome Transient Effect of Staircase Waveform}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {6}, pages = {1217--1226}, year = {2017}, url = {https://doi.org/10.1109/TIM.2017.2668760}, doi = {10.1109/TIM.2017.2668760}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LuYHWPZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/WangWN17, author = {Xiaojie Wang and Lei Wang and Zhaolong Ning}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {A Privacy-Reserved Approach for Message Forwarding in Opportunistic Networks}, booktitle = {31st {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2017, Taipei, Taiwan, March 27-29, 2017}, pages = {1070--1075}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AINA.2017.159}, doi = {10.1109/AINA.2017.159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/WangWN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcom/TianWLG17, author = {Feng Tian and Lei Wang and Xinyang Liu and Shan Gao}, title = {Patching Traceroute Using Geographic Information In Neutrality Inference Crowdsourcing}, booktitle = {3rd International Conference on Big Data Computing and Communications, {BIGCOM} 2017, Chengdu, China, August 10-11, 2017}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BIGCOM.2017.9}, doi = {10.1109/BIGCOM.2017.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcom/TianWLG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/HuangZWL17, author = {Zhen Huang and Xiaomei Zhang and Lei Wang and Zhengying Li}, editor = {Qingli Li and Lipo Wang and Mei Zhou and Li Sun and Song Qiu and Hongying Liu}, title = {Study and implementation of voiceprint identity authentication for Android mobile terminal}, booktitle = {10th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2017, Shanghai, China, October 14-16, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CISP-BMEI.2017.8302103}, doi = {10.1109/CISP-BMEI.2017.8302103}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/HuangZWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/XiaTSZW17, author = {Fei Xia and Hai{-}feng Tie and En{-}wei Shi and Qian Zhang and Lei Wang}, editor = {Qingli Li and Lipo Wang and Mei Zhou and Li Sun and Song Qiu and Hongying Liu}, title = {Insulator recognition based on mathematical morphology and Bayesian segmentation}, booktitle = {10th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2017, Shanghai, China, October 14-16, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CISP-BMEI.2017.8302063}, doi = {10.1109/CISP-BMEI.2017.8302063}, timestamp = {Wed, 07 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/XiaTSZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cccv/ZhaoZQZW17, author = {Zhenbing Zhao and Lingling Zhao and Yincheng Qi and Ke Zhang and Lei Wang}, editor = {Jinfeng Yang and Qinghua Hu and Ming{-}Ming Cheng and Liang Wang and Qingshan Liu and Xiang Bai and Deyu Meng}, title = {Infrared and Visible Image Registration Based on Hypercolumns}, booktitle = {Computer Vision - Second {CCF} Chinese Conference, {CCCV} 2017, Tianjin, China, October 11-14, 2017, Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {773}, pages = {529--539}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7305-2\_45}, doi = {10.1007/978-981-10-7305-2\_45}, timestamp = {Fri, 20 Dec 2019 14:29:00 +0100}, biburl = {https://dblp.org/rec/conf/cccv/ZhaoZQZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ceec/WangG17, author = {Lei Wang and John Q. Gan}, title = {Prediction of the 2017 French election based on Twitter data analysis}, booktitle = {2017 9th Computer Science and Electronic Engineering Conference, {CEEC} 2017, Colchester, UK, September 27-29, 2017}, pages = {89--93}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CEEC.2017.8101605}, doi = {10.1109/CEEC.2017.8101605}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ceec/WangG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/LlewellynnFDFSP17, author = {Tim Llewellynn and Maria del Milagro Fern{\'{a}}ndez{-}Carrobles and Oscar D{\'{e}}niz and Samuel Fricker and Amos J. Storkey and Nuria Pazos and Gordana Velikic and Kirsten Leufgen and Rozenn Dahyot and Sebastian Koller and Georgios I. Goumas and Peter Leitner and Ganesh Dasika and Lei Wang and Kurt Tutschku}, title = {{BONSEYES:} Platform for Open Development of Systems of Artificial Intelligence: Invited paper}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'17, Siena, Italy, May 15-17, 2017}, pages = {299--304}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3075564.3076259}, doi = {10.1145/3075564.3076259}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/LlewellynnFDFSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiQCWGHRZZWJC17, author = {Feng{-}Lin Li and Minghui Qiu and Haiqing Chen and Xiongwei Wang and Xing Gao and Jun Huang and Juwei Ren and Zhongzhou Zhao and Weipeng Zhao and Lei Wang and Guwei Jin and Wei Chu}, editor = {Ee{-}Peng Lim and Marianne Winslett and Mark Sanderson and Ada Wai{-}Chee Fu and Jimeng Sun and J. Shane Culpepper and Eric Lo and Joyce C. Ho and Debora Donato and Rakesh Agrawal and Yu Zheng and Carlos Castillo and Aixin Sun and Vincent S. Tseng and Chenliang Li}, title = {\emph{AliMe Assist }: An Intelligent Assistant for Creating an Innovative E-commerce Experience}, booktitle = {Proceedings of the 2017 {ACM} on Conference on Information and Knowledge Management, {CIKM} 2017, Singapore, November 06 - 10, 2017}, pages = {2495--2498}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3132847.3133169}, doi = {10.1145/3132847.3133169}, timestamp = {Tue, 29 Aug 2023 16:24:43 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LiQCWGHRZZWJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/WangYW17, author = {Lei Wang and Shiwen Yu and Houfeng Wang}, editor = {Yunfang Wu and Jia{-}Fei Hong and Qi Su}, title = {Construction of an Online Lexicon of Chinese Loan Words and Phrases Translated from English}, booktitle = {Chinese Lexical Semantics - 18th Workshop, {CLSW} 2017, Leshan, China, May 18-20, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10709}, pages = {349--356}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-73573-3\_32}, doi = {10.1007/978-3-319-73573-3\_32}, timestamp = {Thu, 22 Oct 2020 08:33:35 +0200}, biburl = {https://dblp.org/rec/conf/clsw/WangYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crc/ChenWJW17, author = {Gang Chen and Lei Wang and Qingxuan Jia and Shiwei Wang}, title = {Calibration Method and Simulation of Kinematic Parameters for Light-Weight Robot Based on Flexible Error Analysis}, booktitle = {2nd International Conference on Cybernetics, Robotics and Control, {CRC} 2017, Chengdu, China, July 21-23, 2017}, pages = {23--27}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CRC.2017.32}, doi = {10.1109/CRC.2017.32}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/crc/ChenWJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/LiWHZCWW17, author = {Rixin Li and Renlei Wang and Nir Halachmi and Qiwen Zhong and Weiqiang Cheng and Lei Wang and Jiao Wang}, title = {X-Ethemet: Enabling integrated fronthaul/backhaul architecture in 5G networks}, booktitle = {{IEEE} Conference on Standards for Communications and Networking, {CSCN} 2017, Helsinki, Finland, September 18-20, 2017}, pages = {121--125}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CSCN.2017.8088609}, doi = {10.1109/CSCN.2017.8088609}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscn/LiWHZCWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csps/WangWMYWZWW17, author = {Chen Wang and Yue Wang and Shaolin Ma and Yifei Yuan and Lei Wang and Xin Zheng and Guilin Wang and Jiaxi Wang}, editor = {Qilian Liang and Jiasong Mu and Min Jia and Wei Wang and Xuhong Feng and Baoju Zhang}, title = {Ultra-Wideband Ranging System Prototype Design and Implementation}, booktitle = {Communications, Signal Processing, and Systems - Proceedings of the 2017 International Conference on Communications, Signal Processing, and Systems, {CSPS} 2017, Harbin, China, 14-16 July 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {463}, pages = {1848--1855}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-6571-2\_224}, doi = {10.1007/978-981-10-6571-2\_224}, timestamp = {Sat, 11 Apr 2020 19:26:33 +0200}, biburl = {https://dblp.org/rec/conf/csps/WangWMYWZWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/HaoW17, author = {Kaiqing Hao and Lei Wang}, editor = {Leonard Barolli and Mingwu Zhang and Xu An Wang}, title = {Detecting Crowdsourcing Spammers in Community Question Answering Websites}, booktitle = {Advances in Internetworking, Data {\&} Web Technologies, The 5th International Conference on Emerging Internetworking, Data {\&} Web Technologies, EIDWT-2017, Wuhan, China, June 10-11, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {6}, pages = {412--423}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59463-7\_41}, doi = {10.1007/978-3-319-59463-7\_41}, timestamp = {Mon, 03 Apr 2023 12:49:11 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/HaoW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WangGFWSHGWL17, author = {Lei Wang and Xin Guo and Peng Fang and Yue Wei and Oluwarotimi Williams Samuel and Pingao Huang and Yanjuan Geng and Hui Wang and Guanglin Li}, title = {A new EMG-based index towards the assessment of elbow spasticity for post-stroke patients}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {3640--3643}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037646}, doi = {10.1109/EMBC.2017.8037646}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/WangGFWSHGWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WangWXZLCLL17, author = {Hui Wang and Lei Wang and Yun Xiang and Ning Zhao and Xiangxin Li and Shixiong Chen and Chuang Lin and Guanglin Li}, title = {Assessment of elbow spasticity with surface electromyography and mechanomyography based on support vector machine}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {3860--3863}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037699}, doi = {10.1109/EMBC.2017.8037699}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/WangWXZLCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LuX0WZ17, author = {Xiaozhen Lu and Dongjin Xu and Liang Xiao and Lei Wang and Weihua Zhuang}, title = {Anti-Jamming Communication Game for UAV-Aided VANETs}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8253987}, doi = {10.1109/GLOCOM.2017.8253987}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LuX0WZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gski/ChiWC17, author = {Tao Chi and Lei Wang and Ming Chen}, editor = {Hanning Yuan and Jing Geng and Chuanlu Liu and Fuling Bian and Tisinee Surapunt}, title = {An Application of a Location Algorithm Integrating Beidou and {WSN} in Agricultural {IOT}}, booktitle = {Geo-Spatial Knowledge and Intelligence - 5th International Conference, {GSKI} 2017, Chiang Mai, Thailand, December 8-10, 2017, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {848}, pages = {262--267}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-13-0893-2\_27}, doi = {10.1007/978-981-13-0893-2\_27}, timestamp = {Sun, 24 Jun 2018 18:48:11 +0200}, biburl = {https://dblp.org/rec/conf/gski/ChiWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/WangGGSP17, author = {Lei Wang and Kunter Gunasti and Ram D. Gopal and Ramesh Shankar and Joseph Pancras}, editor = {Tung Bui}, title = {The Impact of Gamification on Word-of-Mouth Effectiveness: Evidence from Foursquare}, booktitle = {50th Hawaii International Conference on System Sciences, {HICSS} 2017, Hilton Waikoloa Village, Hawaii, USA, January 4-7, 2017}, pages = {1--10}, publisher = {ScholarSpace / {AIS} Electronic Library (AISeL)}, year = {2017}, url = {https://hdl.handle.net/10125/41239}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/WangGGSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/LuoCW17, author = {Xiao Luo and Xue Chen and Lei Wang}, editor = {Shadi Ibrahim and Kim{-}Kwang Raymond Choo and Zheng Yan and Witold Pedrycz}, title = {Energy Efficient Manycast Routing, Modulation Level and Spectrum Assignment in Elastic Optical Networks for Smart City Applications}, booktitle = {Algorithms and Architectures for Parallel Processing - 17th International Conference, {ICA3PP} 2017, Helsinki, Finland, August 21-23, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10393}, pages = {633--641}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65482-9\_48}, doi = {10.1007/978-3-319-65482-9\_48}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/LuoCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarm/WangD17, author = {Lei Wang and Zhiqiang Ding}, title = {Modeling and simulation of forest fire detection and fire spread}, booktitle = {2nd International Conference on Advanced Robotics and Mechatronics, {ICARM} 2017, Hefei and Tai'an, China, August 27-31, 2017}, pages = {65--69}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICARM.2017.8273136}, doi = {10.1109/ICARM.2017.8273136}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icarm/WangD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HuangSWK17, author = {Xinbo Huang and David Sathiaraj and Lei Wang and Barry Keim}, editor = {Raju Gottumukkala and Xia Ning and Guozhu Dong and Vijay Raghavan and Srinivas Aluru and George Karypis and Lucio Miele and Xindong Wu}, title = {Deriving Data-Driven Insights from Climate Extreme Indices for the Continental {US}}, booktitle = {2017 {IEEE} International Conference on Data Mining Workshops, {ICDM} Workshops 2017, New Orleans, LA, USA, November 18-21, 2017}, pages = {303--312}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICDMW.2017.46}, doi = {10.1109/ICDMW.2017.46}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/HuangSWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceme/WangD17, author = {Lei Wang and Meihong Dai}, title = {Multi-Product Firms, Product Switching and Exports in a Developing Country: Evidence from China}, booktitle = {Proceedings of the 8th International Conference on E-business, Management and Economics, {ICEME} 2017, Birmingham, United Kingdom, October 27-29, 2017}, pages = {51--54}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3157754.3157773}, doi = {10.1145/3157754.3157773}, timestamp = {Tue, 07 Mar 2023 10:02:17 +0100}, biburl = {https://dblp.org/rec/conf/iceme/WangD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WangWZC17, author = {Lei Wang and Dong Wang and Yaou Zhao and Yuehui Chen}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Juan Carlos Figueroa{-}Garc{\'{\i}}a}, title = {Prediction of Subcellular Localization of Multi-site Virus Proteins Based on Convolutional Neural Networks}, booktitle = {Intelligent Computing Theories and Application - 13th International Conference, {ICIC} 2017, Liverpool, UK, August 7-10, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10362}, pages = {606--615}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63312-1\_53}, doi = {10.1007/978-3-319-63312-1\_53}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/WangWZC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WangWZC17a, author = {Lei Wang and Dong Wang and Yaou Zhao and Yuehui Chen}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Juan Carlos Figueroa{-}Garc{\'{\i}}a}, title = {Improved Convolutional Neural Networks for Identifying Subcellular Localization of Gram-Negative Bacterial Proteins}, booktitle = {Intelligent Computing Theories and Application - 13th International Conference, {ICIC} 2017, Liverpool, UK, August 7-10, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10362}, pages = {616--625}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63312-1\_54}, doi = {10.1007/978-3-319-63312-1\_54}, timestamp = {Fri, 21 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/WangWZC17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/WangZQW17, author = {Lei Wang and Bo Zhang and Dongyuan Qiu}, title = {A novel flicker-free {AC-DC} {LED} driver without electrolytic capacitor}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2017, Toronto, ON, Canada, March 22-25, 2017}, pages = {370--375}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIT.2017.7913259}, doi = {10.1109/ICIT.2017.7913259}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icit2/WangZQW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/JiWSW17, author = {Xiu Ji and Lei Wang and Wo{-}ye Sun and Hui Wang}, editor = {Yong Liu and Liang Zhao and Guoyong Cai and Guoqing Xiao and Kenli Li and Lipo Wang}, title = {A k-weighted centroid algorithm based on proximity beacon node optimization}, booktitle = {13th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery, {ICNC-FSKD} 2017, Guilin, China, July 29-31, 2017}, pages = {444--448}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FSKD.2017.8393310}, doi = {10.1109/FSKD.2017.8393310}, timestamp = {Mon, 08 Mar 2021 17:45:50 +0100}, biburl = {https://dblp.org/rec/conf/icnc/JiWSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/LiuWZS17, author = {Aizhi Liu and Lei Wang and Yanling Zhang and Changyin Sun}, editor = {Derong Liu and Shengli Xie and Yuanqing Li and Dongbin Zhao and El{-}Sayed M. El{-}Alfy}, title = {Coevolution of Cooperation and Complex Networks via Indirect Reciprocity}, booktitle = {Neural Information Processing - 24th International Conference, {ICONIP} 2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {10638}, pages = {919--926}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-70139-4\_93}, doi = {10.1007/978-3-319-70139-4\_93}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/LiuWZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/ZengLWW17, author = {Zhuoqi Zeng and Steven Liu and Wei Wang and Lei Wang}, editor = {Tadeusz A. Wysocki and Beata J. Wysocki}, title = {Infrastructure-free indoor pedestrian tracking based on foot mounted {UWB/IMU} sensor fusion}, booktitle = {11th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2017, Surfers Paradise, Australia, December 13-15, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSPCS.2017.8270492}, doi = {10.1109/ICSPCS.2017.8270492}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icspcs/ZengLWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvs/YeGZWL17, author = {Shaofeng Ye and Zhiye Guo and Peng Zheng and Lei Wang and Chun Lin}, editor = {Ming Liu and Haoyao Chen and Markus Vincze}, title = {A Vision Inspection System for the Defects of Resistance Spot Welding Based on Neural Network}, booktitle = {Computer Vision Systems - 11th International Conference, {ICVS} 2017, Shenzhen, China, July 10-13, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10528}, pages = {161--168}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-68345-4\_14}, doi = {10.1007/978-3-319-68345-4\_14}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icvs/YeGZWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LiuHQCW17, author = {Xiaolong Liu and Li He and Yi Quan and Ze Chen and Lei Wang}, title = {Rapid automatic detection method for road identification on the highways}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {6308--6311}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IECON.2017.8217097}, doi = {10.1109/IECON.2017.8217097}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/LiuHQCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/WangZWFN17, author = {Fengyun Wang and Jiye Zheng and Lei Wang and Wenjie Feng and Luyan Niu}, editor = {Daoliang Li and Chunjiang Zhao}, title = {Classification Method Research of Fresh Agaricus Bisporus Based on Image Processing}, booktitle = {Computer and Computing Technologies in Agriculture {XI} - 11th {IFIP} {WG} 5.14 International Conference, {CCTA} 2017, Jilin, China, August 12-15, 2017, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {545}, pages = {333--340}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-030-06137-1\_30}, doi = {10.1007/978-3-030-06137-1\_30}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/WangZWFN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iftc/WangHLCZ17, author = {Lei Wang and Menghan Hu and Duo Li and Zhaohui Che and Xiaoliang Zhang}, editor = {Guangtao Zhai and Jun Zhou and Xiaokang Yang}, title = {Security Thread Detection in Passport Using Improved Template Matching}, booktitle = {Digital {TV} and Wireless Multimedia Communication - 14th International Forum, {IFTC} 2017, Shanghai, China, November 8-9, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {815}, pages = {78--90}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-8108-8\_8}, doi = {10.1007/978-981-10-8108-8\_8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iftc/WangHLCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SunZLFZCLGFW17, author = {Tao Sun and Yu Zhao and Changjun Liu and Gang Fu and Rong Zhou and Fangxiao Chen and Daming Lu and Yaguang Gong and Wenbo Fu and Lei Wang}, title = {Monitoring of disturbed land based on convolution neural network}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {4790--4793}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128073}, doi = {10.1109/IGARSS.2017.8128073}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/SunZLFZCLGFW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/ZhouTTZW17, author = {Cheng Zhou and Mingwen Tuo and Mingfu Tuo and Xin Zhao and Lei Wang}, editor = {Leonard Barolli and Isaac Woungang and Omar Khadeer Hussain}, title = {Research on Security and Interoperability in Middleware}, booktitle = {Advances in Intelligent Networking and Collaborative Systems, The 9th International Conference on Intelligent Networking and Collaborative Systems, INCoS-2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {8}, pages = {459--467}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65636-6\_41}, doi = {10.1007/978-3-319-65636-6\_41}, timestamp = {Thu, 30 Nov 2017 19:53:22 +0100}, biburl = {https://dblp.org/rec/conf/incos/ZhouTTZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incos/TuoZYZW17, author = {Mingfu Tuo and Cheng Zhou and Zhonghai Yin and Xin Zhao and Lei Wang}, editor = {Leonard Barolli and Isaac Woungang and Omar Khadeer Hussain}, title = {Research on Behavior Modeling and Property Verification for Cyber-Physical Systems}, booktitle = {Advances in Intelligent Networking and Collaborative Systems, The 9th International Conference on Intelligent Networking and Collaborative Systems, INCoS-2017, Ryerson University, Toronto, ON, Canada, August 24-26, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {8}, pages = {557--562}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65636-6\_50}, doi = {10.1007/978-3-319-65636-6\_50}, timestamp = {Thu, 30 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/incos/TuoZYZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunZQLYGZW17, author = {Wenyu Sun and Qinghang Zhao and Fei Qiao and Yongpan Liu and Huazhong Yang and Xiaojun Guo and Lei Zhou and Lei Wang}, title = {An 8b 0.8kS/s configurable VCO-based {ADC} using oxide TFTs with Inkjet printing interconnection}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050682}, doi = {10.1109/ISCAS.2017.8050682}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunZQLYGZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/ZadehkhostHLW17, author = {Pouya Sajjad Zadehkhost and Frederic Howell and Xi Lin and Lei Wang}, title = {Analyzing subsynchronous torsional interactions in large-scale power systems in frequency domain}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2017, Washington, DC, USA, April 23-26, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISGT.2017.8086029}, doi = {10.1109/ISGT.2017.8086029}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isgt/ZadehkhostHLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isica/YeW17, author = {Jun Ye and Lei Wang}, editor = {Kangshun Li and Wei Li and Zhangxing Chen and Yong Liu}, title = {A Novel Attribute Reduction Approach Based on Improved Attribute Significance}, booktitle = {Computational Intelligence and Intelligent Systems - 9th International Symposium, {ISICA} 2017, Guangzhou, China, November 18-19, 2017, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {873}, pages = {53--66}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-13-1648-7\_5}, doi = {10.1007/978-981-13-1648-7\_5}, timestamp = {Fri, 21 Apr 2023 11:27:56 +0200}, biburl = {https://dblp.org/rec/conf/isica/YeW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isica/YinWHRD17, author = {Aihua Yin and Lei Wang and Dongping Hu and Hao Rao and Song Deng}, editor = {Kangshun Li and Wei Li and Zhangxing Chen and Yong Liu}, title = {A Beam Search Approach Based on Action Space for the 2D Rectangular Packing Problem}, booktitle = {Computational Intelligence and Intelligent Systems - 9th International Symposium, {ISICA} 2017, Guangzhou, China, November 18-19, 2017, Revised Selected Papers, Part {II}}, series = {Communications in Computer and Information Science}, volume = {874}, pages = {165--174}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-13-1651-7\_14}, doi = {10.1007/978-981-13-1651-7\_14}, timestamp = {Fri, 21 Apr 2023 11:33:52 +0200}, biburl = {https://dblp.org/rec/conf/isica/YinWHRD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/LiWYJW17, author = {Qian Li and Weiguo Wu and Dun Yuan and Lei Jia and Lei Wang}, title = {A Reliable Scheduling Algorithm for Render Cluster System}, booktitle = {2017 {IEEE} International Symposium on Parallel and Distributed Processing with Applications and 2017 {IEEE} International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), Guangzhou, China, December 12-15, 2017}, pages = {1060--1065}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISPA/IUCC.2017.00161}, doi = {10.1109/ISPA/IUCC.2017.00161}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/LiWYJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LeussenHWJG17, author = {Martin Van Leussen and Jos Huisken and Lei Wang and Hailong Jiao and Jos{\'{e}} Pineda de Gyvez}, title = {Reconfigurable Support Vector Machine Classifier with Approximate Computing}, booktitle = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017, Bochum, Germany, July 3-5, 2017}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISVLSI.2017.13}, doi = {10.1109/ISVLSI.2017.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LeussenHWJG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itits/YangCWT17, author = {Yu{-}chen Yang and Yan{-}li Chen and Lei Wang and Chen Tang}, editor = {Valentina Emilia Balas and Lakhmi C. Jain and Xiangmo Zhao and Fuqian Shi}, title = {The Influence of Using {XBRL} on Quoted Company's Information Quality}, booktitle = {Information Technology and Intelligent Transportation Systems - Proceedings of the 2nd International Conference on Information Technology and Intelligent Transportation Systems {(ITITS} 2017), Xi'an, China, June 10, 2017}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {296}, pages = {331--342}, publisher = {{IOS} Press}, year = {2017}, url = {https://doi.org/10.3233/978-1-61499-785-6-331}, doi = {10.3233/978-1-61499-785-6-331}, timestamp = {Thu, 13 Sep 2018 13:02:34 +0200}, biburl = {https://dblp.org/rec/conf/itits/YangCWT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwssip/BaoFHWW17, author = {Jiakun Bao and Jingtao Fan and Xiaowei Hu and Jinnan Wang and Lei Wang}, title = {An effective consistency correction and blending method for camera-array-based microscopy imaging}, booktitle = {International Conference on Systems, Signals and Image Processing, {IWSSIP} 2017, Pozna{\'{n}}, Poland, May 22-24, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWSSIP.2017.7965602}, doi = {10.1109/IWSSIP.2017.7965602}, timestamp = {Wed, 16 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwssip/BaoFHWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lsms/WangLTH17, author = {Lei Wang and Juan Li and Engang Tian and Yinghui Hu}, editor = {Dong Yue and Chen Peng and Dajun Du and Tengfei Zhang and Min Zheng and Qing{-}Long Han}, title = {Observer-Based {H} {\(\infty\)} Output Feedback Control for Switched Systems with Sojourn Probability Method}, booktitle = {Intelligent Computing, Networked Control, and Their Engineering Applications - International Conference on Life System Modeling and Simulation, {LSMS} 2017 - and - International Conference on Intelligent Computing for Sustainable Energy and Environment, {ICSEE} 2017, Nanjing, China, September 22-24, 2017, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {762}, pages = {525--534}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-6373-2\_53}, doi = {10.1007/978-981-10-6373-2\_53}, timestamp = {Wed, 15 Nov 2023 17:34:52 +0100}, biburl = {https://dblp.org/rec/conf/lsms/WangLTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/SunLWWF17, author = {Haixia Sun and Junlian Li and Yingjie Wu and Lei Wang and Kin Wah Fung}, editor = {Adi V. Gundlapalli and Marie{-}Christine Jaulent and Dongsheng Zhao}, title = {Using an Ontology-Based Approach to Handle Author Affiliations in a Large Biomedical Citation Database}, booktitle = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, series = {Studies in Health Technology and Informatics}, volume = {245}, pages = {1338}, publisher = {{IOS} Press}, year = {2017}, url = {https://doi.org/10.3233/978-1-61499-830-3-1338}, doi = {10.3233/978-1-61499-830-3-1338}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/SunLWWF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/JinYFWLZ17, author = {Cheng Jin and Heng Yu and Jianjiang Feng and Lei Wang and Jiwen Lu and Jie Zhou}, editor = {Mihaela Pop and Maxime Sermesant and Pierre{-}Marc Jodoin and Alain Lalande and Xiahai Zhuang and Guang Yang and Alistair A. Young and Olivier Bernard}, title = {Left Atrial Appendage Neck Modeling for Closure Surgery}, booktitle = {Statistical Atlases and Computational Models of the Heart. {ACDC} and {MMWHS} Challenges - 8th International Workshop, {STACOM} 2017, Held in Conjunction with {MICCAI} 2017, Quebec City, Canada, September 10-14, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10663}, pages = {32--41}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-75541-0\_4}, doi = {10.1007/978-3-319-75541-0\_4}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/JinYFWLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/JinYFWLZ17a, author = {Cheng Jin and Heng Yu and Jianjiang Feng and Lei Wang and Jiwen Lu and Jie Zhou}, editor = {Mihaela Pop and Maxime Sermesant and Pierre{-}Marc Jodoin and Alain Lalande and Xiahai Zhuang and Guang Yang and Alistair A. Young and Olivier Bernard}, title = {Detection of Substances in the Left Atrial Appendage by Spatiotemporal Motion Analysis Based on 4D-CT}, booktitle = {Statistical Atlases and Computational Models of the Heart. {ACDC} and {MMWHS} Challenges - 8th International Workshop, {STACOM} 2017, Held in Conjunction with {MICCAI} 2017, Quebec City, Canada, September 10-14, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10663}, pages = {42--50}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-75541-0\_5}, doi = {10.1007/978-3-319-75541-0\_5}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/JinYFWLZ17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlicom/ZhongXLW17, author = {Weizhi Zhong and Lei Xu and Xiaoyi Lu and Lei Wang}, editor = {Xuemai Gu and Gongliang Liu and Bo Li}, title = {Research on Millimeter Wave Communication Interference Suppression of {UAV} Based on Beam Optimization}, booktitle = {Machine Learning and Intelligent Communications - Second International Conference, {MLICOM} 2017, Weihai, China, August 5-6, 2017, Proceedings, Part {II}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {227}, pages = {472--481}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-73447-7\_51}, doi = {10.1007/978-3-319-73447-7\_51}, timestamp = {Thu, 01 Aug 2019 13:46:15 +0200}, biburl = {https://dblp.org/rec/conf/mlicom/ZhongXLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nana/WangLSMWYSLZD17, author = {Yongzhi Wang and Lingtong Liu and Cuicui Su and Jiawen Ma and Lei Wang and Yibo Yang and Yulong Shen and Guangxia Li and Tao Zhang and Xuewen Dong}, title = {CryptSQLite: Protecting Data Confidentiality of SQLite with Intel {SGX}}, booktitle = {International Conference on Networking and Network Applications, NaNA 2017, Kathmandu City, Nepal, October 16-19, 2017}, pages = {303--308}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/NaNA.2017.48}, doi = {10.1109/NANA.2017.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nana/WangLSMWYSLZD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HanHLWH17, author = {Liuyan Han and Xintian Hu and Han Li and Lei Wang and Nan Hua}, title = {First demonstration of distributed time synchronization system over transport network towards 5G requirements}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7937372}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HanHLWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfid/LiSHLWYWM17, author = {Qiang Li and Zhengliang Sun and Jin Huang and Sha Liu and Junyu Wang and Na Yan and Lei Wang and Hao Min}, title = {Secure {UHF-RFID} tag for vehicular traffic management system}, booktitle = {2017 {IEEE} International Conference on RFID, {RFID} 2017, Phoenix, AZ, USA, May 9-11, 2017}, pages = {26--29}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RFID.2017.7945582}, doi = {10.1109/RFID.2017.7945582}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/rfid/LiSHLWYWM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sate/ShuWX17, author = {Ting Shu and Lei Wang and Jinsong Xia}, editor = {Wei Dong and Xiaoyuan Xie}, title = {Fault Localization Using a Failed Execution Slice}, booktitle = {2017 International Conference on Software Analysis, Testing and Evolution, {SATE} 2017, Harbin, China, November 3-4, 2017}, pages = {37--44}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SATE.2017.13}, doi = {10.1109/SATE.2017.13}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/sate/ShuWX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangWZC17, author = {Zhuping Wang and Lei Wang and Hao Zhang and Qijun Chen}, title = {A graph based formation control of nonholonomic wheeled robots using a novel edge-weight function}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {1477--1481}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122822}, doi = {10.1109/SMC.2017.8122822}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangWZC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swarm/SiSZW17, author = {Chengyong Si and Jianqiang Shen and Xuan Zou and Lei Wang}, editor = {Ying Tan and Hideyuki Takagi and Yuhui Shi}, title = {Comparison of Differential Evolution Algorithms on the Mapping Between Problems and Penalty Parameters}, booktitle = {Advances in Swarm Intelligence - 8th International Conference, {ICSI} 2017, Fukuoka, Japan, July 27 - August 1, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10385}, pages = {420--428}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61824-1\_46}, doi = {10.1007/978-3-319-61824-1\_46}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/swarm/SiSZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/TangKWXGCFLW17, author = {Zhanyong Tang and Kaiyuan Kuang and Lei Wang and Chao Xue and Xiaoqing Gong and Xiaojiang Chen and Dingyi Fang and Jie Liu and Zheng Wang}, title = {{SEEAD:} {A} Semantic-Based Approach for Automatic Binary Code De-obfuscation}, booktitle = {2017 {IEEE} Trustcom/BigDataSE/ICESS, Sydney, Australia, August 1-4, 2017}, pages = {261--268}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/Trustcom/BigDataSE/ICESS.2017.246}, doi = {10.1109/TRUSTCOM/BIGDATASE/ICESS.2017.246}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/TangKWXGCFLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/WangXZP17, author = {Lei Wang and Renhui Xu and Lei Zhang and Laixian Peng}, title = {A novel windowing scheme to suppress spectral sidelobes for {OFDMA} system}, booktitle = {9th International Conference on Wireless Communications and Signal Processing, {WCSP} 2017, Nanjing, China, October 11-13, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WCSP.2017.8170964}, doi = {10.1109/WCSP.2017.8170964}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/WangXZP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Wang17c, author = {Lei Wang}, title = {Can Boltzmann Machines Discover Cluster Updates ?}, journal = {CoRR}, volume = {abs/1702.08586}, year = {2017}, url = {http://arxiv.org/abs/1702.08586}, eprinttype = {arXiv}, eprint = {1702.08586}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Wang17c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-01662, author = {Zhao{-}Yu Han and Jun Wang and Heng Fan and Lei Wang and Pan Zhang}, title = {Unsupervised Generative Modeling Using Matrix Product States}, journal = {CoRR}, volume = {abs/1709.01662}, year = {2017}, url = {http://arxiv.org/abs/1709.01662}, eprinttype = {arXiv}, eprint = {1709.01662}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-01662.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-00156, author = {Lei Wang and Xincheng Wang}, title = {The Influences of Edge Asymmetry on Network Robustness}, journal = {CoRR}, volume = {abs/1712.00156}, year = {2017}, url = {http://arxiv.org/abs/1712.00156}, eprinttype = {arXiv}, eprint = {1712.00156}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-00156.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc8184, author = {Weiqiang Cheng and Lei Wang and Han Li and Shahram Davari and Jie Dong}, title = {Dual-Homing Protection for {MPLS} and the {MPLS} Transport Profile {(MPLS-TP)} Pseudowires}, journal = {{RFC}}, volume = {8184}, pages = {1--11}, year = {2017}, url = {https://doi.org/10.17487/RFC8184}, doi = {10.17487/RFC8184}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rfc/rfc8184.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc8185, author = {Weiqiang Cheng and Lei Wang and Han Li and Jie Dong and Alessandro D'Alessandro}, title = {Dual-Homing Coordination for {MPLS} Transport Profile {(MPLS-TP)} Pseudowires Protection}, journal = {{RFC}}, volume = {8185}, pages = {1--17}, year = {2017}, url = {https://doi.org/10.17487/RFC8185}, doi = {10.17487/RFC8185}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rfc/rfc8185.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc8227, author = {Weiqiang Cheng and Lei Wang and Han Li and Huub van Helvoort and Jie Dong}, title = {{MPLS-TP} Shared-Ring Protection {(MSRP)} Mechanism for Ring Topology}, journal = {{RFC}}, volume = {8227}, pages = {1--56}, year = {2017}, url = {https://doi.org/10.17487/RFC8227}, doi = {10.17487/RFC8227}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rfc/rfc8227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/WangWFZZ16, author = {Zhengting Wang and Lei Wang and Rong Fan and Jie Zhou and Jie Zhong}, title = {Molecular design and structural optimization of potent peptide hydroxamate inhibitors to selectively target human {ADAM} metallopeptidase domain 17}, journal = {Comput. Biol. Chem.}, volume = {61}, pages = {15--22}, year = {2016}, url = {https://doi.org/10.1016/j.compbiolchem.2015.12.003}, doi = {10.1016/J.COMPBIOLCHEM.2015.12.003}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candc/WangWFZZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChenZWD16, author = {Yingzhen Chen and Qiuhong Zhao and Lei Wang and Maged M. Dessouky}, title = {The regional cooperation-based warehouse location problem for relief supplies}, journal = {Comput. Ind. Eng.}, volume = {102}, pages = {259--267}, year = {2016}, url = {https://doi.org/10.1016/j.cie.2016.10.021}, doi = {10.1016/J.CIE.2016.10.021}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/ChenZWD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ShenWL16, author = {Di Shen and Lei Wang and Chengfan Li}, title = {Event energy clustering and evaluation based on shock wave model}, journal = {Clust. Comput.}, volume = {19}, number = {4}, pages = {1963--1974}, year = {2016}, url = {https://doi.org/10.1007/s10586-016-0627-0}, doi = {10.1007/S10586-016-0627-0}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/ShenWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/WangSC16, author = {Lei Wang and Baochang Shi and Zhenhua Chai}, title = {A lattice Boltzmann study of the asymmetry effect on the hemodynamics in stented fusiform aneurysms}, journal = {Comput. Math. Appl.}, volume = {71}, number = {1}, pages = {328--348}, year = {2016}, url = {https://doi.org/10.1016/j.camwa.2015.11.021}, doi = {10.1016/J.CAMWA.2015.11.021}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/WangSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/BianOLLWL16, author = {Zhijie Bian and Gaoxiang Ouyang and Zheng Li and Qiuli Li and Lei Wang and Xiaoli Li}, title = {Weighted-Permutation Entropy Analysis of Resting State {EEG} from Diabetics with Amnestic Mild Cognitive Impairment}, journal = {Entropy}, volume = {18}, number = {8}, pages = {307}, year = {2016}, url = {https://doi.org/10.3390/e18080307}, doi = {10.3390/E18080307}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/BianOLLWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/WangQZ16, author = {Lei Wang and Yi{-}Hong Qiu and Yanjun Zeng}, title = {Coding Properties of Three Intrinsically Distinct Retinal Ganglion Cells under Periodic Stimuli: {A} Computational Study}, journal = {Frontiers Comput. Neurosci.}, volume = {10}, pages = {102}, year = {2016}, url = {https://doi.org/10.3389/fncom.2016.00102}, doi = {10.3389/FNCOM.2016.00102}, timestamp = {Sat, 23 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/WangQZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuCNZWW16, author = {Jingtian Liu and Shuming Chen and Xi Ning and Jinying Zhang and Lei Wang and Zhi Wang}, title = {Characterization of the field-dependent permittivity of Ba\({}_{\mbox{0.5}}\)Sr\({}_{\mbox{0.5}}\)TiO\({}_{\mbox{3}}\) thin films up to 110 GHz}, journal = {{IEICE} Electron. Express}, volume = {13}, number = {19}, pages = {20160713}, year = {2016}, url = {https://doi.org/10.1587/elex.13.20160713}, doi = {10.1587/ELEX.13.20160713}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuCNZWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWZL16, author = {Chao Zhang and Lei Wang and Jingjuan Zhang and Zengjun Liu}, title = {High precision locking control based on fiber optic gyro and photoelectric encoder for rotational inertial navigation system}, journal = {{IEICE} Electron. Express}, volume = {13}, number = {20}, pages = {20160841}, year = {2016}, url = {https://doi.org/10.1587/elex.13.20160841}, doi = {10.1587/ELEX.13.20160841}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWZL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/WangNZ16, author = {Lei Wang and Lanshun Nie and De{-}chen Zhan}, title = {A tabu search method for block erection scheduling in shipbuilding with activity resource elasticity}, journal = {Int. J. Comput. Appl. Technol.}, volume = {54}, number = {3}, pages = {220--228}, year = {2016}, url = {https://doi.org/10.1504/IJCAT.2016.10000458}, doi = {10.1504/IJCAT.2016.10000458}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/WangNZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgs/KeHRW16, author = {Hua Ke and Hu Huang and Dan A. Ralescu and Lei Wang}, title = {Fuzzy bilevel programming with multiple non-cooperative followers: model, algorithm and application}, journal = {Int. J. Gen. Syst.}, volume = {45}, number = {3}, pages = {336--351}, year = {2016}, url = {https://doi.org/10.1080/03081079.2015.1086579}, doi = {10.1080/03081079.2015.1086579}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgs/KeHRW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijndc/LiangWWX16, author = {Hongliang Liang and Lei Wang and Dongyang Wu and Jiuyun Xu}, title = {{MLSA:} a static bugs analysis tool based on {LLVM} {IR}}, journal = {Int. J. Networked Distributed Comput.}, volume = {4}, number = {3}, pages = {137--144}, year = {2016}, url = {https://doi.org/10.2991/ijndc.2016.4.3.1}, doi = {10.2991/IJNDC.2016.4.3.1}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijndc/LiangWWX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/CuiWWYBG16, author = {Dong Cui and Jinhuan Wang and Lei Wang and Shimin Yin and Zhijie Bian and Guanghua Gu}, title = {Symbol Recurrence Plots based resting-state eyes-closed {EEG} deterministic analysis on amnestic mild cognitive impairment in type 2 diabetes mellitus}, journal = {Neurocomputing}, volume = {203}, pages = {102--110}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2016.03.056}, doi = {10.1016/J.NEUCOM.2016.03.056}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/CuiWWYBG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/LiGWW16, author = {Kui Li and Pengyu Gao and Xueyun Wang and Lei Wang}, title = {Analysis and experiment of error restraint principle in an inertial navigation system with inertial sensors rotation}, journal = {Int. J. Sens. Networks}, volume = {21}, number = {2}, pages = {127--136}, year = {2016}, url = {https://doi.org/10.1504/IJSNET.2016.078188}, doi = {10.1504/IJSNET.2016.078188}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/LiGWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/AkiyamaNDRW16, author = {Yoshio Akiyama and James Nolan and Marjorie Darrah and Mushtaq Abdal Rahem and Lei Wang}, title = {A method for measuring consensus within groups: An index of disagreement via conditional probability}, journal = {Inf. Sci.}, volume = {345}, pages = {116--128}, year = {2016}, url = {https://doi.org/10.1016/j.ins.2016.01.052}, doi = {10.1016/J.INS.2016.01.052}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/AkiyamaNDRW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/WangY16, author = {Lei Wang and Aihua Yin}, title = {A quasi-human algorithm for the two dimensional rectangular strip packing problem: in memory of Prof. Wenqi Huang}, journal = {J. Comb. Optim.}, volume = {32}, number = {2}, pages = {416--444}, year = {2016}, url = {https://doi.org/10.1007/s10878-015-9961-z}, doi = {10.1007/S10878-015-9961-Z}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/WangY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/SunJWK16, author = {Tian Sun and Cheolkon Jung and Lei Wang and Joongkyu Kim}, title = {Rapid learning-based video stereolization using graphic processing unit acceleration}, journal = {J. Electronic Imaging}, volume = {25}, number = {5}, pages = {053021}, year = {2016}, url = {https://doi.org/10.1117/1.JEI.25.5.053021}, doi = {10.1117/1.JEI.25.5.053021}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/SunJWK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/WangP15, author = {Lei Wang and Jun Pu}, title = {Research on the investment performance evaluation of corporate venture capital with intuitionistic fuzzy information}, journal = {J. Intell. Fuzzy Syst.}, volume = {30}, number = {3}, pages = {1783--1790}, year = {2016}, url = {https://doi.org/10.3233/IFS-151890}, doi = {10.3233/IFS-151890}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/WangP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/JiangYWL16, author = {Bin Jiang and Chao Yang and Lei Wang and Renfa Li}, title = {Mining multiplex power-law distributions and retweeting patterns on twitter}, journal = {J. Intell. Fuzzy Syst.}, volume = {31}, number = {2}, pages = {1009--1016}, year = {2016}, url = {https://doi.org/10.3233/JIFS-169030}, doi = {10.3233/JIFS-169030}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/JiangYWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/JinLXW16, author = {Haiyan Jin and Yaning Li and Bei Xing and Lei Wang}, title = {A geometric image segmentation method based on a bi-convex, fuzzy, variational principle with teaching-learning optimization}, journal = {J. Intell. Fuzzy Syst.}, volume = {31}, number = {6}, pages = {3075--3081}, year = {2016}, url = {https://doi.org/10.3233/JIFS-169193}, doi = {10.3233/JIFS-169193}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/JinLXW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/WangDLW16, author = {Jun Wang and Weiqi Du and Hui Liu and Lei Wang}, title = {{\unicode{19968}}{\unicode{31181}}{\unicode{22522}}{\unicode{20110}}{\unicode{30456}}{\unicode{20132}}{\unicode{22810}}{\unicode{24452}}{\unicode{32593}}{\unicode{32476}}{\unicode{32534}}{\unicode{30721}}{\unicode{27169}}{\unicode{22411}}{\unicode{30340}}{\unicode{26080}}{\unicode{32447}}{\unicode{20256}}{\unicode{24863}}{\unicode{32593}}{\unicode{22810}}{\unicode{24452}}{\unicode{36335}}{\unicode{30001}}{\unicode{21327}}{\unicode{35758}} (Multipath Routing Protocol Based on Braid-multipath Network Coding Model for Wireless Sensor Networks)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {43}, number = {11}, pages = {135--141}, year = {2016}, url = {https://doi.org/10.11896/j.issn.1002-137X.2016.11.026}, doi = {10.11896/J.ISSN.1002-137X.2016.11.026}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/WangDLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/DuanW16, author = {Na Duan and Lei Wang}, title = {{\unicode{20840}}{\unicode{23616}}{\unicode{21450}}{\unicode{20854}}{\unicode{20010}}{\unicode{24615}}{\unicode{21270}}{\unicode{21306}}{\unicode{22495}}{\unicode{29305}}{\unicode{24449}}{\unicode{30340}}{\unicode{22270}}{\unicode{20687}}{\unicode{26816}}{\unicode{32034}} (Image Retrieval of Global and Personalized {ROI} Adjustment of Features)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {43}, number = {{Z11}}, pages = {205--207}, year = {2016}, url = {https://doi.org/10.11896/j.issn.1002-137X.2016.11A.046}, doi = {10.11896/J.ISSN.1002-137X.2016.11A.046}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/DuanW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/DuYZTLWL16, author = {Liqun Du and Tong Yang and Ming Zhao and Yousheng Tao and Lei Luo and Lei Wang and Chong Liu}, title = {Study on Improving Thickness Uniformity of Microfluidic Chip Mold in the Electroforming Process}, journal = {Micromachines}, volume = {7}, number = {1}, pages = {7}, year = {2016}, url = {https://doi.org/10.3390/mi7010007}, doi = {10.3390/MI7010007}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/DuYZTLWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LinWWZ16, author = {Lin Lin and Jun Wang and Lei Wang and Wenqi Zhang}, title = {The stress analysis and parametric studies for the low-k layers of a chip in the flip-chip process}, journal = {Microelectron. Reliab.}, volume = {65}, pages = {198--204}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.09.001}, doi = {10.1016/J.MICROREL.2016.09.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LinWWZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/CuiPLBLWG16, author = {Dong Cui and Weiting Pu and Jing Liu and Zhijie Bian and Qiuli Li and Lei Wang and Guanghua Gu}, title = {A new {EEG} synchronization strength analysis method: S-estimator based normalized weighted-permutation mutual information}, journal = {Neural Networks}, volume = {82}, pages = {30--38}, year = {2016}, url = {https://doi.org/10.1016/j.neunet.2016.06.004}, doi = {10.1016/J.NEUNET.2016.06.004}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/CuiPLBLWG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/QinWZZ16, author = {Tao Qin and Lei Wang and Dan Zhao and Min Zhu}, title = {{CUFTI:} Methods for core users finding and traffic identification in {P2P} systems}, journal = {Peer-to-Peer Netw. Appl.}, volume = {9}, number = {2}, pages = {424--435}, year = {2016}, url = {https://doi.org/10.1007/s12083-015-0350-2}, doi = {10.1007/S12083-015-0350-2}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppna/QinWZZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiLMWPC16, author = {Jingzhong Li and Yongmei Liu and Chonghui Mo and Lei Wang and Guowei Pang and Mingming Cao}, title = {{IKONOS} Image-Based Extraction of the Distribution Area of \emph{Stellera chamaejasme} L. in Qilian County of Qinghai Province, China}, journal = {Remote. Sens.}, volume = {8}, number = {2}, pages = {148}, year = {2016}, url = {https://doi.org/10.3390/rs8020148}, doi = {10.3390/RS8020148}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiLMWPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangWFXZ16, author = {Changcheng Wang and Lei Wang and Haiqiang Fu and Qinghua Xie and Jianjun Zhu}, title = {The Impact of Forest Density on Forest Height Inversion Modeling from Polarimetric InSAR Data}, journal = {Remote. Sens.}, volume = {8}, number = {4}, pages = {291}, year = {2016}, url = {https://doi.org/10.3390/rs8040291}, doi = {10.3390/RS8040291}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangWFXZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangWTZ16, author = {Yuanyuan Yang and Lei Wang and Jiubin Tan and Bo Zhao}, title = {Induced Voltage Linear Extraction Method Using an Active Kelvin Bridge for Disturbing Force Self-Sensing}, journal = {Sensors}, volume = {16}, number = {5}, pages = {739}, year = {2016}, url = {https://doi.org/10.3390/s16050739}, doi = {10.3390/S16050739}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YangWTZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/YangWZW16, author = {Lei Yang and Lei Wang and Xing Zhang and Donglin Wang}, title = {An approach to build cycle accurate full system {VLIW} simulation platform}, journal = {Simul. Model. Pract. Theory}, volume = {67}, pages = {14--28}, year = {2016}, url = {https://doi.org/10.1016/j.simpat.2016.06.006}, doi = {10.1016/J.SIMPAT.2016.06.006}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/YangWZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/ZhaoWCY16, author = {Yang Zhao and Lei Wang and Xue Chen and Futao Yang}, title = {Bulk Restoration for SDN-Based Transport Network}, journal = {Sci. Program.}, volume = {2016}, pages = {1938312:1--1938312:8}, year = {2016}, url = {https://doi.org/10.1155/2016/1938312}, doi = {10.1155/2016/1938312}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/ZhaoWCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/WangZYG16, author = {Lei Wang and Ce Zhu and Jieping Ye and Juergen Gall}, title = {Guest Editors' Introduction: Special issue on deep learning with applications to visual representation and analysis}, journal = {Signal Process. Image Commun.}, volume = {47}, pages = {463--464}, year = {2016}, url = {https://doi.org/10.1016/j.image.2016.09.003}, doi = {10.1016/J.IMAGE.2016.09.003}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/WangZYG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/WangZHSQ16, author = {Lei Wang and Baochang Zhang and Jungong Han and Linlin Shen and Chengshan Qian}, title = {Robust object representation by boosting-like deep learning architecture}, journal = {Signal Process. Image Commun.}, volume = {47}, pages = {490--499}, year = {2016}, url = {https://doi.org/10.1016/j.image.2016.06.002}, doi = {10.1016/J.IMAGE.2016.06.002}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spic/WangZHSQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/ShahWLZ16, author = {Syed Faisal A. Shah and Lei Wang and Chuandong Li and Zhuhong Zhang}, title = {Low-Complexity Design of Noninteger Fractionally Spaced Adaptive Equalizers for Coherent Optical Receivers}, journal = {{IEEE} Signal Process. Lett.}, volume = {23}, number = {9}, pages = {1289--1293}, year = {2016}, url = {https://doi.org/10.1109/LSP.2016.2584780}, doi = {10.1109/LSP.2016.2584780}, timestamp = {Sat, 01 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/ShahWLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ShiXLYWY16, author = {Lingwei Shi and Ke Xu and Peng Liu and Shuangbao Yang and Lei Wang and Xiufen Yu}, title = {Height Precision of {SAR} Altimeter and Conventional Radar Altimeter Based on Flight Experimental Data}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {9}, number = {6}, pages = {2676--2686}, year = {2016}, url = {https://doi.org/10.1109/JSTARS.2016.2550030}, doi = {10.1109/JSTARS.2016.2550030}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/ShiXLYWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/JiangXLW16, author = {Maofei Jiang and Ke Xu and Yalong Liu and Lei Wang}, title = {Estimating the Sea State Bias of Jason-2 Altimeter From Crossover Differences by Using a Three-Dimensional Nonparametric Model}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {9}, number = {11}, pages = {5023--5043}, year = {2016}, url = {https://doi.org/10.1109/JSTARS.2016.2557839}, doi = {10.1109/JSTARS.2016.2557839}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/JiangXLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/WangKHMCASW16, author = {Shiwei Wang and Thomas Jacob Koickal and Alister Hamilton and Enrico Mastropaolo and Rebecca Cheung and Andrew Abel and Leslie S. Smith and Lei Wang}, title = {A Power-Efficient Capacitive Read-Out Circuit With Parasitic-Cancellation for {MEMS} Cochlea Sensors}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {10}, number = {1}, pages = {25--37}, year = {2016}, url = {https://doi.org/10.1109/TBCAS.2015.2403251}, doi = {10.1109/TBCAS.2015.2403251}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/WangKHMCASW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/MaLWLW16, author = {Conggan Ma and Qinghe Liu and Dafang Wang and Qing Li and Lei Wang}, title = {A Novel Black and White Box Method for Diagnosis and Reduction of Abnormal Noise of Hub Permanent-Magnet Synchronous Motors for Electric Vehicles}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {2}, pages = {1153--1167}, year = {2016}, url = {https://doi.org/10.1109/TIE.2015.2481361}, doi = {10.1109/TIE.2015.2481361}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/MaLWLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JiangWWWH16, author = {Weidong Jiang and Yongsheng Wang and JinPing Wang and Lei Wang and Hui Huang}, title = {Maximizing Instantaneous Active Power Capability for {PWM} Rectifier Under Unbalanced Grid Voltage Dips Considering the Limitation of Phase Current}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {10}, pages = {5998--6009}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2577544}, doi = {10.1109/TIE.2016.2577544}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JiangWWWH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/LuoWY16, author = {Xiaomin Luo and Lei Wang and Lei Yang}, title = {Influence of Induced Altitude Acclimatization on Development of Acute Mountain Sickness Associated with a Subsequent Rapid Ascent to High Altitude}, booktitle = {16th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2016, Taichung, Taiwan, October 31 - November 2, 2016}, pages = {289--292}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BIBE.2016.41}, doi = {10.1109/BIBE.2016.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/LuoWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/WangW16, author = {Lei Wang and Xili Wang}, editor = {Yaoli Wang and Jiancheng An and Lipo Wang and Qingli Li and Gaowei Van and Qing Chang}, title = {Model and metric choice of image retrieval system based on deep learning}, booktitle = {9th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2016, Datong, China, October 15-17, 2016}, pages = {390--395}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CISP-BMEI.2016.7852742}, doi = {10.1109/CISP-BMEI.2016.7852742}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/WangW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/WangWY16, author = {Zhimin Wang and Lei Wang and Shiwen Yu}, editor = {Minghui Dong and Jingxia Lin and Xuri Tang}, title = {A Metaphorical and Cognitive Study on Idioms with "Ru"}, booktitle = {Chinese Lexical Semantics - 17th Workshop, {CLSW} 2016, Singapore, Singapore, May 20-22, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10085}, pages = {534--545}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49508-8\_50}, doi = {10.1007/978-3-319-49508-8\_50}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/clsw/WangWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WangALWC16, author = {Lei Wang and Johan B. A. M. Arends and Xi Long and Yan Wu and Pierre J. M. Cluitmans}, title = {Seizure detection using dynamic warping for patients with intellectual disability}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {1010--1013}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7590873}, doi = {10.1109/EMBC.2016.7590873}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/WangALWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fase/WangLW16, author = {Lei Wang and Han Li and Xinchen Wang}, editor = {Perdita Stevens and Andrzej Wasowski}, title = {The Influences of Edge Instability on Change Propagation and Connectivity in Call Graphs}, booktitle = {Fundamental Approaches to Software Engineering - 19th International Conference, {FASE} 2016, Held as Part of the European Joint Conferences on Theory and Practice of Software, {ETAPS} 2016, Eindhoven, The Netherlands, April 2-8, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9633}, pages = {197--213}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-662-49665-7\_12}, doi = {10.1007/978-3-662-49665-7\_12}, timestamp = {Wed, 16 Mar 2022 23:55:35 +0100}, biburl = {https://dblp.org/rec/conf/fase/WangLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZhaoZWXL16, author = {Yongxiang Zhao and Baoxian Zhang and Lei Wang and Feng Xie and Cheng Li}, title = {Selective Redundant Transmissions for Real-Time Video Streaming over Multi-Interface Wireless Terminals}, booktitle = {2016 {IEEE} Global Communications Conference, {GLOBECOM} 2016, Washington, DC, USA, December 4-8, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GLOCOM.2016.7842256}, doi = {10.1109/GLOCOM.2016.7842256}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ZhaoZWXL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/TuluSWHAPD16, author = {Bengisu Tulu and Diane M. Strong and Lei Wang and Qian He and Emmanuel Agu and Peder C. Pedersen and Soussan Djamasbi}, editor = {Tung X. Bui and Ralph H. Sprague Jr.}, title = {Design Implications of User Experience Studies: The Case of a Diabetes Wellness App}, booktitle = {49th Hawaii International Conference on System Sciences, {HICSS} 2016, Koloa, HI, USA, January 5-8, 2016}, pages = {3473--3482}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HICSS.2016.434}, doi = {10.1109/HICSS.2016.434}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/TuluSWHAPD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/WangDYLMRWWXWLW16, author = {Donglin Wang and Xueliang Du and Leizu Yin and Chen Lin and Hong Ma and Weili Ren and Huijuan Wang and Xingang Wang and Shaolin Xie and Lei Wang and Zijun Liu and Tao Wang and Zhonghua Pu and Guangxin Ding and Mengchen Zhu and Lipeng Yang and Ruoshan Guo and Zhiwei Zhang and Xiao Lin and Jie Hao and Yongyong Yang and Wenqin Sun and Fabiao Zhou and NuoZhou Xiao and Qian Cui and Xiaoqin Wang}, title = {MaPU: {A} novel mathematical computing architecture}, booktitle = {2016 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2016, Barcelona, Spain, March 12-16, 2016}, pages = {457--468}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HPCA.2016.7446086}, doi = {10.1109/HPCA.2016.7446086}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/WangDYLMRWWXWLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hucc/YangJW16, author = {Chao Yang and Bin Jiang and Lei Wang}, editor = {Qiaohong Zu and Bo Hu}, title = {Mining and Modeling the Information Propagation in an Email Communication Network}, booktitle = {Human Centered Computing - Second International Conference, {HCC} 2016, Colombo, Sri Lanka, January 7-9, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9567}, pages = {510--522}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-31854-7\_46}, doi = {10.1007/978-3-319-31854-7\_46}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hucc/YangJW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ialp/WangQWY16, author = {Lei Wang and Weiguang Qu and Houfeng Wang and Shiwen Yu}, editor = {Minghui Dong and Yuen{-}Hsien Tseng and Yanfeng Lu and Liang{-}Chih Yu and Lung{-}Hao Lee and Chung{-}Hsien Wu and Haizhou Li}, title = {A method of automatic recognition of attributive clauses in Chinese language}, booktitle = {2016 International Conference on Asian Language Processing, {IALP} 2016, Tainan, Taiwan, November 21-23, 2016}, pages = {172--175}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IALP.2016.7875961}, doi = {10.1109/IALP.2016.7875961}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/ialp/WangQWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/YanW16, author = {Jianxin Yan and Lei Wang}, title = {Low bit rate audio coder based on {DRA}}, booktitle = {2016 {IEEE} International Conference on Digital Signal Processing, {DSP} 2016, Beijing, China, October 16-18, 2016}, pages = {262--265}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICDSP.2016.7868558}, doi = {10.1109/ICDSP.2016.7868558}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/YanW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinfa/YuanYZBW16, author = {Chan Yuan and Yan Yan and Lin Zhou and Jingwen Bai and Lei Wang}, title = {Automated atrial fibrillation detection based on deep learning network}, booktitle = {{IEEE} International Conference on Information and Automation, {ICIA} 2016, Ningbo, China, August 1-3, 2016}, pages = {1159--1164}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICInfA.2016.7831994}, doi = {10.1109/ICINFA.2016.7831994}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icinfa/YuanYZBW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/WangZZS16, author = {Lei Wang and Zining Zhen and Xiaolin Zhang and Makoto Sato}, title = {Adaptive camera control method for efficient stereoscopic photography}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2016, Taipei, Taiwan, March 14-17, 2016}, pages = {726--731}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIT.2016.7474840}, doi = {10.1109/ICIT.2016.7474840}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/WangZZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icycsee/WangZLG16, author = {Lei Wang and Guoyin Zhang and Chen Liu and Wei Gao}, editor = {Wanxiang Che and Qilong Han and Hongzhi Wang and Weipeng Jing and Shaoliang Peng and Junyu Lin and Guanglu Sun and Xianhua Song and Hongtao Song and Zeguang Lu}, title = {Image Segmentation: {A} Novel Cluster Ensemble Algorithm}, booktitle = {Social Computing - Second International Conference of Young Computer Scientists, Engineers and Educators, {ICYCSEE} 2016, Harbin, China, August 20-22, 2016, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {623}, pages = {410--417}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-2053-7\_36}, doi = {10.1007/978-981-10-2053-7\_36}, timestamp = {Thu, 15 Feb 2024 16:58:31 +0100}, biburl = {https://dblp.org/rec/conf/icycsee/WangZLG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/JiangXLW16, author = {Maofei Jiang and Ke Xu and Yalong Liu and Lei Wang}, title = {Estimating the sea state bias of {HY-2A} radar altimeter by using a three-dimentional nonparametric model}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {396--399}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729097}, doi = {10.1109/IGARSS.2016.7729097}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/JiangXLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/GengTTWZYYWLF16, author = {Jun Geng and Lili Tu and Qingjiu Tian and Xiaofei Wang and Xiaobo Zhu and Yanjun Yang and Ranran Yang and Lei Wang and Chunguang Lv and Yuchen Fang}, title = {Influence of branch architectures on gap fraction and clumping index of canopies}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {1311--1314}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729333}, doi = {10.1109/IGARSS.2016.7729333}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/GengTTWZYYWLF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiLZWY16, author = {Liang Li and Xue Li and Yun Zhang and Lei Wang and Guowei Ying}, title = {Change detection for high-resolution remote sensing imagery using object-oriented change vector analysis method}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {2873--2876}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729742}, doi = {10.1109/IGARSS.2016.7729742}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiLZWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YangZTWWZ16, author = {Yanjun Yang and Yulin Zhan and Qingjiu Tian and Lei Wang and Peiyan Wang and Wenmin Zhang}, title = {Winter wheat extraction using curvilinear integral of {GF-1} {NDVI} time series}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {3174--3177}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729821}, doi = {10.1109/IGARSS.2016.7729821}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YangZTWWZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangXSYLY16, author = {Lei Wang and Ke Xu and Lingwei Shi and Shuangbao Yang and Peng Liu and Xiufen Yu}, title = {A sub-look alignment method for {SAR} Altimeter}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {4565--4568}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7730191}, doi = {10.1109/IGARSS.2016.7730191}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangXSYLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangYHL16, author = {Lei Wang and Guiyang Yu and Yunhua Hu and Liang Li}, title = {Evaluation of geo-ecological environment bearing capacity along Dujiangyan-Wenchuan highway}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {6059--6062}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7730583}, doi = {10.1109/IGARSS.2016.7730583}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangYHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/GuWWFYL16, author = {Xiaohe Gu and Lei Wang and Lizhi Wang and Youbo Fan and Hao Yang and Huiling Long}, title = {Monitoring available phosphorus content in soil of cultivated land based on hyperspectral technology}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {6393--6396}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7730671}, doi = {10.1109/IGARSS.2016.7730671}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/GuWWFYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscid/LiuOGW16, author = {Ying Liu and Dantong Ouyang and Wenxiang Gu and Lei Wang}, title = {A Discrete Artificial Bee Colony Algorithm for Permutation Flow Shop Scheduling}, booktitle = {9th International Symposium on Computational Intelligence and Design, {ISCID} 2016, Hangzhou, China, December 10-11, 2016, Volume 2}, pages = {161--164}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCID.2016.2046}, doi = {10.1109/ISCID.2016.2046}, timestamp = {Mon, 23 Aug 2021 08:34:25 +0200}, biburl = {https://dblp.org/rec/conf/iscid/LiuOGW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwaenc/WangZ16, author = {Lei Wang and Xiangyang Zeng}, title = {New method for synthesizing personalized head-related transfer function}, booktitle = {{IEEE} International Workshop on Acoustic Signal Enhancement, {IWAENC} 2016, Xi'an, China, September 13-16, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IWAENC.2016.7602913}, doi = {10.1109/IWAENC.2016.7602913}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwaenc/WangZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/WangFJLZ16, author = {Lei Wang and Jianjiang Feng and Cheng Jin and Jiwen Lu and Jie Zhou}, editor = {Tommaso Mansi and Kristin McLeod and Mihaela Pop and Kawal S. Rhode and Maxime Sermesant and Alistair A. Young}, title = {Left Atrial Appendage Segmentation Based on Ranking 2-D Segmentation Proposals}, booktitle = {Statistical Atlases and Computational Models of the Heart. Imaging and Modelling Challenges - 7th International Workshop, {STACOM} 2016, Held in Conjunction with {MICCAI} 2016, Athens, Greece, October 17, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10124}, pages = {21--29}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-52718-5\_3}, doi = {10.1007/978-3-319-52718-5\_3}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/WangFJLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LiangWWX16, author = {Hongliang Liang and Lei Wang and Dongyang Wu and Jiuyun Xu}, editor = {Yihai Chen}, title = {{MLSA:} {A} static bugs analysis tool based on {LLVM} {IR}}, booktitle = {17th {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2016, Shanghai, China, May 30 - June 1, 2016}, pages = {407--412}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/SNPD.2016.7515932}, doi = {10.1109/SNPD.2016.7515932}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/LiangWWX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/WangW16, author = {Jiequn Wang and Lei Wang}, title = {A Novel Solution of Data Analysis in Modern Sports Education}, booktitle = {2016 {IEEE} Trustcom/BigDataSE/ISPA, Tianjin, China, August 23-26, 2016}, pages = {2269--2272}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TrustCom.2016.0350}, doi = {10.1109/TRUSTCOM.2016.0350}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/WangW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WangZ16, author = {Lei Wang and Yongun Zhang}, title = {LiDAR Ground Filtering Algorithm for Urban Areas Using Scan Line Based Segmentation}, journal = {CoRR}, volume = {abs/1603.00912}, year = {2016}, url = {http://arxiv.org/abs/1603.00912}, eprinttype = {arXiv}, eprint = {1603.00912}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WangZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc7771, author = {Andrew G. Malis and Loa Andersson and Huub van Helvoort and Jongyoon Shin and Lei Wang and Alessandro D'Alessandro}, title = {Switching Provider Edge {(S-PE)} Protection for {MPLS} and {MPLS} Transport Profile {(MPLS-TP)} Static Multi-Segment Pseudowires}, journal = {{RFC}}, volume = {7771}, pages = {1--9}, year = {2016}, url = {https://doi.org/10.17487/RFC7771}, doi = {10.17487/RFC7771}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rfc/rfc7771.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/HeWS15, author = {Defeng He and Lei Wang and Jing Sun}, title = {On stability of multiobjective {NMPC} with objective prioritization}, journal = {Autom.}, volume = {57}, pages = {189--198}, year = {2015}, url = {https://doi.org/10.1016/j.automatica.2015.04.024}, doi = {10.1016/J.AUTOMATICA.2015.04.024}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/HeWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/GuoXWMMTS15, author = {Miaomiao Guo and Guizhi Xu and Lei Wang and Matthew Masters and Griffin Milsap and Nitish V. Thakor and Alcimar Soares}, title = {The anterior contralateral response improves performance in a single trial auditory oddball {BMI}}, journal = {Biomed. Signal Process. Control.}, volume = {22}, pages = {74--84}, year = {2015}, url = {https://doi.org/10.1016/j.bspc.2015.06.014}, doi = {10.1016/J.BSPC.2015.06.014}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/GuoXWMMTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/PengLHW15, author = {Wei Peng and Detang Lu and Tao Huang and Lei Wang}, title = {Hexahedral mesh smoothing via local element regularization and global mesh optimization}, journal = {Comput. Aided Des.}, volume = {59}, pages = {85--97}, year = {2015}, url = {https://doi.org/10.1016/j.cad.2014.09.003}, doi = {10.1016/J.CAD.2014.09.003}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/PengLHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/DeyFWW15, author = {Tamal K. Dey and Bo Fu and Huamin Wang and Lei Wang}, title = {Automatic posing of a meshed human model using point clouds}, journal = {Comput. Graph.}, volume = {46}, pages = {14--24}, year = {2015}, url = {https://doi.org/10.1016/j.cag.2014.09.018}, doi = {10.1016/J.CAG.2014.09.018}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/DeyFWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/WangPLL15, author = {Lei Wang and Xiaolong Pu and Yan Li and Yukun Liu}, title = {Sequential Two-stage D-optimality Sensitivity Test for Binary Response Data}, journal = {Commun. Stat. Simul. Comput.}, volume = {44}, number = {7}, pages = {1833--1849}, year = {2015}, url = {https://doi.org/10.1080/03610918.2013.834450}, doi = {10.1080/03610918.2013.834450}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssc/WangPLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LiXZZXWWP15, author = {Guan{-}Ming Li and Xing{-}Heng Xia and Li{-}Rong Zhang and Lei Zhou and Miao Xu and Wei{-}Jing Wu and Lei Wang and Jun{-}Biao Peng}, title = {Design of high speed gate driver employing {IZO} TFTs}, journal = {Displays}, volume = {39}, pages = {93--99}, year = {2015}, url = {https://doi.org/10.1016/j.displa.2015.09.002}, doi = {10.1016/J.DISPLA.2015.09.002}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/displays/LiXZZXWWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/WangGSP15, author = {Lei Wang and Ram D. Gopal and Ramesh Shankar and Joseph Pancras}, title = {On the brink: Predicting business failure with mobile location-based checkins}, journal = {Decis. Support Syst.}, volume = {76}, pages = {3--13}, year = {2015}, url = {https://doi.org/10.1016/j.dss.2015.04.010}, doi = {10.1016/J.DSS.2015.04.010}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/WangGSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/WangRKXFC15, author = {Lei Wang and Zongming Ren and Hungsoo Kim and Chunlei Xia and Rongshu Fu and Tae{-}Soo Chon}, title = {Characterizing response behavior of medaka (Oryzias latipes) under chemical stress based on self-organizing map and filtering by integration}, journal = {Ecol. Informatics}, volume = {29}, pages = {107--118}, year = {2015}, url = {https://doi.org/10.1016/j.ecoinf.2014.11.008}, doi = {10.1016/J.ECOINF.2014.11.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/WangRKXFC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eetcogcom/WuW15, author = {Zhuo Wu and Lei Wang}, title = {Cross-Layer Design for Two-Way Relaying Networks with Multiple Antennas}, journal = {{EAI} Endorsed Trans. Cogn. Commun.}, volume = {1}, number = {3}, pages = {e4}, year = {2015}, url = {https://doi.org/10.4108/icst.mobimedia.2015.259040}, doi = {10.4108/ICST.MOBIMEDIA.2015.259040}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eetcogcom/WuW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ZhaoWY15, author = {Liye Zhao and Lei Wang and Ruqiang Yan}, title = {Rolling Bearing Fault Diagnosis Based on Wavelet Packet Decomposition and Multi-Scale Permutation Entropy}, journal = {Entropy}, volume = {17}, number = {9}, pages = {6447--6461}, year = {2015}, url = {https://doi.org/10.3390/e17096447}, doi = {10.3390/E17096447}, timestamp = {Thu, 24 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/ZhaoWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/evs/ZhangWL15, author = {Zhuhong Zhang and Lei Wang and Fei Long}, title = {Immune optimization approach solving multi-objective chance-constrained programming}, journal = {Evol. Syst.}, volume = {6}, number = {1}, pages = {41--53}, year = {2015}, url = {https://doi.org/10.1007/s12530-013-9101-x}, doi = {10.1007/S12530-013-9101-X}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/evs/ZhangWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/ZengWOBWL15, author = {Ke Zeng and Yinghua Wang and Gaoxiang Ouyang and Zhijie Bian and Lei Wang and Xiaoli Li}, title = {Complex network analysis of resting state {EEG} in amnestic mild cognitive impairment patients with type 2 diabetes}, journal = {Frontiers Comput. Neurosci.}, volume = {9}, pages = {133}, year = {2015}, url = {https://doi.org/10.3389/fncom.2015.00133}, doi = {10.3389/FNCOM.2015.00133}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/ZengWOBWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NingCW15, author = {Xi Ning and Shuming Chen and Lei Wang}, title = {Propagation-constant matching based broadband permittivity extraction from S-parameter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20150463}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150463}, doi = {10.1587/ELEX.12.20150463}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NingCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/WangC15, author = {Lei Wang and Hsiao{-}Dong Chiang}, title = {Toward Online Control of Local Bifurcation in Power Systems via Network Topology Optimization}, journal = {Int. J. Bifurc. Chaos}, volume = {25}, number = {12}, pages = {1550167:1--1550167:17}, year = {2015}, url = {https://doi.org/10.1142/S0218127415501679}, doi = {10.1142/S0218127415501679}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/WangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/WuWZW15, author = {Huisi Wu and Lei Wang and Feng Zhang and Zhenkun Wen}, title = {Automatic Leaf Recognition from a Big Hierarchical Image Database}, journal = {Int. J. Intell. Syst.}, volume = {30}, number = {8}, pages = {871--886}, year = {2015}, url = {https://doi.org/10.1002/int.21729}, doi = {10.1002/INT.21729}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/WuWZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijom/GrinbergBJW15, author = {Irina Grinberg and Sanjib Bhuyan and Yanhong Jin and Lei Wang}, title = {Examining the Awareness and Persuasive Effects of Online {WOM}}, journal = {Int. J. Online Mark.}, volume = {5}, number = {1}, pages = {1--19}, year = {2015}, url = {https://doi.org/10.4018/IJOM.2015010101}, doi = {10.4018/IJOM.2015010101}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijom/GrinbergBJW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WenYGW15, author = {Tiexiang Wen and Feng Yang and Jia Gu and Lei Wang}, title = {A novel Bayesian-based nonlocal reconstruction method for freehand 3D ultrasound imaging}, journal = {Neurocomputing}, volume = {168}, pages = {104--118}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2015.06.009}, doi = {10.1016/J.NEUCOM.2015.06.009}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/WenYGW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/WangHWZ15, author = {Lei Wang and Hexiao Huang and Bing Wu and Rener Zheng}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{20027}}{\unicode{39064}}{\unicode{19982}}{\unicode{19977}}{\unicode{25903}}{\unicode{20915}}{\unicode{31574}}{\unicode{30340}}{\unicode{25991}}{\unicode{26412}}{\unicode{24773}}{\unicode{24863}}{\unicode{20998}}{\unicode{26512}} (Emotion Analysis of Text Based on Topics and Three-way Decisions)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {42}, number = {6}, pages = {93--96}, year = {2015}, url = {https://doi.org/10.11896/j.issn.1002-137X.2015.06.021}, doi = {10.11896/J.ISSN.1002-137X.2015.06.021}, timestamp = {Mon, 27 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsjkx/WangHWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/QinWLG15, author = {Tao Qin and Lei Wang and Zhaoli Liu and Xiaohong Guan}, title = {Robust application identification methods for {P2P} and VoIP traffic classification in backbone networks}, journal = {Knowl. Based Syst.}, volume = {82}, pages = {152--162}, year = {2015}, url = {https://doi.org/10.1016/j.knosys.2015.03.002}, doi = {10.1016/J.KNOSYS.2015.03.002}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/QinWLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangYTYZSM15, author = {Lei Wang and Ranran Yang and Qingjiu Tian and Yanjun Yang and Yang Zhou and Yuan Sun and Xiaofei Mi}, title = {Comparative Analysis of {GF-1} WFV, {ZY-3} MUX, and {HJ-1} {CCD} Sensor Data for Grassland Monitoring Applications}, journal = {Remote. Sens.}, volume = {7}, number = {2}, pages = {2089--2108}, year = {2015}, url = {https://doi.org/10.3390/rs70202089}, doi = {10.3390/RS70202089}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangYTYZSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangWLYY15, author = {Yong Wang and Lei Wang and Hong Li and Yuanyuan Yang and Taoli Yang}, title = {Assessment of Snow Status Changes Using {L-HH} Temporal-Coherence Components at Mt. Dagu, China}, journal = {Remote. Sens.}, volume = {7}, number = {9}, pages = {11602--11620}, year = {2015}, url = {https://doi.org/10.3390/rs70911602}, doi = {10.3390/RS70911602}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/WangWLYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/WangTG15, author = {Lei Wang and Bart Thijs and Wolfgang Gl{\"{a}}nzel}, title = {Characteristics of international collaboration in sport sciences publications and its influence on citation impact}, journal = {Scientometrics}, volume = {105}, number = {2}, pages = {843--862}, year = {2015}, url = {https://doi.org/10.1007/s11192-015-1735-y}, doi = {10.1007/S11192-015-1735-Y}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/WangTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangWLF15, author = {Qian Zhang and Lei Wang and Zengjun Liu and Peide Feng}, title = {An Accurate Calibration Method Based on Velocity in a Rotational Inertial Navigation System}, journal = {Sensors}, volume = {15}, number = {8}, pages = {18443--18458}, year = {2015}, url = {https://doi.org/10.3390/s150818443}, doi = {10.3390/S150818443}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhangWLF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhaoWT15, author = {Bo Zhao and Lei Wang and Jiubin Tan}, title = {Design and Realization of a Three Degrees of Freedom Displacement Measurement System Composed of Hall Sensors Based on Magnetic Field Fitting by an Elliptic Function}, journal = {Sensors}, volume = {15}, number = {9}, pages = {22530--22546}, year = {2015}, url = {https://doi.org/10.3390/s150922530}, doi = {10.3390/S150922530}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhaoWT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiWLGS15, author = {Kui Li and Lei Wang and Yanhong Lv and Pengyu Gao and Tianxiao Song}, title = {Research on the Rapid and Accurate Positioning and Orientation Approach for Land Missile-Launching Vehicle}, journal = {Sensors}, volume = {15}, number = {10}, pages = {26606--26620}, year = {2015}, url = {https://doi.org/10.3390/s151026606}, doi = {10.3390/S151026606}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiWLGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/XuXWLW15, author = {Xi{-}Yu Xu and Ke Xu and Zhen{-}Zhan Wang and He{-}Guang Liu and Lei Wang}, title = {Compensating the {PTR} and {LPF} Features of the {HY-2A} Satellite Altimeter Utilizing Look-Up Tables}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {8}, number = {1}, pages = {149--159}, year = {2015}, url = {https://doi.org/10.1109/JSTARS.2014.2364026}, doi = {10.1109/JSTARS.2014.2364026}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/XuXWLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WangPSTAI15, author = {Lei Wang and Peder C. Pedersen and Diane M. Strong and Bengisu Tulu and Emmanuel Agu and Ronald Ignotz}, title = {Smartphone-Based Wound Assessment System for Patients With Diabetes}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {62}, number = {2}, pages = {477--488}, year = {2015}, url = {https://doi.org/10.1109/TBME.2014.2358632}, doi = {10.1109/TBME.2014.2358632}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/WangPSTAI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/WangLCTJW15, author = {Lei Wang and Daniel H. Liang and Andrew F. Crossland and Phillip C. Taylor and Darren Jones and Neal S. Wade}, title = {Coordination of Multiple Energy Storage Units in a Low-Voltage Distribution Network}, journal = {{IEEE} Trans. Smart Grid}, volume = {6}, number = {6}, pages = {2906--2918}, year = {2015}, url = {https://doi.org/10.1109/TSG.2015.2452579}, doi = {10.1109/TSG.2015.2452579}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/WangLCTJW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/WangL15, author = {Lei Wang and Tuo Li}, editor = {Yulei Wu and Geyong Min and Nektarios Georgalas and Jia Hu and Luigi Atzori and Xiaolong Jin and Stephen A. Jarvis and Lei (Chris) Liu and Ram{\'{o}}n Ag{\"{u}}ero Calvo}, title = {Virtual Resource Allocation and Composition for Data Stream Processing of Online Auditing in Cloud Computing}, booktitle = {15th {IEEE} International Conference on Computer and Information Technology, {CIT} 2015; 14th {IEEE} International Conference on Ubiquitous Computing and Communications, {IUCC} 2015; 13th {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2015; 13th {IEEE} International Conference on Pervasive Intelligence and Computing, PICom 2015, Liverpool, United Kingdom, October 26-28, 2015}, pages = {806--813}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CIT/IUCC/DASC/PICOM.2015.119}, doi = {10.1109/CIT/IUCC/DASC/PICOM.2015.119}, timestamp = {Thu, 22 Oct 2020 17:33:50 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/WangL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/ZhuCWZ15, author = {Yixian Zhu and Xianghong Cheng and Lei Wang and Ling Zhou}, title = {An intelligent fault-tolerant strategy for {AUV} integrated navigation systems}, booktitle = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2015, Busan, South Korea, July 7-11, 2015}, pages = {269--274}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/AIM.2015.7222543}, doi = {10.1109/AIM.2015.7222543}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/ZhuCWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/FanWC15, author = {Jialu Fan and Lei Wang and Tianyou Chai}, title = {Wireless network based operational optimization and control for a class of industrial processes}, booktitle = {10th Asian Control Conference, {ASCC} 2015, Kota Kinabalu, Malaysia, May 31 - June 3, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASCC.2015.7244855}, doi = {10.1109/ASCC.2015.7244855}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ascc/FanWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccbr/WangZY15, author = {Lei Wang and Baochang Zhang and Wankou Yang}, editor = {Jinfeng Yang and Jucheng Yang and Zhenan Sun and Shiguang Shan and Wei{-}Shi Zheng and Jianjiang Feng}, title = {Boosting-Like Deep Convolutional Network for Pedestrian Detection}, booktitle = {Biometric Recognition - 10th Chinese Conference, {CCBR} 2015, Tianjin, China, November 13-15, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9428}, pages = {581--588}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-25417-3\_68}, doi = {10.1007/978-3-319-25417-3\_68}, timestamp = {Thu, 12 Jan 2023 09:26:21 +0100}, biburl = {https://dblp.org/rec/conf/ccbr/WangZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/FangZWJ15, author = {Zhaoxi Fang and Liyuan Zheng and Lei Wang and Liping Jin}, title = {A frequency domain differential modulation scheme for asynchronous amplify-and-forward relay networks}, booktitle = {{IEEE} China Summit and International Conference on Signal and Information Processing, ChinaSIP 2015, Chengdu, China, July 12-15, 2015}, pages = {977--981}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ChinaSIP.2015.7230550}, doi = {10.1109/CHINASIP.2015.7230550}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/chinasip/FangZWJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/civemsa/ZhangCSCW15, author = {Jiahong Zhang and Fushen Chen and Bao Sun and Kaixin Chen and Lei Wang}, title = {A three-axis optical electric field sensor for high-altitude nuclear electromagnetic pulse {(HNEMP)} measurement}, booktitle = {{IEEE} International Conference on Computational Intelligence and Virtual Environments for Measurement Systems and Applications, {CIVEMSA} 2015, Shenzhen, China, June 12-14, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CIVEMSA.2015.7158621}, doi = {10.1109/CIVEMSA.2015.7158621}, timestamp = {Wed, 24 Aug 2022 11:48:37 +0200}, biburl = {https://dblp.org/rec/conf/civemsa/ZhangCSCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/WangYWQW15, author = {Lei Wang and Shiwen Yu and Zhimin Wang and Weiguang Qu and Houfeng Wang}, editor = {Qin Lu and Helena Hong Gao}, title = {Emotional Classification of Chinese Idioms Based on Chinese Idiom Knowledge Base}, booktitle = {Chinese Lexical Semantics - 16th Workshop, {CLSW} 2015, Beijing, China, May 9-11, 2015, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9332}, pages = {197--203}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27194-1\_20}, doi = {10.1007/978-3-319-27194-1\_20}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/clsw/WangYWQW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WangCAWS15, author = {Lei Wang and Pierre J. M. Cluitmans and Johan B. A. M. Arends and Yan Wu and Andrei V. Sazonov}, title = {Epileptic seizure detection on patients with mental retardation based on {EEG} features: {A} pilot study}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {578--581}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318428}, doi = {10.1109/EMBC.2015.7318428}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/WangCAWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/JiangWYL15, author = {Bin Jiang and Lei Wang and Chao Yang and Renfa Li}, title = {Mining multiplex power-law distributions and retweeting patterns on twitter}, booktitle = {12th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2015, Zhangjiajie, China, August 15-17, 2015}, pages = {1437--1443}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FSKD.2015.7382155}, doi = {10.1109/FSKD.2015.7382155}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fskd/JiangWYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangWGXW15, author = {Zhenfa Wang and Lei Wang and Xiangyu Gao and Ying Xia and Song Wang}, title = {An Architecture of Content-Centric Networking over Protocol-Oblivious Forwarding}, booktitle = {2015 {IEEE} Globecom Workshops, San Diego, CA, USA, December 6-10, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOMW.2015.7414105}, doi = {10.1109/GLOCOMW.2015.7414105}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WangWGXW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/LiWSWH15, author = {Qian Li and Weiguo Wu and Zeyu Sun and Lei Wang and Jianhang Huang}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {Economy-Oriented Deadline Scheduling Policy for Render System Using IaaS Cloud}, booktitle = {Algorithms and Architectures for Parallel Processing - 15th International Conference, {ICA3PP} 2015, Zhangjiajie, China, November 18-20, 2015. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {9530}, pages = {68--78}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27137-8\_6}, doi = {10.1007/978-3-319-27137-8\_6}, timestamp = {Sat, 06 Aug 2022 22:05:44 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/LiWSWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/LiuWWGZ15, author = {Xiaolong Liu and Lei Wang and Zhenfa Wang and Xiangyu Gao and Ming Zhu}, title = {An {HD} {IPTV} system based on scalable video coding}, booktitle = {2015 {IEEE} International Conference on Digital Signal Processing, {DSP} 2015, Singapore, July 21-24, 2015}, pages = {991--995}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICDSP.2015.7252026}, doi = {10.1109/ICDSP.2015.7252026}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/LiuWWGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinfa/WangZGLWY15, author = {Lei Wang and Lei Zhang and Liang Guo and Jingqiang Li and Xiaoyan Wen and Junyan Yi}, title = {A system of robot mobile manipulation based on teleoperation with human motion capture}, booktitle = {{IEEE} International Conference on Information and Automation, {ICIA} 2015, Lijiang, China, August 8-10, 2015}, pages = {1503--1508}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICInfA.2015.7279523}, doi = {10.1109/ICINFA.2015.7279523}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icinfa/WangZGLWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphs/SloosLW15, author = {Marjoleine Sloos and Jie Liang and Lei Wang}, editor = {Maria Wolters and Judy Livingstone and Bernie Beattie and Rachel Smith and Mike MacMahon and Jane Stuart{-}Smith and James M. Scobbie}, title = {Music perception influences plosive perception in Wu dialects}, booktitle = {18th International Congress of Phonetic Sciences, ICPhS 2015, Glasgow, UK, August 10-14, 2015}, publisher = {University of Glasgow}, year = {2015}, url = {https://www.internationalphoneticassociation.org/icphs-proceedings/ICPhS2015/Papers/ICPHS0777.pdf}, timestamp = {Thu, 12 Mar 2020 12:19:12 +0100}, biburl = {https://dblp.org/rec/conf/icphs/SloosLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/LiWX15, author = {Qiyi Li and Lei Wang and Jingjing Xu}, title = {Production data analytics for production scheduling}, booktitle = {2015 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2015, Singapore, December 6-9, 2015}, pages = {1203--1207}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IEEM.2015.7385838}, doi = {10.1109/IEEM.2015.7385838}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieem/LiWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/FengWZR15, author = {Wenjie Feng and Lei Wang and Jia Zhao and Huaijun Ruan}, editor = {Daoliang Li and Zhenbo Li}, title = {Research on Agricultural Development Based on "Internet +"}, booktitle = {Computer and Computing Technologies in Agriculture {IX} - 9th {IFIP} {WG} 5.14 International Conference, {CCTA} 2015, Beijing, China, September 27-30, 2015, Revised Selected Papers, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {479}, pages = {563--569}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-48354-2\_58}, doi = {10.1007/978-3-319-48354-2\_58}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/FengWZR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/ZhengWW15, author = {Jiye Zheng and Fengyun Wang and Lei Wang}, editor = {Daoliang Li and Zhenbo Li}, title = {Research and Design of Wireless Sensor Middleware Based on {STM32}}, booktitle = {Computer and Computing Technologies in Agriculture {IX} - 9th {IFIP} {WG} 5.14 International Conference, {CCTA} 2015, Beijing, China, September 27-30, 2015, Revised Selected Papers, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {479}, pages = {579--585}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-48354-2\_60}, doi = {10.1007/978-3-319-48354-2\_60}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/ZhengWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiuXZWXC15, author = {Yalong Liu and Ke Xu and Youguang Zhang and Lei Wang and Xi{-}Yu Xu and Hua Chen}, title = {The latest assessment for the reprocessed {GDR} product of {HY-2A} altimeter}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {173--176}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7325727}, doi = {10.1109/IGARSS.2015.7325727}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiuXZWXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangWZY15, author = {Yong Wang and Lei Wang and Yin Zhang and Taoli Yang}, title = {Investigation of snow cover change using multi-temporal {PALSAR} InSAR data at Dagu Glacier, China}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {747--750}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7325872}, doi = {10.1109/IGARSS.2015.7325872}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangWZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/XuLSWY15, author = {Ke Xu and Peng Liu and Lingwei Shi and Lei Wang and Xiufen Yu}, title = {The altimeter precision comparison between {SAR} mode and conventional mode through airborne experiment}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {3638--3641}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7326610}, doi = {10.1109/IGARSS.2015.7326610}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/XuLSWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiki/LiWSWHZ15, author = {Qian Li and Weiguo Wu and Zeyu Sun and Lei Wang and Jianhang Huang and Xiaxin Zhou}, title = {A Novel Hierarchal Scheduling Strategy for Rendering System}, booktitle = {International Conference on Identification, Information, and Knowledge in the Internet of Things, {IIKI} 2015, Beijing, China, October 22-23, 2015}, pages = {206--209}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IIKI.2015.51}, doi = {10.1109/IIKI.2015.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiki/LiWSWHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/WangKEGWH15, author = {Shiwei Wang and Thomas Jacob Koickal and Godwin Enemali and Luiz Carlos Gouveia and Lei Wang and Alister Hamilton}, title = {Design of a silicon cochlea system with biologically faithful response}, booktitle = {2015 International Joint Conference on Neural Networks, {IJCNN} 2015, Killarney, Ireland, July 12-17, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IJCNN.2015.7280828}, doi = {10.1109/IJCNN.2015.7280828}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/WangKEGWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/WanAJWF15, author = {Peng{-}Jun Wan and Fahad Al{-}dhelaan and Sai Ji and Lei Wang and Ophir Frieder}, title = {Flow-based feasibility test of linear interference alignment with arbitrary interference topology}, booktitle = {2015 {IEEE} Conference on Computer Communications, {INFOCOM} 2015, Kowloon, Hong Kong, April 26 - May 1, 2015}, pages = {1526--1534}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INFOCOM.2015.7218531}, doi = {10.1109/INFOCOM.2015.7218531}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/WanAJWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/WanXWJF15, author = {Peng{-}Jun Wan and Boliu Xu and Lei Wang and Sai Ji and Ophir Frieder}, title = {A new paradigm for multiflow in wireless networks: Theory and applications}, booktitle = {2015 {IEEE} Conference on Computer Communications, {INFOCOM} 2015, Kowloon, Hong Kong, April 26 - May 1, 2015}, pages = {1706--1714}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INFOCOM.2015.7218551}, doi = {10.1109/INFOCOM.2015.7218551}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/WanXWJF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VuCSFHW15, author = {Hong{-}Son Vu and Kuan{-}Hung Chen and Shih{-}Feng Sun and Tien{-}Mau Fong and Che{-}Wei Hsu and Lei Wang}, title = {A 6.42 mW low-power feed-forward FxLMS {ANC} {VLSI} design for in-ear headphones}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2585--2588}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169214}, doi = {10.1109/ISCAS.2015.7169214}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/VuCSFHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iske/LiuLZW15, author = {Jianli Liu and Edwin Lughofer and Xianyi Zeng and Lei Wang}, title = {Affective Property Computation of Visual Texture}, booktitle = {10th International Conference on Intelligent Systems and Knowledge Engineering, {ISKE} 2015, Taipei, Taiwan, November 24-27, 2015}, pages = {52--57}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISKE.2015.45}, doi = {10.1109/ISKE.2015.45}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iske/LiuLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itits/WangZ15, author = {Lei Wang and Jianyou Zhao}, editor = {Valentina Emilia Balas and Lakhmi C. Jain and Xiangmo Zhao}, title = {An Evaluation of Auto Fault Diagnosis Complexity Based on Information Entropy}, booktitle = {Information Technology and Intelligent Transportation Systems - Volume 2, Proceedings of the 2015 International Conference on Information Technology and Intelligent Transportation Systems, {ITITS} 2015, held December 12-13, 2015, Xi'an, China}, series = {Advances in Intelligent Systems and Computing}, volume = {455}, pages = {91--101}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-38771-0\_9}, doi = {10.1007/978-3-319-38771-0\_9}, timestamp = {Fri, 25 Aug 2017 16:52:38 +0200}, biburl = {https://dblp.org/rec/conf/itits/WangZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itits/WangC15, author = {Lei Wang and Ying Cheng}, editor = {Valentina Emilia Balas and Lakhmi C. Jain and Xiangmo Zhao}, title = {Improved Fuzzy Evaluation for Risk of Explosives in Road Transportation Based on Information Entropy}, booktitle = {Information Technology and Intelligent Transportation Systems - Volume 1, Proceedings of the 2015 International Conference on Information Technology and Intelligent Transportation Systems, {ITITS} 2015, held December 12-13, 2015, Xi'an, China}, series = {Advances in Intelligent Systems and Computing}, volume = {454}, pages = {531--539}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-38789-5\_61}, doi = {10.1007/978-3-319-38789-5\_61}, timestamp = {Fri, 25 Aug 2017 16:49:14 +0200}, biburl = {https://dblp.org/rec/conf/itits/WangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/HelmerWKK15, author = {Thomas Helmer and Lei Wang and Klaus Kompass and Ronald Kates}, title = {Safety Performance Assessment of Assisted and Automated Driving by Virtual Experiments: Stochastic Microscopic Traffic Simulation as Knowledge Synthesis}, booktitle = {{IEEE} 18th International Conference on Intelligent Transportation Systems, {ITSC} 2015, Gran Canaria, Spain, September 15-18, 2015}, pages = {2019--2023}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ITSC.2015.327}, doi = {10.1109/ITSC.2015.327}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/HelmerWKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GaoMZHWX15, author = {Haoyuan Gao and Junhua Mao and Jie Zhou and Zhiheng Huang and Lei Wang and Wei Xu}, editor = {Corinna Cortes and Neil D. Lawrence and Daniel D. Lee and Masashi Sugiyama and Roman Garnett}, title = {Are You Talking to a Machine? Dataset and Methods for Multilingual Image Question}, booktitle = {Advances in Neural Information Processing Systems 28: Annual Conference on Neural Information Processing Systems 2015, December 7-12, 2015, Montreal, Quebec, Canada}, pages = {2296--2304}, year = {2015}, url = {https://proceedings.neurips.cc/paper/2015/hash/fb508ef074ee78a0e58c68be06d8a2eb-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/GaoMZHWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qshine/WangKW15, author = {Lei Wang and Peng{-}Hsiang Kao and Meng{-}Ting Wu}, title = {To lengthen lifespan of a clustered wireless sensor network by partial coverage strategy}, booktitle = {11th International Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness, {QSHINE} 2015, Taipei, Taiwan, August 19-20, 2015}, pages = {66--71}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7332544/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qshine/WangKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rskt/WangMZ15, author = {Lei Wang and Duoqian Miao and Cairong Zhao}, editor = {Davide Ciucci and Guoyin Wang and Sushmita Mitra and Wei{-}Zhi Wu}, title = {Chinese Emotion Recognition Based on Three-Way Decisions}, booktitle = {Rough Sets and Knowledge Technology - 10th International Conference, {RSKT} 2015, held as part of the International Joint Conference on Rough Sets, {IJCRS} 2015, Tianjin, China, November 20-23, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9436}, pages = {299--308}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-25754-9\_27}, doi = {10.1007/978-3-319-25754-9\_27}, timestamp = {Mon, 16 Mar 2020 17:44:10 +0100}, biburl = {https://dblp.org/rec/conf/rskt/WangMZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sitis/ZhangW15, author = {Lu Zhang and Lei Wang}, editor = {Kokou Y{\'{e}}tongnon and Albert Dipanda}, title = {Heart Sound Enhancement Based on Improved Spectral Subtraction}, booktitle = {11th International Conference on Signal-Image Technology {\&} Internet-Based Systems, {SITIS} 2015, Bangkok, Thailand, November 23-27, 2015}, pages = {546--553}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SITIS.2015.54}, doi = {10.1109/SITIS.2015.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sitis/ZhangW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcity/ZhengQW15, author = {Jiu{-}hu Zheng and Huanyan Qian and Lei Wang}, title = {Defense Technology of Wormhole Attacks Based on Node Connectivity}, booktitle = {2015 {IEEE} International Conference on Smart City/SocialCom/SustainCom/DataCom/SC2 2015, Chengdu, China, December 19-21, 2015}, pages = {421--425}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SmartCity.2015.107}, doi = {10.1109/SMARTCITY.2015.107}, timestamp = {Thu, 13 Apr 2023 12:52:26 +0200}, biburl = {https://dblp.org/rec/conf/smartcity/ZhengQW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/LiW15, author = {Tuo Li and Lei Wang}, title = {Key Technology of Online Auditing Data Stream Processing}, booktitle = {2015 {IEEE} 12th Intl Conf on Ubiquitous Intelligence and Computing and 2015 {IEEE} 12th Intl Conf on Autonomic and Trusted Computing and 2015 {IEEE} 15th Intl Conf on Scalable Computing and Communications and Its Associated Workshops (UIC-ATC-ScalCom), Beijing, China, August 10-14, 2015}, pages = {793--797}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/UIC-ATC-ScalCom-CBDCom-IoP.2015.156}, doi = {10.1109/UIC-ATC-SCALCOM-CBDCOM-IOP.2015.156}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/LiW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/VuCSFHW15, author = {Hong{-}Son Vu and Kuan{-}Hung Chen and Shih{-}Feng Sun and Tien{-}Mau Fong and Che{-}Wei Hsu and Lei Wang}, title = {A power-efficient circuit design of feed-forward FxLMS active noise cancellation for in-ear headphones}, booktitle = {{VLSI} Design, Automation and Test, {VLSI-DAT} 2015, Hsinchu, Taiwan, April 27-29, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSI-DAT.2015.7114524}, doi = {10.1109/VLSI-DAT.2015.7114524}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi-dat/VuCSFHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waim/WangGZJZ15, author = {Lei Wang and Ming Gao and Rong Zhang and Cheqing Jin and Aoying Zhou}, editor = {Jian Li and Yizhou Sun}, title = {Computing Probability Threshold Set Similarity on Probabilistic Sets}, booktitle = {Web-Age Information Management - 16th International Conference, {WAIM} 2015, Qingdao, China, June 8-10, 2015. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9098}, pages = {374--386}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21042-1\_30}, doi = {10.1007/978-3-319-21042-1\_30}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/waim/WangGZJZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/TangLCWCGLL15, author = {Guijin Tang and Xiaohua Liu and Changhong Chen and Lei Wang and Ziguan Cui and Zongliang Gan and Feng Liu and Suhuai Luo}, title = {Active tracking using color silhouettes for indoor surveillance}, booktitle = {International Conference on Wireless Communications {\&} Signal Processing, {WCSP} 2015, Nanjing, China, October 15-17, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WCSP.2015.7341024}, doi = {10.1109/WCSP.2015.7341024}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/TangLCWCGLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GaoMZHWX15, author = {Haoyuan Gao and Junhua Mao and Jie Zhou and Zhiheng Huang and Lei Wang and Wei Xu}, title = {Are You Talking to a Machine? Dataset and Methods for Multilingual Image Question Answering}, journal = {CoRR}, volume = {abs/1505.05612}, year = {2015}, url = {http://arxiv.org/abs/1505.05612}, eprinttype = {arXiv}, eprint = {1505.05612}, timestamp = {Wed, 02 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/GaoMZHWX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WangZ15a, author = {Lei Wang and Baochang Zhang}, title = {Boosting-like Deep Learning For Pedestrian Detection}, journal = {CoRR}, volume = {abs/1505.06800}, year = {2015}, url = {http://arxiv.org/abs/1505.06800}, eprinttype = {arXiv}, eprint = {1505.06800}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WangZ15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CuiZXZW15, author = {Yajun Cui and Yang Zhao and Kafei Xiao and Chenglong Zhang and Lei Wang}, title = {Parallel Spectral Clustering Algorithm Based on Hadoop}, journal = {CoRR}, volume = {abs/1506.00227}, year = {2015}, url = {http://arxiv.org/abs/1506.00227}, eprinttype = {arXiv}, eprint = {1506.00227}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CuiZXZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/WangXYMX14, author = {Lei Wang and Xirong Xu and Yuansheng Yang and Di Ming and Xuezhi Dong}, title = {Feedback Number of Generalized Kautz Digraphs GK(2, n)}, journal = {Ars Comb.}, volume = {116}, pages = {147--160}, year = {2014}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arscom/WangXYMX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/XiaoPCWS14, author = {Xianghui Xiao and Minfang Peng and Jaime S. Cardoso and Lei Wang and Meie Shen}, title = {Signal transmission model for the substations grounding grid}, journal = {Expert Syst. Appl.}, volume = {41}, number = {2}, pages = {616--621}, year = {2014}, url = {https://doi.org/10.1016/j.eswa.2013.07.086}, doi = {10.1016/J.ESWA.2013.07.086}, timestamp = {Wed, 17 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/XiaoPCWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/SkottkeDWH14, author = {Eva{-}Maria Skottke and G{\"{u}}nter Debus and Lei Wang and Lynn Huestegge}, title = {Carryover Effects of Highly Automated Convoy Driving on Subsequent Manual Driving Performance}, journal = {Hum. Factors}, volume = {56}, number = {7}, pages = {1272--1283}, year = {2014}, url = {https://doi.org/10.1177/0018720814524594}, doi = {10.1177/0018720814524594}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/SkottkeDWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ZhangHWZW14, author = {Xing Zhang and Keli Hu and Lei Wang and Xiaolin Zhang and Yingguan Wang}, title = {Salient Region Detection Based on Color Uniqueness and Color Spatial Distribution}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {97-D}, number = {7}, pages = {1933--1936}, year = {2014}, url = {https://doi.org/10.1587/transinf.E97.D.1933}, doi = {10.1587/TRANSINF.E97.D.1933}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ZhangHWZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YuWGWZ14, author = {Feng Yu and Lei Wang and Dan Gao and Yingguan Wang and Xiaolin Zhang}, title = {Real-Time {MAC} Protocol Based on Coding-Black-Burst in Wireless Sensor Networks}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {11}, pages = {2279--2282}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.2279}, doi = {10.1587/TRANSFUN.E97.A.2279}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YuWGWZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/WangLZQ14, author = {Lei Wang and Pei{-}Ji Liang and Pu{-}Ming Zhang and Yi{-}Hong Qiu}, title = {Adaptation-dependent Synchronization Transitions and burst Generations in electrically coupled Neural Networks}, journal = {Int. J. Neural Syst.}, volume = {24}, number = {8}, year = {2014}, url = {https://doi.org/10.1142/S0129065714500336}, doi = {10.1142/S0129065714500336}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijns/WangLZQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/WangLT14, author = {Lei Wang and Bin Li and Lianfang Tian}, title = {Multi-modal medical image fusion using the inter-scale and intra-scale dependencies between image shift-invariant shearlet coefficients}, journal = {Inf. Fusion}, volume = {19}, pages = {20--28}, year = {2014}, url = {https://doi.org/10.1016/j.inffus.2012.03.002}, doi = {10.1016/J.INFFUS.2012.03.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/WangLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/WangLT14a, author = {Lei Wang and Bin Li and Lianfang Tian}, title = {{EGGDD:} An explicit dependency model for multi-modal medical image fusion in shift-invariant shearlet transform domain}, journal = {Inf. Fusion}, volume = {19}, pages = {29--37}, year = {2014}, url = {https://doi.org/10.1016/j.inffus.2013.04.005}, doi = {10.1016/J.INFFUS.2013.04.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/WangLT14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/LiWF14, author = {Yongkun Li and Lei Wang and Yu Fei}, title = {Periodic Solutions for Shunting Inhibitory Cellular Neural Networks of Neutral Type with Time-Varying Delays in the Leakage Term on Time Scales}, journal = {J. Appl. Math.}, volume = {2014}, pages = {496396:1--496396:16}, year = {2014}, url = {https://doi.org/10.1155/2014/496396}, doi = {10.1155/2014/496396}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jam/LiWF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/AbdikeremWJG14, author = {Xamxinur Abdikerem and Lei Wang and Afang Jin and Mamtimin Geni}, title = {Numerical Modeling and Simulation of Wind Blown Sand Morphology under Complex Wind-Flow Field}, journal = {J. Appl. Math.}, volume = {2014}, pages = {590358:1--590358:10}, year = {2014}, url = {https://doi.org/10.1155/2014/590358}, doi = {10.1155/2014/590358}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/AbdikeremWJG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/LiuZCW14, author = {Qiang Liu and Xinhui Zhang and Xindu Chen and Lei Wang}, title = {The resource access authorization route problem in a collaborative manufacturing system}, journal = {J. Intell. Manuf.}, volume = {25}, number = {3}, pages = {413--425}, year = {2014}, url = {https://doi.org/10.1007/s10845-012-0690-1}, doi = {10.1007/S10845-012-0690-1}, timestamp = {Fri, 26 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/LiuZCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/WangYLL14, author = {Lei Wang and Jui{-}Yu Yang and Yu{-}Yun Lin and Wei{-}Jun Lin}, title = {Keeping Desired QoS by a Partial Coverage Algorithm for Cluster-Based Wireless Sensor Networks}, journal = {J. Networks}, volume = {9}, number = {12}, pages = {3221--3229}, year = {2014}, url = {https://doi.org/10.4304/jnw.9.12.3221-3229}, doi = {10.4304/JNW.9.12.3221-3229}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/WangYLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/LiDWFF14, author = {Min Li and Shaobo Deng and Lei Wang and Shengzhong Feng and Jianping Fan}, title = {Hierarchical clustering algorithm for categorical data using a probabilistic rough set model}, journal = {Knowl. Based Syst.}, volume = {65}, pages = {60--71}, year = {2014}, url = {https://doi.org/10.1016/j.knosys.2014.04.008}, doi = {10.1016/J.KNOSYS.2014.04.008}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/LiDWFF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ZhuQWWT14, author = {Y. Q. Zhu and H. Qian and L. F. Wang and Lei Wang and J. Y. Tang}, title = {Measurement and analysis of substrate leakage current of {RF} mems capacitive switches}, journal = {Microelectron. Reliab.}, volume = {54}, number = {1}, pages = {152--159}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2013.07.011}, doi = {10.1016/J.MICROREL.2013.07.011}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/ZhuQWWT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/JiZZW14, author = {Nannan Ji and Jiang{-}She Zhang and Chun{-}Xia Zhang and Lei Wang}, title = {Discriminative restricted Boltzmann machine for invariant pattern recognition with linear transformations}, journal = {Pattern Recognit. Lett.}, volume = {45}, pages = {172--180}, year = {2014}, url = {https://doi.org/10.1016/j.patrec.2014.03.022}, doi = {10.1016/J.PATREC.2014.03.022}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/JiZZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/JinWZLF14, author = {Wei Jin and Lei Wang and Xingbin Zeng and Zhen Liu and Randi Fu}, title = {Classification of clouds in satellite imagery using over-complete dictionary via sparse representation}, journal = {Pattern Recognit. Lett.}, volume = {49}, pages = {193--200}, year = {2014}, url = {https://doi.org/10.1016/j.patrec.2014.07.015}, doi = {10.1016/J.PATREC.2014.07.015}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/JinWZLF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/ShengOZW14, author = {Yu{-}Bo Sheng and Yang Ou{-}Yang and Lan Zhou and Lei Wang}, title = {Protecting sing-photon multi-mode {W} state from photon loss}, journal = {Quantum Inf. Process.}, volume = {13}, number = {7}, pages = {1595--1605}, year = {2014}, url = {https://doi.org/10.1007/s11128-014-0754-6}, doi = {10.1007/S11128-014-0754-6}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/ShengOZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/XuWS14, author = {Jiangtao Xu and Lei Wang and Zaifeng Shi}, title = {A switching weighted vector median filter based on edge detection}, journal = {Signal Process.}, volume = {98}, pages = {359--369}, year = {2014}, url = {https://doi.org/10.1016/j.sigpro.2013.11.035}, doi = {10.1016/J.SIGPRO.2013.11.035}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/XuWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WangLT14, author = {Lei Wang and Bin Li and Lianfang Tian}, title = {Multimodal Medical Volumetric Data Fusion Using 3-D Discrete Shearlet Transform and Global-to-Local Rule}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {61}, number = {1}, pages = {197--206}, year = {2014}, url = {https://doi.org/10.1109/TBME.2013.2279301}, doi = {10.1109/TBME.2013.2279301}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/WangLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/WangK14, author = {Lei Wang and Krishna Kant}, title = {Special Issue on Computational Sustainability}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {2}, number = {2}, pages = {119--121}, year = {2014}, url = {https://doi.org/10.1109/TETC.2014.2334251}, doi = {10.1109/TETC.2014.2334251}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/WangK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/GuoLWSWG14, author = {Xiaoqiang Guo and Zhigang Lu and Baocheng Wang and Xiaofeng Sun and Lei Wang and Josep M. Guerrero}, title = {Dynamic Phasors-Based Modeling and Stability Analysis of Droop-Controlled Inverters for Microgrid Applications}, journal = {{IEEE} Trans. Smart Grid}, volume = {5}, number = {6}, pages = {2980--2987}, year = {2014}, url = {https://doi.org/10.1109/TSG.2014.2331280}, doi = {10.1109/TSG.2014.2331280}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/GuoLWSWG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/LiuLLPWK14, author = {Xiaodong Liu and Shanshan Li and Xiangke Liao and Shaoliang Peng and Lei Wang and Zhiyin Kong}, title = {Know by a handful the whole sack: efficient sampling for top-k influential user identification in large graphs}, journal = {World Wide Web}, volume = {17}, number = {4}, pages = {627--647}, year = {2014}, url = {https://doi.org/10.1007/s11280-012-0196-y}, doi = {10.1007/S11280-012-0196-Y}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/www/LiuLLPWK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/YangWFH14, author = {Bin Yang and Lei Wang and Chenxin Fan and Min Han}, title = {New delay-dependent stability criteria for networks with time-varying delays}, booktitle = {American Control Conference, {ACC} 2014, Portland, OR, USA, June 4-6, 2014}, pages = {2881--2886}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACC.2014.6858931}, doi = {10.1109/ACC.2014.6858931}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/YangWFH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/MaoCWMRZ14, author = {Shuai Mao and Quanfu Chen and Lei Wang and Yi Ren and Minzhou Zhang}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Regularity of clinical medication in treatment of insomnia analyzed with data mining approach}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {116--119}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999339}, doi = {10.1109/BIBM.2014.6999339}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/MaoCWMRZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/LyuYLYWS14, author = {Yongqiang Lyu and Tianshu Yang and Xiaomin Luo and Chun Yu and Lei Wang and Yuanchun Shi}, editor = {Marta Bienkiewicz and Christine Verdier and Guy Plantier and Tanja Schultz and Ana L. N. Fred and Hugo Gamboa}, title = {Quantitative Analysis of Mental Effort Investment using Photoplethysmogram}, booktitle = {{HEALTHINF} 2014 - Proceedings of the International Conference on Health Informatics, ESEO, Angers, Loire Valley, France, 3-6 March, 2014}, pages = {166--171}, publisher = {SciTePress}, year = {2014}, url = {https://doi.org/10.5220/0004732001660171}, doi = {10.5220/0004732001660171}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biostec/LyuYLYWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/ZhaWSW14, author = {Ben{-}Bo Zha and Ru{-}Liang Wang and Huan{-}Long Sun and Lei Wang}, title = {A Study of the Design and Parameters Optimization of {BP} Neural Network Using Improved {GEP}}, booktitle = {Tenth International Conference on Computational Intelligence and Security, {CIS} 2014, Kunming, Yunnan, China, November 15-16, 2014}, pages = {714--719}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CIS.2014.24}, doi = {10.1109/CIS.2014.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cis/ZhaWSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/WangYWQW14, author = {Lei Wang and Shiwen Yu and Zhimin Wang and Weiguang Qu and Houfeng Wang}, editor = {Xinchun Su and Tingting He}, title = {A Study on Metaphors in Idioms Based on Chinese Idiom Knowledge Base}, booktitle = {Chinese Lexical Semantics - 15th Workshop, {CLSW} 2014, Macao, China, June 9-12, 2014, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8922}, pages = {434--440}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-14331-6\_43}, doi = {10.1007/978-3-319-14331-6\_43}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/clsw/WangYWQW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cwsn/GuoZZFW14, author = {Jun Guo and Weiwei Zhang and Cangsong Zhang and Xunli Fan and Lei Wang}, editor = {Limin Sun and Huadong Ma and Dingyi Fang and Jinping Niu and Wei Wang}, title = {Research on Anti-interference Algorithm for Indoor {RSSI} Measuring}, booktitle = {Advances in Wireless Sensor Networks - The 8th China Conference, {CWSN} 2014, Xi'an, China, October 31-November 2, 2014. Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {501}, pages = {105--113}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-46981-1\_10}, doi = {10.1007/978-3-662-46981-1\_10}, timestamp = {Fri, 02 Nov 2018 09:39:31 +0100}, biburl = {https://dblp.org/rec/conf/cwsn/GuoZZFW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/TanifujiW14, author = {Tadatoshi Tanifuji and Lei Wang}, title = {Noninvasive determination of absorption and reduced scattering coefficients of adult heads by time-resolved reflectance measurements for functional near infra-red spectroscopy}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {2849--2852}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6944217}, doi = {10.1109/EMBC.2014.6944217}, timestamp = {Mon, 10 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/TanifujiW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ChenMJWWL14, author = {Ling Chen and Hong Man and Huading Jia and Zhiyi Wang and Lei Wang and Zili Li}, title = {Subspace analysis of spectral features for speaker recognition}, booktitle = {11th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2014, Xiamen, China, August 19-21, 2014}, pages = {98--102}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FSKD.2014.6980814}, doi = {10.1109/FSKD.2014.6980814}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/fskd/ChenMJWWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ZhaoDW14, author = {Peilong Zhao and Jiwen Dong and Lei Wang}, title = {Image compression algorithm based on automatic extracted {ROI}}, booktitle = {11th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2014, Xiamen, China, August 19-21, 2014}, pages = {788--792}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FSKD.2014.6980937}, doi = {10.1109/FSKD.2014.6980937}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fskd/ZhaoDW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LuITW14, author = {Xiaofeng Lu and Takashi Izumi and Tomoaki Takahashi and Lei Wang}, title = {Moving vehicle detection based on fuzzy background subtraction}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2014, Beijing, China, July 6-11, 2014}, pages = {529--532}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FUZZ-IEEE.2014.6891578}, doi = {10.1109/FUZZ-IEEE.2014.6891578}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LuITW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/JiangWYPL14, author = {Bin Jiang and Lei Wang and Chao Yang and Shuming Peng and Renfa Li}, editor = {Dirk V. Arnold and Enrique Alba}, title = {Modeling the information propagation in an email communication network using an agent-based approach}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} '14, Vancouver, BC, Canada, July 12-16, 2014, Companion Material Proceedings}, pages = {1007--1014}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2598394.2610013}, doi = {10.1145/2598394.2610013}, timestamp = {Wed, 13 Jul 2022 16:15:15 +0200}, biburl = {https://dblp.org/rec/conf/gecco/JiangWYPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/YangPJWL14, author = {Chao Yang and Shuming Peng and Bin Jiang and Lei Wang and Renfa Li}, editor = {Dirk V. Arnold and Enrique Alba}, title = {Hyper-heuristic genetic algorithm for solving frequency assignment problem in {TD-SCDMA}}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} '14, Vancouver, BC, Canada, July 12-16, 2014, Companion Material Proceedings}, pages = {1231--1238}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2598394.2605445}, doi = {10.1145/2598394.2605445}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gecco/YangPJWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/MaDW14, author = {Xiuhua Ma and Jiwen Dong and Lei Wang}, editor = {Xian{-}He Sun and Wenyu Qu and Ivan Stojmenovic and Wanlei Zhou and Zhiyang Li and Hua Guo and Geyong Min and Tingting Yang and Yulei Wu and Lei (Chris) Liu}, title = {Image Compression Based on Time-Domain Lapped Transform and Quadtree Partition}, booktitle = {Algorithms and Architectures for Parallel Processing - 14th International Conference, {ICA3PP} 2014, Dalian, China, August 24-27, 2014. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8631}, pages = {553--559}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11194-0\_48}, doi = {10.1007/978-3-319-11194-0\_48}, timestamp = {Fri, 22 Apr 2022 17:07:03 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/MaDW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalip/WangC14, author = {Lei Wang and Zhide Chen}, title = {Optimal aggregation platform mechanism for mobile App auction}, booktitle = {International Conference on Audio, Language and Image Processing, {ICAILP} 2014, Shanghai, China, July 7-9, 2014}, pages = {578--583}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICALIP.2014.7009860}, doi = {10.1109/ICALIP.2014.7009860}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icalip/WangC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/WangZCNQ14, author = {Lei Wang and Yong Zhang and Zhide Chen and Li Ning and Cheng Qiao}, editor = {Yinsheng Li and Xiang Fei and Kuo{-}Ming Chao and Jen{-}Yao Chung}, title = {Dominant Bidding Strategy in Mobile App Advertising Auction}, booktitle = {11th {IEEE} International Conference on e-Business Engineering, {ICEBE} 2014, Guangzhou, China, November 5-7, 2014}, pages = {26--30}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICEBE.2014.17}, doi = {10.1109/ICEBE.2014.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/WangZCNQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/TangWK14, author = {Xiao Tang and Lei Wang and Rajiv Kishore}, editor = {Michael D. Myers and Detmar W. Straub}, title = {Why Do {IS} Scholars Cite Other Scholars? An Empirical Analysis of the Direct and Moderating Effects of Cooperation and Competition among {IS} Scholars on Individual Citation Behavior}, booktitle = {Proceedings of the International Conference on Information Systems - Building a Better World through Information Systems, {ICIS} 2014, Auckland, New Zealand, December 14-17, 2014}, publisher = {Association for Information Systems}, year = {2014}, url = {http://aisel.aisnet.org/icis2014/proceedings/GeneralIS/18}, timestamp = {Tue, 24 Mar 2015 08:43:46 +0100}, biburl = {https://dblp.org/rec/conf/icis/TangWK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/WangAW14, author = {Pei Wang and Chunhong An and Lei Wang}, title = {An improved algorithm for Mining Association Rule in relational database}, booktitle = {2014 International Conference on Machine Learning and Cybernetics, Lanzhou, China, July 13-16, 2014}, pages = {247--252}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICMLC.2014.7009124}, doi = {10.1109/ICMLC.2014.7009124}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/WangAW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmv/LiDLW14, author = {Hengjian Li and Jiwen Dong and Jinping Li and Lei Wang}, editor = {Antanas Verikas and Branislav Vuksanovic and Petia Radeva and Jianhong Zhou}, title = {Novel palmprint representations for palmprint recognition}, booktitle = {Seventh International Conference on Machine Vision, {ICMV} 2014, Milan, Italy, 19-21 November 2014}, series = {{SPIE} Proceedings}, volume = {9445}, pages = {944505}, publisher = {{SPIE}}, year = {2014}, url = {https://doi.org/10.1117/12.2181151}, doi = {10.1117/12.2181151}, timestamp = {Fri, 16 Mar 2018 07:45:53 +0100}, biburl = {https://dblp.org/rec/conf/icmv/LiDLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/GuoZFW14, author = {Jun Guo and Weiwei Zhang and Xunli Fan and Lei Wang}, title = {Petri nets based design for reconfigurable computing systems}, booktitle = {10th International Conference on Natural Computation, {ICNC} 2014, Xiamen, China, August 19-21, 2014}, pages = {1094--1098}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICNC.2014.6975993}, doi = {10.1109/ICNC.2014.6975993}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icnc/GuoZFW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MaWZWLS14, author = {Lei Ma and Dangxiao Wang and Yuru Zhang and Lei Wang and Pei{-}jun Lv and Yuchun Sun}, title = {3D path planning of a laser manipulation robotic system for tooth preparing}, booktitle = {2014 {IEEE} International Conference on Robotics and Automation, {ICRA} 2014, Hong Kong, China, May 31 - June 7, 2014}, pages = {1922--1928}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICRA.2014.6907113}, doi = {10.1109/ICRA.2014.6907113}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/MaWZWLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/WanWWWJ14, author = {Peng{-}Jun Wan and Zhu Wang and Lei Wang and Zhiguo Wan and Sai Ji}, title = {From least interference-cost paths to maximum (Concurrent) multiflow in {MC-MR} wireless networks}, booktitle = {2014 {IEEE} Conference on Computer Communications, {INFOCOM} 2014, Toronto, Canada, April 27 - May 2, 2014}, pages = {334--342}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/INFOCOM.2014.6847955}, doi = {10.1109/INFOCOM.2014.6847955}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/WanWWWJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/KaoWLW14, author = {Peng{-}Hsiang Kao and Xuan{-}Bo Wang and Yu{-}Yun Lin and Lei Wang}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Extending lifespan of a sensor network by partial coverage strategy}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {401--411}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-401}, doi = {10.3233/978-1-61499-484-8-401}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/KaoWLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/WuSL14, author = {Meng{-}Ting Wu and Yeah Su and Lei Wang}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {A Real-Time Image Contrast Enhancement Technique for Medical Usage}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1077--1086}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1077}, doi = {10.3233/978-1-61499-484-8-1077}, timestamp = {Wed, 12 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/WuSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/GuoQWLS14, author = {Weidong Guo and Tie Qiu and Lei Wang and Jie Liu and Chengdang Song}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {A New Energy-efficient Time Synchronization Algorithm}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1543--1550}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1543}, doi = {10.3233/978-1-61499-484-8-1543}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/GuoQWLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isctcs/LiWGWH14, author = {Qian Li and Weiguo Wu and Liang Gao and Lei Wang and Jianhang Huang}, editor = {Yueming Lu and Xu Wu and Xi Zhang}, title = {A Dynamic Load Balancing Strategy Based on Feedback for Cluster Rendering System}, booktitle = {Trustworthy Computing and Services - International Conference, {ISCTCS} 2014, Beijing, China, November 28-29, 2014, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {520}, pages = {41--47}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-47401-3\_6}, doi = {10.1007/978-3-662-47401-3\_6}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isctcs/LiWGWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/WenDWW14, author = {Zhenkun Wen and Yihua Du and Huisi Wu and Lei Wang}, title = {The research of visual attention mechanism model fuse multi-feature}, booktitle = {International Conference on Multisensor Fusion and Information Integration for Intelligent Systems, {MFI} 2014, Beijing, China, September 28-29, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MFI.2014.6997692}, doi = {10.1109/MFI.2014.6997692}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/WenDWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/WangES14, author = {Lei Wang and Eyad Elyan and Dawei Song}, editor = {Cathal Gurrin and Frank Hopfgartner and Wolfgang H{\"{u}}rst and H{\aa}vard D. Johansen and Hyowon Lee and Noel E. O'Connor}, title = {Rebuilding Visual Vocabulary via Spatial-temporal Context Similarity for Video Retrieval}, booktitle = {MultiMedia Modeling - 20th Anniversary International Conference, {MMM} 2014, Dublin, Ireland, January 6-10, 2014, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8325}, pages = {74--85}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-04114-8\_7}, doi = {10.1007/978-3-319-04114-8\_7}, timestamp = {Wed, 25 Sep 2019 18:16:14 +0200}, biburl = {https://dblp.org/rec/conf/mmm/WangES14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HanLWH14, author = {Liuyan Han and Han Li and Lei Wang and Nan Hua}, title = {A software-defined time synchronization solution in transport networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.W2A.6}, doi = {10.1364/OFC.2014.W2A.6}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HanLWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rskt/ChengMW14, author = {Xin Cheng and Duoqian Miao and Lei Wang}, editor = {Duoqian Miao and Witold Pedrycz and Dominik Slezak and Georg Peters and Qinghua Hu and Ruizhi Wang}, title = {A Statistics-Based Semantic Relation Analysis Approach for Document Clustering}, booktitle = {Rough Sets and Knowledge Technology - 9th International Conference, {RSKT} 2014, Shanghai, China, October 24-26, 2014, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8818}, pages = {332--342}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11740-9\_31}, doi = {10.1007/978-3-319-11740-9\_31}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/rskt/ChengMW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/DeyW13, author = {Tamal K. Dey and Lei Wang}, title = {Voronoi-based feature curves extraction for sampled singular surfaces}, journal = {Comput. Graph.}, volume = {37}, number = {6}, pages = {659--668}, year = {2013}, url = {https://doi.org/10.1016/j.cag.2013.05.014}, doi = {10.1016/J.CAG.2013.05.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cg/DeyW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/WangXZ13, author = {Lei Wang and Dazhuan Xu and Xiaofei Zhang}, title = {A simplified bit metric calculation method for high-order {PSK}}, journal = {Sci. China Inf. Sci.}, volume = {56}, number = {7}, pages = {1--9}, year = {2013}, url = {https://doi.org/10.1007/s11432-011-4469-3}, doi = {10.1007/S11432-011-4469-3}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/WangXZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/WangYDZ13, author = {Lei Wang and Wanfang Ye and Ming Duan and Yanci Zhang}, title = {Real-time rendering of flames on arbitrary deformable objects}, journal = {Sci. China Inf. Sci.}, volume = {56}, number = {8}, pages = {1--9}, year = {2013}, url = {https://doi.org/10.1007/s11432-013-4893-7}, doi = {10.1007/S11432-013-4893-7}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/WangYDZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/WangTZ13, author = {Lei Wang and Zhidong Teng and Tailei Zhang}, title = {Threshold dynamics of a malaria transmission model in periodic environment}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {18}, number = {5}, pages = {1288--1303}, year = {2013}, url = {https://doi.org/10.1016/j.cnsns.2012.09.007}, doi = {10.1016/J.CNSNS.2012.09.007}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/WangTZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/HuangLLW13, author = {Tao Huang and Detang Lu and Xue Li and Lei Wang}, title = {GPU-based {SNESIM} implementation for multiple-point statistical simulation}, journal = {Comput. Geosci.}, volume = {54}, pages = {75--87}, year = {2013}, url = {https://doi.org/10.1016/j.cageo.2012.11.022}, doi = {10.1016/J.CAGEO.2012.11.022}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gandc/HuangLLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/WangZYFW13, author = {Lei Wang and Xing Zhang and Feng Yu and Yaoxian Fu and Yingguan Wang}, title = {{QC-LDPC} Codes with Girth Eight Based on Independent Row-Column Mapping Sequence}, journal = {{IEEE} Commun. Lett.}, volume = {17}, number = {11}, pages = {2140--2143}, year = {2013}, url = {https://doi.org/10.1109/LCOMM.2013.092813.131581}, doi = {10.1109/LCOMM.2013.092813.131581}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/WangZYFW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaJGZW13, author = {Xiaojuan Xia and Xincun Ji and Yufeng Guo and Bing Zhu and Lei Wang}, title = {A temperature-compensated {CMOS} ring oscillator for {DC-DC} converters}, journal = {{IEICE} Electron. Express}, volume = {10}, number = {22}, pages = {20130833}, year = {2013}, url = {https://doi.org/10.1587/elex.10.20130833}, doi = {10.1587/ELEX.10.20130833}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaJGZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/WangZNX13, author = {Lei Wang and De{-}chen Zhan and Lanshun Nie and Xiaofei Xu}, title = {Schema and solutions for decentralised multi-project scheduling problem}, journal = {Int. J. Comput. Appl. Technol.}, volume = {46}, number = {2}, pages = {142--154}, year = {2013}, url = {https://doi.org/10.1504/IJCAT.2013.052295}, doi = {10.1504/IJCAT.2013.052295}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/WangZNX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/WangHLCH13, author = {Lei Wang and Pin Hu and Jin{-}gui Lu and Fengxin Chen and Qi Hua}, title = {Neural network and PSO-based structural approximation analysis for blade of wind turbine}, journal = {Int. J. Model. Identif. Control.}, volume = {18}, number = {1}, pages = {69--75}, year = {2013}, url = {https://doi.org/10.1504/IJMIC.2013.051936}, doi = {10.1504/IJMIC.2013.051936}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmic/WangHLCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/WangWW13, author = {Yulong Wang and Dong Wang and Lei Wang}, title = {A Parsing Mode based Method for Malformed {SIP} Messages Testing for {IMS} Network}, journal = {J. Networks}, volume = {8}, number = {4}, pages = {812--821}, year = {2013}, url = {https://doi.org/10.4304/jnw.8.4.812-821}, doi = {10.4304/JNW.8.4.812-821}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/WangWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/HouW13, author = {Jinkui Hou and Lei Wang}, title = {Formal Description for Component-based Architecture Model Transformation}, journal = {J. Networks}, volume = {8}, number = {4}, pages = {874--881}, year = {2013}, url = {https://doi.org/10.4304/jnw.8.4.874-881}, doi = {10.4304/JNW.8.4.874-881}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/HouW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/SunWSW13, author = {Jian Sun and Chenghua Wang and Jing Sun and Lei Wang}, title = {Analog Circuit Soft Fault Diagnosis based on {PCA} and {PSO-SVM}}, journal = {J. Networks}, volume = {8}, number = {12}, pages = {2791--2796}, year = {2013}, url = {https://doi.org/10.4304/jnw.8.12.2791-2796}, doi = {10.4304/JNW.8.12.2791-2796}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/SunWSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/WangW13b, author = {Yulong Wang and Lei Wang}, title = {A Method for Disguising Malformed {SIP} Messages to Evade {SIP} {IDS}}, journal = {J. Softw.}, volume = {8}, number = {11}, pages = {2830--2838}, year = {2013}, url = {https://doi.org/10.4304/jsw.8.11.2830-2838}, doi = {10.4304/JSW.8.11.2830-2838}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsw/WangW13b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/LiuHWZL13, author = {Haijiao Liu and Nan Hua and Lei Wang and Xiaoping Zheng and Zhigang Liu}, title = {Experimenting with bandwidth-variable routing and signaling on a large-scale {ASON} test-bed}, journal = {Photonic Netw. Commun.}, volume = {26}, number = {1}, pages = {32--41}, year = {2013}, url = {https://doi.org/10.1007/s11107-013-0407-1}, doi = {10.1007/S11107-013-0407-1}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/LiuHWZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/WangHDZ13, author = {Lei Wang and Jie{-}Hui Huang and Jonathan P. Dowling and Shi{-}Yao Zhu}, title = {Quantum information transmission}, journal = {Quantum Inf. Process.}, volume = {12}, number = {2}, pages = {899--906}, year = {2013}, url = {https://doi.org/10.1007/s11128-012-0435-2}, doi = {10.1007/S11128-012-0435-2}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/WangHDZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/ShengZWZ13, author = {Yu{-}Bo Sheng and Lan Zhou and Lei Wang and Shengmei Zhao}, title = {Efficient entanglement concentration for quantum dot and optical microcavities systems}, journal = {Quantum Inf. Process.}, volume = {12}, number = {5}, pages = {1885--1895}, year = {2013}, url = {https://doi.org/10.1007/s11128-012-0502-8}, doi = {10.1007/S11128-012-0502-8}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/ShengZWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/StrongAPTHWLPIDH13, author = {Diane M. Strong and Emmanuel Agu and Peder C. Pedersen and Bengisu Tulu and Steve (Qian) He and Lei Wang and Yejin Li and Sherry Pagoto and Ronald Ignotz and Raymond Dunn and David Harlan}, title = {Sugar: {A} Mobile Phone App for Diabetes and Diabetic Wound Management}, booktitle = {{AMIA} 2013, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2013}, publisher = {{AMIA}}, year = {2013}, url = {https://knowledge.amia.org/amia-55142-a2013e-1.580047/t-06-1.582200/f-006-1.582201/a-499-1.582488/a-502-1.582485}, timestamp = {Wed, 17 Apr 2024 11:47:55 +0200}, biburl = {https://dblp.org/rec/conf/amia/StrongAPTHWLPIDH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/YingWZHWL13, author = {Song Ying and Lei Wang and Wenyuan Zhao and Chuanrong Hou and Lingzhi Wu and Quanjun Liu}, editor = {Jean X. Gao and Dongrong Xu and Xiaoyan Sun and Yingfei Wu}, title = {Improvement of performances of solid-state nanopores by modification of gold nanoparticles}, booktitle = {6th International Conference on Biomedical Engineering and Informatics, {BMEI} 2013, Hangzhou, China, December 16-18, 2013}, pages = {235--239}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BMEI.2013.6746940}, doi = {10.1109/BMEI.2013.6746940}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/YingWZHWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/WangLQY13, author = {Lei Wang and Shujing Li and Weiguang Qu and Shiwen Yu}, editor = {Pengyuan Liu and Qi Su}, title = {Construction and Application of the Knowledge Base of Chinese Multi-word Expressions}, booktitle = {Chinese Lexical Semantics - 14th Workshop, {CLSW} 2013, Zhengzhou, China, May 10-12, 2013. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8229}, pages = {564--571}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-45185-0\_59}, doi = {10.1007/978-3-642-45185-0\_59}, timestamp = {Sat, 30 Sep 2023 09:37:20 +0200}, biburl = {https://dblp.org/rec/conf/clsw/WangLQY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iriacv/SuYWSL13, author = {Jie Su and Guisheng Yin and Lei Wang and Yongqian Sun and Zheng Liu}, editor = {Juha R{\"{o}}ning and David P. Casasent}, title = {Method and application of active visual tracking based on illumination invariants}, booktitle = {Intelligent Robots and Computer Vision {XXX:} Algorithms and Techniques, Burlingame, California, USA, February 3-7, 2013}, series = {{SPIE} Proceedings}, volume = {8662}, pages = {86620Q}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2003420}, doi = {10.1117/12.2003420}, timestamp = {Fri, 04 Aug 2023 08:46:10 +0200}, biburl = {https://dblp.org/rec/conf/ei-iriacv/SuYWSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenWCWC13, author = {Wenhao Chen and Lei Wang and Dmitriy Chenchykov and Linsen Wu and Yuhua Chen}, title = {Sub-millisecond dynamic optical path setup in {DWDM} multi-mode switching networks}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {2332--2338}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831422}, doi = {10.1109/GLOCOM.2013.6831422}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenWCWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiuZWZ13, author = {Ruian Liu and Junsheng Zhang and Lei Wang and Baoju Zhang}, title = {Application of the extraction of the image feature points by improved {SIFT} algorithm}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2013, Budapest, Hungary, June 9-13, 2013, Workshops Proceedings}, pages = {946--949}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCW.2013.6649371}, doi = {10.1109/ICCW.2013.6649371}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LiuZWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdip/ZhangYW13, author = {Yue Zhang and Cheng Yang and Lei Wang}, editor = {Yulin Wang and Xie Yi}, title = {The experiments and analysis of several selective video encryption methods}, booktitle = {Fifth International Conference on Digital Image Processing, {ICDIP} 2013, Beijing, China, April 21-22, 2013}, series = {{SPIE} Proceedings}, volume = {8878}, pages = {88781M}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2030727}, doi = {10.1117/12.2030727}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdip/ZhangYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icica/ShiCZFWG13, author = {Jia{-}chen Shi and Xiang{-}yu Chen and Yun{-}hua Zhou and Ying Fu and Lei Wang and Ge Gao}, editor = {Yuhang Yang and Maode Ma and Baoxiang Liu}, title = {Reliability and Validity Assessment of Cluster Sampling on Multinomial Sensitive Question by Monte Carlo Simulation}, booktitle = {Information Computing and Applications - 4th International Conference, {ICICA} 2013, Singapore, August 16-18, 2013, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {391}, pages = {212--221}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-53932-9\_21}, doi = {10.1007/978-3-642-53932-9\_21}, timestamp = {Tue, 10 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icica/ShiCZFWG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinfa/LiLWZWWNX13, author = {Wenhui Li and Peixun Liu and Ying Wang and Yu{-}chao Zhou and Lei Wang and Chao Wen and Hongyin Ni and Qian{-}li Xing}, title = {Co-training algorithm based on on-line boosting for vehicle tracking}, booktitle = {{IEEE} International Conference on Information and Automation, {ICIA} 2013, Yinchuan, China, August 26-28, 2013}, pages = {592--596}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICInfA.2013.6720366}, doi = {10.1109/ICINFA.2013.6720366}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinfa/LiLWZWWNX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinfa/WangZZ13, author = {Lei Wang and Ying Zhang and Rui Zhang}, title = {Robust energy-to-peak filtering for Markov systems with interval time-delay}, booktitle = {{IEEE} International Conference on Information and Automation, {ICIA} 2013, Yinchuan, China, August 26-28, 2013}, pages = {723--728}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICInfA.2013.6720389}, doi = {10.1109/ICINFA.2013.6720389}, timestamp = {Sun, 26 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icinfa/WangZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/FengLSWZ13, author = {Qian Feng and Chao Liu and Hongchan Sun and Lei Wang and Xuezeng Zheng}, editor = {Haiying Wang and Shiu Yin Yuen and Lipo Wang and Liangshan Shao and Xing Wang}, title = {Constant tension control of the electric cable plastic wrapping process based on embedded system}, booktitle = {Ninth International Conference on Natural Computation, {ICNC} 2013, Shenyang, China, July 23-25, 2013}, pages = {1733--1737}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICNC.2013.6818262}, doi = {10.1109/ICNC.2013.6818262}, timestamp = {Fri, 19 Jun 2020 11:35:41 +0200}, biburl = {https://dblp.org/rec/conf/icnc/FengLSWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/ZhuWM13, author = {Tianyu Zhu and Lei Wang and Jun Meng}, title = {The exploitation and discussion of new mobile healthcare system model based on smart phone}, booktitle = {Proceedings of 10th {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2013, Evry, France, April 10-12, 2013}, pages = {497--502}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICNSC.2013.6548789}, doi = {10.1109/ICNSC.2013.6548789}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/ZhuWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/YangRLW13, author = {Yujian Yang and Huaijun Ruan and Jingling Li and Lei Wang}, editor = {Daoliang Li and Yingyi Chen}, title = {The Comprehensive Assessment of Planting Elements Based on Analytic Hierarchy Process}, booktitle = {Computer and Computing Technologies in Agriculture {VII} - 7th {IFIP} {WG} 5.14 International Conference, {CCTA} 2013, Beijing, China, September 18-20, 2013, Revised Selected Papers, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {419}, pages = {190--196}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-54344-9\_24}, doi = {10.1007/978-3-642-54344-9\_24}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/YangRLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/WangZMDFW13, author = {Fengyun Wang and Jiye Zheng and Lin Mei and Zhaotang Ding and Wenjie Feng and Lei Wang}, editor = {Daoliang Li and Yingyi Chen}, title = {Design and Development of Intelligent Monitoring System for Plastic Tea Greenhouse}, booktitle = {Computer and Computing Technologies in Agriculture {VII} - 7th {IFIP} {WG} 5.14 International Conference, {CCTA} 2013, Beijing, China, September 18-20, 2013, Revised Selected Papers, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {419}, pages = {443--449}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-54344-9\_50}, doi = {10.1007/978-3-642-54344-9\_50}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/WangZMDFW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/XuXWW13, author = {Xi{-}Yu Xu and Ke Xu and Zhen{-}Zhan Wang and Lei Wang}, title = {Generation of the {HY-2} satellite altimeter Look-Up Table to account for the {PTR} and {LPF} features}, booktitle = {2013 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2013, Melbourne, Australia, July 21-26, 2013}, pages = {4217--4220}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IGARSS.2013.6723764}, doi = {10.1109/IGARSS.2013.6723764}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/XuXWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/WanWMWXL13, author = {Peng{-}Jun Wan and Lei Wang and Chao Ma and Zhu Wang and Boliu Xu and Minming Li}, title = {Maximizing wireless network capacity with linear power: Breaking the logarithmic barrier}, booktitle = {Proceedings of the {IEEE} {INFOCOM} 2013, Turin, Italy, April 14-19, 2013}, pages = {135--139}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/INFCOM.2013.6566750}, doi = {10.1109/INFCOM.2013.6566750}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/WanWMWXL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/WangWMZYSL13, author = {Lei Wang and Dangxiao Wang and Lei Ma and Yuru Zhang and Fusong Yuan and Yuchun Sun and Pei{-}jun Lv}, title = {Preliminary experiments of a miniature robotic system for tooth ablation using ultra-short pulsed lasers}, booktitle = {2013 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2013, Tokyo, Japan, November 3-7, 2013}, pages = {2566--2571}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IROS.2013.6696718}, doi = {10.1109/IROS.2013.6696718}, timestamp = {Tue, 05 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/iros/WangWMZYSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isctcs/WangLTW13, author = {Lei Wang and Chenglong Li and Qingfeng Tan and Xuebin Wang}, editor = {Yuyu Yuan and Xu Wu and Yueming Lu}, title = {Generation and Distribution of Decoy Document System}, booktitle = {Trustworthy Computing and Services - International Conference, {ISCTCS} 2013, Beijing, China, November 2013, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {426}, pages = {123--129}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-662-43908-1\_16}, doi = {10.1007/978-3-662-43908-1\_16}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isctcs/WangLTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/WuXW13, author = {Xiaodong Wu and Min Xu and Lei Wang}, title = {Differential speed steering control for four-wheel independent driving electric vehicle}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563667}, doi = {10.1109/ISIE.2013.6563667}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/WuXW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iukm/WangYZW13, author = {Xiaojun Wang and Chen Yang and Baochang Zhang and Lei Wang}, editor = {Zengchang Qin and Van{-}Nam Huynh}, title = {Interval Algorithm for Set-Membership Identification of {MIMO} {LTI} System}, booktitle = {Integrated Uncertainty in Knowledge Modelling and Decision Making - International Symposium, {IUKM} 2013, Beijing, China, July 12-14, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8032}, pages = {126--136}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39515-4\_11}, doi = {10.1007/978-3-642-39515-4\_11}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iukm/WangYZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WangPSTA13, author = {Lei Wang and Peder C. Pedersen and Diane M. Strong and Bengisu Tulu and Emmanuel Agu}, editor = {S{\'{e}}bastien Ourselin and David R. Haynor}, title = {Wound image analysis system for diabetics}, booktitle = {Medical Imaging 2013: Image Processing, Lake Buena Vista (Orlando Area), Florida, USA, February 10-12, 2013}, series = {{SPIE} Proceedings}, volume = {8669}, pages = {866924}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2004762}, doi = {10.1117/12.2004762}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WangPSTA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/WangXLJZY13, author = {Lei Wang and Min Xu and Bo Liu and Tianyu Jiang and Shiwu Zhang and Jie Yang}, title = {Experimental study on morphology and kinematics of mudskipper in amphibious environments}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2013, Shenzhen, China, December 12-14, 2013}, pages = {1095--1100}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ROBIO.2013.6739610}, doi = {10.1109/ROBIO.2013.6739610}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/WangXLJZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsfdgrc/YuMZW13, author = {Ying Yu and Duoqian Miao and Zhifei Zhang and Lei Wang}, editor = {Davide Ciucci and Masahiro Inuiguchi and Yiyu Yao and Dominik Slezak and Guoyin Wang}, title = {Multi-label Classification Using Rough Sets}, booktitle = {Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing - 14th International Conference, RSFDGrC 2013, Halifax, NS, Canada, October 11-14, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8170}, pages = {119--126}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41218-9\_13}, doi = {10.1007/978-3-642-41218-9\_13}, timestamp = {Mon, 16 Mar 2020 17:44:10 +0100}, biburl = {https://dblp.org/rec/conf/rsfdgrc/YuMZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/AguPSTHWL13, author = {Emmanuel Agu and Peter Pedersen and Diane M. Strong and Bengisu Tulu and Qian He and Lei Wang and Yejin Li}, title = {The smartphone as a medical device: Assessing enablers, benefits and challenges}, booktitle = {10th Annual {IEEE} International Conference on Sensing, Communications and Networking, {SECON} 2013, New Orleans, LA, USA, 24-27 June, 2013}, pages = {76--80}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SAHCN.2013.6644964}, doi = {10.1109/SAHCN.2013.6644964}, timestamp = {Fri, 30 Apr 2021 18:08:41 +0200}, biburl = {https://dblp.org/rec/conf/secon/AguPSTHWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/WangRM13, author = {Lei Wang and Fuji Ren and Duoqian Miao}, title = {A novel method for recognizing emotions of weblog sentences}, booktitle = {Proceedings of the 2013 {IEEE/SICE} International Symposium on System Integration, {SII} 2013, Kobe, Japan, December 15-17, 2013}, pages = {358--363}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SII.2013.6776631}, doi = {10.1109/SII.2013.6776631}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/sii/WangRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/WangW13a, author = {Lei Wang and Zhuo Wu}, title = {Signal detection and joint constellation modulation for two-way relaying with multiple antennas}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {3833--3837}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6555186}, doi = {10.1109/WCNC.2013.6555186}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/WangW13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/whiceb/ZhangXWCS13, author = {Shuwang Zhang and Yinzhu Xiong and Lei Wang and Gang Chao and Huanquan Shen}, title = {The Empirical Study on relationship among Ethical Institution, Corporate Social Performance and Corporate Performance}, booktitle = {12th Wuhan International Conference on E-Business, {WHICEB} 2013, Wuhan, China, May 25-26, 2013}, pages = {96}, publisher = {Association for Information Systems}, year = {2013}, url = {http://aisel.aisnet.org/whiceb2013/96}, timestamp = {Fri, 04 Jul 2014 15:27:19 +0200}, biburl = {https://dblp.org/rec/conf/whiceb/ZhangXWCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/EdwardsWS12, author = {David Edwards and Lei Wang and Peter S{\o}rensen}, title = {Network-enabled gene expression analysis}, journal = {{BMC} Bioinform.}, volume = {13}, pages = {167}, year = {2012}, url = {https://doi.org/10.1186/1471-2105-13-167}, doi = {10.1186/1471-2105-13-167}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/EdwardsWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/ZhuQLW12, author = {Wenbin Zhu and Hu Qin and Andrew Lim and Lei Wang}, title = {A two-stage tabu search algorithm with enhanced packing heuristics for the 3L-CVRP and {M3L-CVRP}}, journal = {Comput. Oper. Res.}, volume = {39}, number = {9}, pages = {2178--2195}, year = {2012}, url = {https://doi.org/10.1016/j.cor.2011.11.001}, doi = {10.1016/J.COR.2011.11.001}, timestamp = {Wed, 17 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/ZhuQLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/WangXZ12, author = {Lei Wang and Dazhuan Xu and Xiaofei Zhang}, title = {Recursive Bit Metric Generation for {PSK} Signals with Gray Labeling}, journal = {{IEEE} Commun. Lett.}, volume = {16}, number = {2}, pages = {180--182}, year = {2012}, url = {https://doi.org/10.1109/LCOMM.2011.121311.111744}, doi = {10.1109/LCOMM.2011.121311.111744}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/WangXZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/XuWLZ12, author = {Xingping Xu and Lei Wang and Guangdou Liu and Xin Zhang}, title = {Optimal design of dual derricks}, journal = {Int. J. Model. Identif. Control.}, volume = {17}, number = {1}, pages = {61--67}, year = {2012}, url = {https://doi.org/10.1504/IJMIC.2012.048640}, doi = {10.1504/IJMIC.2012.048640}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmic/XuWLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/YangWY12, author = {Xiaofan Yang and Lei Wang and Luxing Yang}, title = {Optimal broadcasting for locally twisted cubes}, journal = {Inf. Process. Lett.}, volume = {112}, number = {4}, pages = {129--134}, year = {2012}, url = {https://doi.org/10.1016/j.ipl.2011.11.003}, doi = {10.1016/J.IPL.2011.11.003}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/YangWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itm/BiSW12, author = {Xinhua Bi and Wan Su and Lei Wang}, title = {An analysis on the macroscopic growth process and stage of information systems development in Chinese enterprises}, journal = {Inf. Technol. Manag.}, volume = {13}, number = {4}, pages = {273--280}, year = {2012}, url = {https://doi.org/10.1007/s10799-012-0115-z}, doi = {10.1007/S10799-012-0115-Z}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itm/BiSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/MenWZ12, author = {Hong Men and Lei Wang and Haiping Zhang}, title = {Electronic Nose For The Vinegar Quality Evaluation By An Incremental {RBF} Network}, journal = {J. Comput.}, volume = {7}, number = {9}, pages = {2276--2282}, year = {2012}, url = {http://www.jcomputers.us/index.php?m=content\&\#38;c=index\&\#38;a=show\&\#38;catid=119\&\#38;id=2382}, doi = {10.4304/JCP.7.9.2276-2282}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/MenWZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/WangTGZYT12, author = {Lei Wang and Dunbing Tang and Wenbin Gu and Kun Zheng and Weidong Yuan and Dingshan Tang}, title = {Pheromone-based coordination for manufacturing system control}, journal = {J. Intell. Manuf.}, volume = {23}, number = {3}, pages = {747--757}, year = {2012}, url = {https://doi.org/10.1007/s10845-010-0426-z}, doi = {10.1007/S10845-010-0426-Z}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/WangTGZYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/LiuLLTW12, author = {Ke{-}Yan Liu and Yun{-}Hua Li and Shanqing Li and Liang Tang and Lei Wang}, title = {A new parallel particle filter face tracking method based on heterogeneous system}, journal = {J. Real Time Image Process.}, volume = {7}, number = {3}, pages = {153--163}, year = {2012}, url = {https://doi.org/10.1007/s11554-011-0225-6}, doi = {10.1007/S11554-011-0225-6}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/LiuLLTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuXZWLJM12, author = {Sanming Hu and Yong{-}Zhong Xiong and Bo Zhang and Lei Wang and Teck{-}Guan Lim and Minkyu Je and Mohammad Madihian}, title = {A SiGe BiCMOS Transmitter/Receiver Chipset With On-Chip {SIW} Antennas for Terahertz Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {11}, pages = {2654--2664}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2211658}, doi = {10.1109/JSSC.2012.2211658}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuXZWLJM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/WangLBH12, author = {Lei Wang and Heng Liang and Fengshan Bai and Yan Huo}, title = {A load balancing strategy for parallel computation of sparse permanents}, journal = {Numer. Linear Algebra Appl.}, volume = {19}, number = {6}, pages = {1017--1030}, year = {2012}, url = {https://doi.org/10.1002/nla.1844}, doi = {10.1002/NLA.1844}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nla/WangLBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/WangZYZW12, author = {Lei Wang and Yong Zhang and Chengliang Yin and Hu Zhang and Cunlei Wang}, title = {Hardware-in-the-loop simulation for the design and verification of the control system of a series-parallel hybrid electric city-bus}, journal = {Simul. Model. Pract. Theory}, volume = {25}, pages = {148--162}, year = {2012}, url = {https://doi.org/10.1016/j.simpat.2012.02.010}, doi = {10.1016/J.SIMPAT.2012.02.010}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/WangZYZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZhangLWH12, author = {Yongjun Zhang and Yihui Lu and Lei Wang and Xu Huang}, title = {A New Approach on Optimization of the Rational Function Model of High-Resolution Satellite Imagery}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {50}, number = {7}, pages = {2758--2764}, year = {2012}, url = {https://doi.org/10.1109/TGRS.2011.2174797}, doi = {10.1109/TGRS.2011.2174797}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ZhangLWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/WangHWJL12, author = {Lei Wang and Zhiyao Huang and Baoliang Wang and Haifeng Ji and Haiqing Li}, title = {Flow Pattern Identification of Gas-Liquid Two-Phase Flow Based on Capacitively Coupled Contactless Conductivity Detection}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {61}, number = {5}, pages = {1466--1475}, year = {2012}, url = {https://doi.org/10.1109/TIM.2012.2183433}, doi = {10.1109/TIM.2012.2183433}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/WangHWJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenLCLWFH12, author = {Yunji Chen and Lei Li and Tianshi Chen and Ling Li and Lei Wang and Xiaoxue Feng and Weiwu Hu}, title = {Program Regularization in Memory Consistency Verification}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {23}, number = {11}, pages = {2163--2174}, year = {2012}, url = {https://doi.org/10.1109/TPDS.2012.44}, doi = {10.1109/TPDS.2012.44}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChenLCLWFH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMse/LiZRZW12, author = {Zhengzhe Li and Teng Zhao and Dong Ren and Yang Zhang and Lei Wang}, editor = {Randy K. Smith and Susan V. Vrbsky}, title = {Learning applications of multi-tasking signal analysis of Fourier transform based on smart mobile devices}, booktitle = {Proceedings of the 50th Annual Southeast Regional Conference, 2012, Tuscaloosa, AL, USA, March 29-31, 2012}, pages = {13--17}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2184512.2184516}, doi = {10.1145/2184512.2184516}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/ACMse/LiZRZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adma/ZhangMWW12, author = {Zhifei Zhang and Duoqian Miao and Zhihua Wei and Lei Wang}, editor = {Shuigeng Zhou and Songmao Zhang and George Karypis}, title = {Document-Level Sentiment Classification Based on Behavior-Knowledge Space Method}, booktitle = {Advanced Data Mining and Applications, 8th International Conference, {ADMA} 2012, Nanjing, China, December 15-18, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7713}, pages = {330--339}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35527-1\_28}, doi = {10.1007/978-3-642-35527-1\_28}, timestamp = {Thu, 14 Oct 2021 10:01:34 +0200}, biburl = {https://dblp.org/rec/conf/adma/ZhangMWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ais2/WangYB12, author = {Lei Wang and Simon X. Yang and Mohammad Biglarbegian}, editor = {Mohamed Kamel and Fakhri Karray and Hani Hagras}, title = {Bio-inspired Navigation of Mobile Robots}, booktitle = {Autonomous and Intelligent Systems - Third International Conference, {AIS} 2012, Aveiro, Portugal, June 25-27, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7326}, pages = {59--68}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31368-4\_8}, doi = {10.1007/978-3-642-31368-4\_8}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ais2/WangYB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/XuCZW12, author = {Wenbo Xu and Jian Cao and Haiyan Zhao and Lei Wang}, title = {A Multi-agent Learning Model for Service Composition}, booktitle = {2012 {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2012, Guilin, China, December 6-8, 2012}, pages = {70--75}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/APSCC.2012.44}, doi = {10.1109/APSCC.2012.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apscc/XuCZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WangCLZLW12, author = {Qing Wang and Bin Chen and Junshi Liu and Yongjin Zhou and Lan Liu and Lei Wang}, title = {Estimation of muscle fiber orientation in ultrasound images after Adaptive Non-local Filtering {(ANF)}}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {272--275}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418444}, doi = {10.1109/BIOCAS.2012.6418444}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/WangCLZLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccpr/ZhangMQW12, author = {Zehua Zhang and Duoqian Miao and Jin Qian and Lei Wang}, editor = {Cheng{-}Lin Liu and Changshui Zhang and Liang Wang}, title = {Qualitative Graphical Inference with Enhanced Knowledge Fusion}, booktitle = {Pattern Recognition - Chinese Conference, {CCPR} 2012, Beijing, China, September 24-26, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {321}, pages = {33--40}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33506-8\_5}, doi = {10.1007/978-3-642-33506-8\_5}, timestamp = {Wed, 24 May 2017 08:30:07 +0200}, biburl = {https://dblp.org/rec/conf/ccpr/ZhangMQW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/VoelkerSWB12, author = {Simon Voelker and Christine Sutter and Lei Wang and Jan O. Borchers}, editor = {Joseph A. Konstan and Ed H. Chi and Kristina H{\"{o}}{\"{o}}k}, title = {Understanding flicking on curved surfaces}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, {CHI} '12, Austin, TX, {USA} - May 05 - 10, 2012}, pages = {189--198}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2207676.2207703}, doi = {10.1145/2207676.2207703}, timestamp = {Tue, 01 Jun 2021 15:21:43 +0200}, biburl = {https://dblp.org/rec/conf/chi/VoelkerSWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinacom/ChenZWZDH12, author = {Xin Chen and Min Zhang and Lei Wang and Lifang Zhang and Hui Ding and Shanguo Huang}, title = {A novel CoS-enabled path selection algorithm with traffic grooming function for multi-layer optical networks}, booktitle = {7th International Conference on Communications and Networking in China, Kunming, Yunnan Province, China, August 8-10, 2012}, pages = {293--295}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ChinaCom.2012.6417493}, doi = {10.1109/CHINACOM.2012.6417493}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/chinacom/ChenZWZDH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/WangSE12, author = {Lei Wang and Dawei Song and Eyad Elyan}, editor = {Xue{-}wen Chen and Guy Lebanon and Haixun Wang and Mohammed J. Zaki}, title = {Improving bag-of-visual-words model with spatial-temporal correlation for video retrieval}, booktitle = {21st {ACM} International Conference on Information and Knowledge Management, CIKM'12, Maui, HI, USA, October 29 - November 02, 2012}, pages = {1303--1312}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2396761.2398433}, doi = {10.1145/2396761.2398433}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/WangSE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/WangWLL12, author = {Xun Wang and Lei Wang and Jiwei Li and Sujian Li}, editor = {Xue{-}wen Chen and Guy Lebanon and Haixun Wang and Mohammed J. Zaki}, title = {Exploring simultaneous keyword and key sentence extraction: improve graph-based ranking using wikipedia}, booktitle = {21st {ACM} International Conference on Information and Knowledge Management, CIKM'12, Maui, HI, USA, October 29 - November 02, 2012}, pages = {2619--2622}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2396761.2398706}, doi = {10.1145/2396761.2398706}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/WangWLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/WangYZL12, author = {Lei Wang and Shiwen Yu and Xuefeng Zhu and Yun Li}, editor = {Donghong Ji and Guozheng Xiao}, title = {Chinese Idiom Knowledge Base for Chinese Information Processing}, booktitle = {Chinese Lexical Semantics - 13th Workshop, {CLSW} 2012, Wuhan, China, July 6-8, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7717}, pages = {302--310}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36337-5\_31}, doi = {10.1007/978-3-642-36337-5\_31}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/clsw/WangYZL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cso/WangYWL12, author = {Tian Wang and Xiufen Ye and Lei Wang and Heyi Li}, editor = {Yanling Hao and Lean Yu}, title = {Grid Search Optimized {SVM} Method for Dish-like Underwater Robot Attitude Prediction}, booktitle = {Fifth International Joint Conference on Computational Sciences and Optimization, {CSO} 2012, Harbin, Heilongjiang, China, June 23-26, 2012}, pages = {839--843}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CSO.2012.189}, doi = {10.1109/CSO.2012.189}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cso/WangYWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/ZhuZYWWW12, author = {Shiwei Zhu and Yanqing Zhao and Junfeng Yu and Lei Wang and Moji Wei and Aiping Wang}, editor = {Tsau Young Lin and Xiaohua Hu and Zhaohui Wu and Arbee L. P. Chen and Andrei Z. Broder and Howard Ho and Shuliang Wang}, title = {Digital resources serving performance assessing based on fuzzy neural networks}, booktitle = {2012 {IEEE} International Conference on Granular Computing, GrC 2012, Hangzhou, China, August 11-13, 2012}, pages = {682--687}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/GrC.2012.6468638}, doi = {10.1109/GRC.2012.6468638}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grc/ZhuZYWWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/SunW12, author = {Jihong Sun and Lei Wang}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {Research on E-commerce Data Management Based on Semantic Web}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {925--928}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.133}, doi = {10.1109/HPCC.2012.133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/SunW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/XuGW12, author = {Jinchen Xu and Shaozhong Guo and Lei Wang}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {Optimization Technology in {SIMD} Mathematical Functions Based on Vector Register Reuse}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {1102--1107}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.161}, doi = {10.1109/HPCC.2012.161}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/XuGW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdma/SheZLX0H12, author = {Zonglian She and Yingjie Zhu and Leilei Li and Tian Xie and Lei Wang and Jian Huang}, title = {Granulation of Sludge in Anaerobic Baffled Reactor with Inert Carrier under Room Temperature}, booktitle = {Third International Conference on Digital Manufacturing {\&} Automation, {ICDMA} 2012, Guilin, China, July 31 - Aug. 2, 2012}, pages = {450--453}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICDMA.2012.108}, doi = {10.1109/ICDMA.2012.108}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdma/SheZLX0H12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/WangFLZ12, author = {Lei Wang and Xing{-}Juan Fan and Xing{-}Long Liu and Huan Zhao}, title = {Mining data association based on a revised FP-growth algorithm}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2012, Xian, Shaanxi, China, July 15-17, 2012, Proceedings}, pages = {91--95}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICMLC.2012.6358892}, doi = {10.1109/ICMLC.2012.6358892}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/WangFLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/ZhangLW12, author = {Zhuhong Zhang and Min Liao and Lei Wang}, title = {Multi-objective immune genetic algorithm solving dynamic single-objective multimodal constrained optimization}, booktitle = {Eighth International Conference on Natural Computation, {ICNC} 2012, 29-31 May 2012, Chongqing, China}, pages = {864--868}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICNC.2012.6234765}, doi = {10.1109/ICNC.2012.6234765}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icnc/ZhangLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/WangW12, author = {Lei Wang and Wai{-}Choong Wong}, title = {A {RSS} based statistical localization algorithm in {WLAN}}, booktitle = {6th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2012, Gold Coast, Australia, December 12-14, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICSPCS.2012.6507962}, doi = {10.1109/ICSPCS.2012.6507962}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icspcs/WangW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YanWLC12, author = {He Yan and Lei Wang and Fangfang Liao and Wenqing Cheng}, title = {Improving content retrieval recall in {KAD}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, pages = {368--369}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICTC.2012.6386861}, doi = {10.1109/ICTC.2012.6386861}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/YanWLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/WangMFWWR12, author = {Fengyun Wang and Lin Mei and Wenjie Feng and Lei Wang and Limin Wang and Huaijun Ruan}, editor = {Daoliang Li and Yingyi Chen}, title = {A Greenhouse Control with Sectional-Control Strategy Based on {MPT} Intelligent Algorithm}, booktitle = {Computer and Computing Technologies in Agriculture {VI} - 6th {IFIP} {WG} 5.14 International Conference, {CCTA} 2012, Zhangjiajie, China, October 19-21, 2012, Revised Selected Papers, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {392}, pages = {43--50}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36124-1\_6}, doi = {10.1007/978-3-642-36124-1\_6}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/WangMFWWR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/TangCWXJW12, author = {Kan Tang and Keming Chen and Lei Wang and Wenchang Xiong and Mian Jiang and Hongqi Wang}, title = {A knowledge-based 3-D building reconstruction from single very high resolution {SAR} images}, booktitle = {2012 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2012, Munich, Germany, July 22-27, 2012}, pages = {3604--3607}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IGARSS.2012.6350637}, doi = {10.1109/IGARSS.2012.6350637}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/TangCWXJW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/WangGGWG12, author = {Lei Wang and Xiao{-}Qiang Guo and He{-}Rong Gu and Wei{-}Yang Wu and Josep M. Guerrero}, title = {Precise modeling based on dynamic phasors for droop-controlled parallel-connected inverters}, booktitle = {21st {IEEE} International Symposium on Industrial Electronics, {ISIE} 2012, Hangzhou, China, 28-31 May, 2012}, pages = {475--480}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISIE.2012.6237133}, doi = {10.1109/ISIE.2012.6237133}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isie/WangGGWG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/LiuTRLWY12, author = {Mingzhe Liu and Xianguo Tuo and Jun Ren and Zhe Li and Lei Wang and Jianbo Yang}, editor = {Jun Wang and Gary G. Yen and Marios M. Polycarpou}, title = {A {PSO-SVM} Based Model for Alpha Particle Activity Prediction Inside Decommissioned Channels}, booktitle = {Advances in Neural Networks - {ISNN} 2012 - 9th International Symposium on Neural Networks, Shenyang, China, July 11-14, 2012. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7367}, pages = {517--523}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31346-2\_58}, doi = {10.1007/978-3-642-31346-2\_58}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/LiuTRLWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/WangYB12, author = {Lei Wang and Simon X. Yang and Mohammad Biglarbegian}, title = {A fuzzy logic based bio-inspired system for mobile robot navigation}, booktitle = {{IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2012, Hamburg, Germany, September 13-15, 2012}, pages = {219--224}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MFI.2012.6343040}, doi = {10.1109/MFI.2012.6343040}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mfi/WangYB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/WangLXYZ12, author = {Lei Wang and Bo Liu and Min Xu and Jie Yang and Shiwu Zhang}, title = {Kinematics study on pectoral fins of Koi Carp by digital image processing}, booktitle = {2012 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2012, Guangzhou, China, December 11-14, 2012}, pages = {526--531}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ROBIO.2012.6491020}, doi = {10.1109/ROBIO.2012.6491020}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/WangLXYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sns/LiuLLWW12, author = {Xiaodong Liu and Shanshan Li and Xiangke Liao and Lei Wang and Qingbo Wu}, editor = {Eiko Yoneki and Davide Frey and Ian Brown}, title = {In-time estimation for influence maximization in large-scale social networks}, booktitle = {Proceedings of the Fifth Workshop on Social Network Systems, Bern, Switzerland, April 10, 2012}, pages = {3}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2181176.2181179}, doi = {10.1145/2181176.2181179}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sns/LiuLLWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssiri/ChenWGZZGW12, author = {Liping Chen and Xiaoping Wang and Xiong Gong and Hongchang Zhang and Fanli Zhou and Bin Gu and Lei Wang}, title = {Modeling and Simulating CAN-Based Cyber-Physical Systems in Modelica}, booktitle = {Sixth International Conference on Software Security and Reliability, {SERE} 2012, Gaithersburg, Maryland, USA, 20-22 June 2012 - Companion Volume}, pages = {152--157}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SERE-C.2012.31}, doi = {10.1109/SERE-C.2012.31}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ssiri/ChenWGZZGW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vecims/GuoXWW12, author = {Miaomiao Guo and Guizhi Xu and Lei Wang and Jiang Wang}, title = {Research on auditory {BCI} based on wavelet transform}, booktitle = {Proceedings of the 2012 {IEEE} International Conference on Virtual Environments Human-Computer Interfaces and Measurement Systems, {VECIMS} 2012, Tianjin, China, July 2-4, 2012}, pages = {171--175}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VECIMS.2012.6273215}, doi = {10.1109/VECIMS.2012.6273215}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vecims/GuoXWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/WangPB12, author = {Lei Wang and Somnath Paul and Swarup Bhunia}, editor = {Vishwani D. Agrawal and Srimat T. Chakradhar}, title = {Width-Aware Fine-Grained Dynamic Supply Gating: {A} Design Methodology for Low-Power Datapath and Memory}, booktitle = {25th International Conference on {VLSI} Design, Hyderabad, India, January 7-11, 2012}, pages = {340--345}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/VLSID.2012.94}, doi = {10.1109/VLSID.2012.94}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/WangPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/WangWZZ12, author = {Lei Wang and Weiqiang Wu and Qinyu Zhang and Changjian Zhang}, title = {Pre-synchronization of {AVS} audio-video based on Real-Time Transport Protocol}, booktitle = {International Conference on Wireless Communications and Signal Processing, {WCSP} 2012, Huangshan, China, October 25-27, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCSP.2012.6542984}, doi = {10.1109/WCSP.2012.6542984}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/WangWZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/BalcanCIW12, author = {Maria{-}Florina Balcan and Florin Constantin and Satoru Iwata and Lei Wang}, editor = {Shie Mannor and Nathan Srebro and Robert C. Williamson}, title = {Learning Valuation Functions}, booktitle = {{COLT} 2012 - The 25th Annual Conference on Learning Theory, June 25-27, 2012, Edinburgh, Scotland}, series = {{JMLR} Proceedings}, volume = {23}, pages = {4.1--4.24}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v23/balcan12b/balcan12b.pdf}, timestamp = {Wed, 29 May 2019 08:41:46 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/BalcanCIW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/WangY11a, author = {Lei Wang and Wei Yang}, title = {Global dynamics of a two-patch {SIS} model with infection during transport}, journal = {Appl. Math. Comput.}, volume = {217}, number = {21}, pages = {8458--8467}, year = {2011}, url = {https://doi.org/10.1016/j.amc.2011.03.045}, doi = {10.1016/J.AMC.2011.03.045}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/WangY11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/DeyDW11, author = {Tamal K. Dey and Ramsay Dyer and Lei Wang}, title = {Localized Cocone surface reconstruction}, journal = {Comput. Graph.}, volume = {35}, number = {3}, pages = {483--491}, year = {2011}, url = {https://doi.org/10.1016/j.cag.2011.03.014}, doi = {10.1016/J.CAG.2011.03.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cg/DeyDW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ZhangGW11, author = {Quanxin Zhang and Li Gao and Lei Wang}, title = {Oscillation of second-order nonlinear delay dynamic equations on time scales}, journal = {Comput. Math. Appl.}, volume = {61}, number = {8}, pages = {2342--2348}, year = {2011}, url = {https://doi.org/10.1016/j.camwa.2010.10.005}, doi = {10.1016/J.CAMWA.2010.10.005}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ZhangGW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/HuangWL11, author = {Haibo Huang and Lei Wang and Xi{-}Yun Lu}, title = {Evaluation of three lattice Boltzmann models for multiphase flows in porous media}, journal = {Comput. Math. Appl.}, volume = {61}, number = {12}, pages = {3606--3617}, year = {2011}, url = {https://doi.org/10.1016/j.camwa.2010.06.034}, doi = {10.1016/J.CAMWA.2010.06.034}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/HuangWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/JinCWC11, author = {Sunggeun Jin and Munhwan Choi and Lei Wang and Sunghyun Choi}, title = {Fast scanning schemes for {IEEE} 802.11 WLANs in virtual {AP} environments}, journal = {Comput. Networks}, volume = {55}, number = {10}, pages = {2520--2533}, year = {2011}, url = {https://doi.org/10.1016/j.comnet.2011.04.008}, doi = {10.1016/J.COMNET.2011.04.008}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/JinCWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WangT11, author = {Lei Wang and Dunbing Tang}, title = {An improved adaptive genetic algorithm based on hormone modulation mechanism for job-shop scheduling problem}, journal = {Expert Syst. Appl.}, volume = {38}, number = {6}, pages = {7243--7250}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.12.027}, doi = {10.1016/J.ESWA.2010.12.027}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/WangT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/WangCH11, author = {Lei Wang and Yeol Je Cho and Nan{-}Jing Huang}, title = {The robustness of generalized abstract fuzzy economies in generalized convex spaces}, journal = {Fuzzy Sets Syst.}, volume = {176}, number = {1}, pages = {56--63}, year = {2011}, url = {https://doi.org/10.1016/j.fss.2011.03.010}, doi = {10.1016/J.FSS.2011.03.010}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fss/WangCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwin/HeLLW11, author = {Yongtai He and Yanqiu Li and Lihui Liu and Lei Wang}, title = {Modeling of Photoelectric Micro-Power Supply for Wireless Sensor Nodes}, journal = {Int. J. Wirel. Inf. Networks}, volume = {18}, number = {3}, pages = {179--185}, year = {2011}, url = {https://doi.org/10.1007/s10776-011-0143-3}, doi = {10.1007/S10776-011-0143-3}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwin/HeLLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/irob/WangTS11, author = {Lei Wang and Yajie Tian and Tetsuo Sawaragi}, title = {Case-based automatic programming in robotic assembly production}, journal = {Ind. Robot}, volume = {38}, number = {1}, pages = {86--96}, year = {2011}, url = {https://doi.org/10.1108/01439911111097887}, doi = {10.1108/01439911111097887}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/irob/WangTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/XuWWSX11, author = {Jie Xu and Lei Wang and Luoxin Wang and Xiaolin Shen and Weilin Xu}, title = {{QSPR} study of Setschenow constants of organic compounds using MLR, ANN, and {SVM} analyses}, journal = {J. Comput. Chem.}, volume = {32}, number = {15}, pages = {3241--3252}, year = {2011}, url = {https://doi.org/10.1002/jcc.21907}, doi = {10.1002/JCC.21907}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/XuWWSX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jclc/WangCH11, author = {Lei Wang and Baobao Chang and Janet Harkness}, title = {A Method of Automatic Translation of Words of Multiple Affixes In Scientific Literature}, journal = {Int. J. Asian Lang. Process.}, volume = {21}, number = {1}, pages = {15--22}, year = {2011}, url = {http://www.colips.org/journal/volume21/21.1.2-WangLei.pdf}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jclc/WangCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/XuWW11, author = {Fengyu Xu and Xingsong Wang and Lei Wang}, title = {Cable inspection robot for cable-stayed bridges: Design, analysis, and application}, journal = {J. Field Robotics}, volume = {28}, number = {3}, pages = {441--459}, year = {2011}, url = {https://doi.org/10.1002/rob.20390}, doi = {10.1002/ROB.20390}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/XuWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/WangLJ11, author = {Lei Wang and Ling Lu and Niande Jiang}, title = {A study of leaf modeling technology based on morphological features}, journal = {Math. Comput. Model.}, volume = {54}, number = {3-4}, pages = {1107--1114}, year = {2011}, url = {https://doi.org/10.1016/j.mcm.2010.11.042}, doi = {10.1016/J.MCM.2010.11.042}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mcm/WangLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/WangW11d, author = {Xiaojun Wang and Lei Wang}, title = {Uncertainty quantification and propagation analysis of structures based on measurement data}, journal = {Math. Comput. Model.}, volume = {54}, number = {11-12}, pages = {2725--2735}, year = {2011}, url = {https://doi.org/10.1016/j.mcm.2011.06.060}, doi = {10.1016/J.MCM.2011.06.060}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mcm/WangW11d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/osn/ShangHWLZZ11, author = {Shengfeng Shang and Nan Hua and Lei Wang and Rui Lu and Xiaoping Zheng and Hanyi Zhang}, title = {A hierarchical Path Computation Element (PCE)-based k-random-paths routing algorithm in multi-domain {WDM} networks}, journal = {Opt. Switch. Netw.}, volume = {8}, number = {4}, pages = {235--241}, year = {2011}, url = {https://doi.org/10.1016/j.osn.2011.06.006}, doi = {10.1016/J.OSN.2011.06.006}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/osn/ShangHWLZZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MenLPWZ11, author = {Hong Men and Haiyan Liu and Yunpeng Pan and Lei Wang and Haiping Zhang}, title = {Electronic Nose Based on an Optimized Competition Neural Network}, journal = {Sensors}, volume = {11}, number = {5}, pages = {5005--5019}, year = {2011}, url = {https://doi.org/10.3390/s110505005}, doi = {10.3390/S110505005}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MenLPWZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/WangCL11, author = {Lei Wang and Emmanuel G. Collins Jr. and Hui Li}, title = {Optimal Design and Real-Time Control for Energy Management in Electric Vehicles}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {60}, number = {4}, pages = {1419--1429}, year = {2011}, url = {https://doi.org/10.1109/TVT.2011.2122272}, doi = {10.1109/TVT.2011.2122272}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/WangCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcsc/ToureYMW11, author = {Ibrahima Kalil Toure and Yang Yang and Zhenqiang Mi and Lei Wang}, title = {Low redundant hop-counts for service composition optimization in dynamic network}, booktitle = {2011 International Conference on Cloud and Service Computing, {CSC} 2011, Hong Kong, December 12-14, 2011}, pages = {26--31}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CSC.2011.6138532}, doi = {10.1109/CSC.2011.6138532}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcsc/ToureYMW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEicics/WangW11, author = {Lei Wang and Wai{-}Choong Wong}, title = {Fusion of multiple positioning algorithms}, booktitle = {8th International Conference on Information, Communications {\&} Signal Processing, {ICICS} 2011, Singapore, Singapore, December 13-16, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICICS.2011.6173619}, doi = {10.1109/ICICS.2011.6173619}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/IEEEicics/WangW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangJZR11, author = {Lei Wang and Jianhua Jiang and Yumei Zhou and Gaofeng Ren}, title = {A new scheme for testability improvement of {ECC} incorporated memory}, booktitle = {2011 {IEEE} 9th International Conference on ASIC, {ASICON} 2011, Xiamen, China, October 25-28, 2011}, pages = {240--243}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASICON.2011.6157166}, doi = {10.1109/ASICON.2011.6157166}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangJZR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/WangXHL11, author = {Lei Wang and Yong{-}Zhong Xiong and Sanming Hu and Teck{-}Guan Lim}, title = {A 0.13-{\(\mu\)}m {HBT} divide-by-6 injection-locked frequency divider}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {97--100}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123613}, doi = {10.1109/ASSCC.2011.6123613}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/WangXHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HuWXZL11, author = {Sanming Hu and Lei Wang and Yong{-}Zhong Xiong and Bo Zhang and Teck{-}Guan Lim}, title = {A 434GHz SiGe BiCMOS transmitter with an on-chip {SIW} slot antenna}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {269--272}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123563}, doi = {10.1109/ASSCC.2011.6123563}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HuWXZL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinacom/WangWW11, author = {Lei Wang and Zhiyong Wang and Guo Wei}, title = {Robust design for opportunistic collaborative beamforming with noisy limited feedback}, booktitle = {6th International {ICST} Conference on Communications and Networking in China, {CHINACOM} 2011, Harbin, China, August 17-19, 2011}, pages = {376--380}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ChinaCom.2011.6158182}, doi = {10.1109/CHINACOM.2011.6158182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chinacom/WangWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/WangYZ11, author = {Lei Wang and Mingjiang Ye and Yu Zou}, editor = {Craig Macdonald and Iadh Ounis and Ian Ruthven}, title = {A language model approach to capture commercial intent and information relevance for sponsored search}, booktitle = {Proceedings of the 20th {ACM} Conference on Information and Knowledge Management, {CIKM} 2011, Glasgow, United Kingdom, October 24-28, 2011}, pages = {599--604}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063576.2063665}, doi = {10.1145/2063576.2063665}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/WangYZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csee2/Wang11d, author = {Lei Wang}, editor = {Song Lin and Xiong Huang}, title = {Recognition and Reply of Adversarial Planning Based on Simulation State Graph}, booktitle = {Advances in Computer Science, Environment, Ecoinformatics, and Education - International Conference, {CSEE} 2011, Wuhan, China, August 21-22, 2011. Proceedings, Part {IV}}, series = {Communications in Computer and Information Science}, volume = {217}, pages = {77--81}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23339-5\_14}, doi = {10.1007/978-3-642-23339-5\_14}, timestamp = {Wed, 17 May 2017 14:24:31 +0200}, biburl = {https://dblp.org/rec/conf/csee2/Wang11d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csise/Wang11a, author = {Lei Wang}, editor = {David Jin and Sally Lin}, title = {A Structure for the Model of Intelligent Plan Agent}, booktitle = {Advances in Computer Science, Intelligent System and Environment [Proceedings of {CSISE} 2011, Volume 1, September 24-25, 2011, Guangzhou, China]}, series = {Advances in Intelligent and Soft Computing}, volume = {104}, pages = {321--325}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23777-5\_53}, doi = {10.1007/978-3-642-23777-5\_53}, timestamp = {Fri, 19 May 2017 01:26:02 +0200}, biburl = {https://dblp.org/rec/conf/csise/Wang11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csise/WangH11, author = {Lei Wang and Dan Han}, editor = {David Jin and Sally Lin}, title = {Tele-education Technology Eliminating Chinese Knowledge Poverty Based on Information Technology}, booktitle = {Advances in Computer Science, Intelligent System and Environment [Proceedings of {CSISE} 2011, Volume 1, September 24-25, 2011, Guangzhou, China]}, series = {Advances in Intelligent and Soft Computing}, volume = {104}, pages = {327--332}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23777-5\_54}, doi = {10.1007/978-3-642-23777-5\_54}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csise/WangH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csise/XiaoDHW11, author = {HaiPing Xiao and Xu Du and Lei Huang and Lei Wang}, editor = {David Jin and Sally Lin}, title = {The Experimental Study on Ozone to Remove {NO} by {DBD}}, booktitle = {Advances in Computer Science, Intelligent System and Environment [Proceedings of {CSISE} 2011, Volume 2, September 24-25, 2011, Guangzhou, China]}, series = {Advances in Intelligent and Soft Computing}, volume = {105}, pages = {453--458}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23756-0\_73}, doi = {10.1007/978-3-642-23756-0\_73}, timestamp = {Fri, 19 May 2017 01:26:02 +0200}, biburl = {https://dblp.org/rec/conf/csise/XiaoDHW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/WangCZ11, author = {Lei Wang and Jian Cao and Haiyan Zhao}, title = {A Dynamical Optimization Approach for Service Process Library}, booktitle = {{IEEE} Ninth International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2011, 12-14 December 2011, Sydney, Australia}, pages = {1136--1143}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DASC.2011.185}, doi = {10.1109/DASC.2011.185}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/WangCZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/WangSE11, author = {Lei Wang and Dawei Song and Eyad Elyan}, editor = {Paul D. Clough and Colum Foley and Cathal Gurrin and Gareth J. F. Jones and Wessel Kraaij and Hyowon Lee and Vanessa Murdock}, title = {Video Retrieval Based on Words-of-Interest Selection}, booktitle = {Advances in Information Retrieval - 33rd European Conference on {IR} Research, {ECIR} 2011, Dublin, Ireland, April 18-21, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6611}, pages = {687--690}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20161-5\_71}, doi = {10.1007/978-3-642-20161-5\_71}, timestamp = {Mon, 26 Apr 2021 09:26:56 +0200}, biburl = {https://dblp.org/rec/conf/ecir/WangSE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emeit/WangM11, author = {Lei Wang and Leijuan Ma}, title = {A hybrid artificial fish swarm algorithm for Bin-packing problem}, booktitle = {International Conference on Electronic and Mechanical Engineering and Information Technology, {EMEIT} 2011, Harbin, Heilongjiang, China, 12-14 August, 2011}, pages = {27--29}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/EMEIT.2011.6022829}, doi = {10.1109/EMEIT.2011.6022829}, timestamp = {Mon, 09 Aug 2021 14:53:48 +0200}, biburl = {https://dblp.org/rec/conf/emeit/WangM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emeit/YinXWW11, author = {Bo Yin and Yonglei Xing and Lei Wang and Zhiqiang Wei}, title = {Research and design of an emergency command system based on mobile devices}, booktitle = {International Conference on Electronic and Mechanical Engineering and Information Technology, {EMEIT} 2011, Harbin, Heilongjiang, China, 12-14 August, 2011}, pages = {2283--2286}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/EMEIT.2011.6023567}, doi = {10.1109/EMEIT.2011.6023567}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emeit/YinXWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emeit/ShiLXYW11, author = {Lingwei Shi and Heguang Liu and Ke Xu and Shuangbao Yang and Lei Wang}, title = {Influencing factors on re-tracking accuracies of {SAR} altimeter ocean power waveforms}, booktitle = {International Conference on Electronic and Mechanical Engineering and Information Technology, {EMEIT} 2011, Harbin, Heilongjiang, China, 12-14 August, 2011}, pages = {3182--3185}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/EMEIT.2011.6023762}, doi = {10.1109/EMEIT.2011.6023762}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emeit/ShiLXYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/LuWWJ11, author = {Zhiying Lu and Huisong Wan and Lei Wang and Huizhen Jia}, title = {Knowledge discovery of hailstone echo time series based on Mutual Information network model}, booktitle = {Eighth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2011, 26-28 July 2011, Shanghai, China}, pages = {2050--2054}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FSKD.2011.6019842}, doi = {10.1109/FSKD.2011.6019842}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/fskd/LuWWJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/SunW11, author = {Xiaohua Sun and Lei Wang}, editor = {Pei{-}Luen Patrick Rau}, title = {Interactive Media in Social Innovation}, booktitle = {Internationalization, Design and Global Development - 4th International Conference, {IDGD} 2011, Held as part of {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6775}, pages = {566--575}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21660-2\_64}, doi = {10.1007/978-3-642-21660-2\_64}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/SunW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaic/ZhangZW11, author = {Peng Zhang and Xueping Zhang and Lei Wang}, editor = {Dehuai Zeng}, title = {Study on the Current Situation of Soil Lead Pollution and Health Risk in Harbin}, booktitle = {Applied Informatics and Communication - International Conference, {ICAIC} 2011, Xi'an, China, August 20-21, 2011, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {225}, pages = {228--235}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23220-6\_28}, doi = {10.1007/978-3-642-23220-6\_28}, timestamp = {Fri, 19 May 2017 01:26:23 +0200}, biburl = {https://dblp.org/rec/conf/icaic/ZhangZW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaic/LiuMW11, author = {Xinying Liu and Fenta Adnew Mogus and Lei Wang}, editor = {Jianwei Zhang}, title = {Study on the Effectiveness of the {TCP} Pacing Mechanism}, booktitle = {Applied Informatics and Communication - International Conference, {ICAIC} 2011, Xi'ian, China, August 20-21, 2011. Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {226}, pages = {510--518}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23235-0\_65}, doi = {10.1007/978-3-642-23235-0\_65}, timestamp = {Fri, 19 May 2017 01:26:23 +0200}, biburl = {https://dblp.org/rec/conf/icaic/LiuMW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaic/XuYW11, author = {Lian{-}Hu Xu and Yi{-}Bao Yuan and Lei Wang}, editor = {Dehuai Zeng}, title = {Selecting Principle and Equipment of Valve Tappets}, booktitle = {Applied Informatics and Communication - International Conference, {ICAIC} 2011, Xi'an, China, August 20-21, 2011, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {224}, pages = {619--630}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23214-5\_80}, doi = {10.1007/978-3-642-23214-5\_80}, timestamp = {Fri, 19 May 2017 01:26:23 +0200}, biburl = {https://dblp.org/rec/conf/icaic/XuYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/WangWLQZ11, author = {Lei Wang and Wei Wang and Zhengguo Liu and Chuchu Qiu and Yuzhi Zhang}, title = {Research of air defense target assignment for warship formation based on {MAS}}, booktitle = {3rd International Conference on Awareness Science and Technology, iCAST 2011, Dalian, China, September 27-30, 2011}, pages = {11--14}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICAwST.2011.6163086}, doi = {10.1109/ICAWST.2011.6163086}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icawst/WangWLQZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangOBBBP11, author = {Lei Wang and Markus Olbrich and Erich Barke and Thomas B{\"{u}}chner and Markus B{\"{u}}hler and Philipp V. Panitz}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {A theoretical probabilistic simulation framework for dynamic power estimation}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {708--715}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105407}, doi = {10.1109/ICCAD.2011.6105407}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangOBBBP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciar/WangW11, author = {Zhaozhong Wang and Lei Wang}, editor = {Mohamed Kamel and Aur{\'{e}}lio C. Campilho}, title = {Wide-Baseline Correspondence from Locally Affine Invariant Contour Matching}, booktitle = {Image Analysis and Recognition - 8th International Conference, {ICIAR} 2011, Burnaby, BC, Canada, June 22-24, 2011. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6753}, pages = {242--252}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21593-3\_25}, doi = {10.1007/978-3-642-21593-3\_25}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/iciar/WangW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/Wang11, author = {Lei Wang}, editor = {Dennis F. Galletta and Ting{-}Peng Liang}, title = {Competing across Different Channels for Personalized Service}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2011, Shanghai, China, December 4-7, 2011}, publisher = {Association for Information Systems}, year = {2011}, url = {http://aisel.aisnet.org/icis2011/proceedings/ebusiness/8}, timestamp = {Mon, 16 Jan 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icis/Wang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/WangK11, author = {Lei Wang and Rajiv Kishore}, editor = {Dennis F. Galletta and Ting{-}Peng Liang}, title = {Differences in Knowledge Seeking Ties between the {US} and Singapore Students: An Exploratory Study}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2011, Shanghai, China, December 4-7, 2011}, publisher = {Association for Information Systems}, year = {2011}, url = {http://aisel.aisnet.org/icis2011/proceedings/issues/3}, timestamp = {Mon, 16 Jan 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icis/WangK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/TianDW11, author = {Li{-}Na Tian and Shao{-}Hui Dong and Lei Wang}, title = {Research on cost information divulgence mechanism in supply chain}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2011, Guilin, China, July 10-13, 2011, Proceedings}, pages = {1136--1140}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICMLC.2011.6016915}, doi = {10.1109/ICMLC.2011.6016915}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/TianDW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/WangW11a, author = {Lei Wang and Pei Wang}, title = {Multi-machines communication mechanism and load balancing design on peer-to-peer structure}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2011, Guilin, China, July 10-13, 2011, Proceedings}, pages = {1399--1403}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICMLC.2011.6016865}, doi = {10.1109/ICMLC.2011.6016865}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/WangW11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/WangXWYGY11, author = {Lei Wang and Guizhi Xu and Jiang Wang and Shuo Yang and Lei Guo and Weili Yan}, editor = {Yongsheng Ding and Haiying Wang and Ning Xiong and Kuangrong Hao and Lipo Wang}, title = {{GA-SVM} based feature selection and parameters optimization for {BCI} research}, booktitle = {Seventh International Conference on Natural Computation, {ICNC} 2011, Shanghai, China, 26-28 July, 2011}, pages = {580--583}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICNC.2011.6022083}, doi = {10.1109/ICNC.2011.6022083}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnc/WangXWYGY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/WangCTS11, author = {Lei Wang and Shuhui Chen and Yong Tang and Jinshu Su}, editor = {Ilsun You and Leonard Barolli and Feilong Tang and Fatos Xhafa}, title = {Gregex: {GPU} Based High Speed Regular Expression Matching Engine}, booktitle = {Proceedings of the Fifth International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2011, Seoul, Korea, June 30-July 02, 2011}, pages = {366--370}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IMIS.2011.107}, doi = {10.1109/IMIS.2011.107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/WangCTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/liss/WangL11, author = {Lei Wang and Jiapeng Liu}, editor = {Juliang Zhang and Xuewei Li and Zhenji Zhang and Runtong Zhang}, title = {Research on Decision-making of Distribution Channels for Manufacturers in the Presence of Disruption Risks under Internet Environment}, booktitle = {{LISS} 2011 - Proceedings of the 1st International Conference on Logistics, Informatics and Service Science, Volume 1, Beijing, China, 8 - 11 June, 2011}, pages = {105--109}, publisher = {SciTePress}, year = {2011}, timestamp = {Thu, 20 Oct 2011 14:15:13 +0200}, biburl = {https://dblp.org/rec/conf/liss/WangL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/liss/WangZ11, author = {Lei Wang and Shukai Zhang}, editor = {Juliang Zhang and Xuewei Li and Zhenji Zhang and Runtong Zhang}, title = {Research on Industrial Clusters Development based on Brand}, booktitle = {{LISS} 2011 - Proceedings of the 1st International Conference on Logistics, Informatics and Service Science, Volume 1, Beijing, China, 8 - 11 June, 2011}, pages = {132--137}, publisher = {SciTePress}, year = {2011}, timestamp = {Thu, 20 Oct 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/liss/WangZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paisi/HeZZW11, author = {Saike He and Xiaolong Zheng and Changli Zhang and Lei Wang}, editor = {Michael Chau and G. Alan Wang and Xiaolong Zheng and Hsinchun Chen and Daniel Zeng and Wenji Mao}, title = {Topic-Oriented Information Detection and Scoring}, booktitle = {Intelligence and Security Informatics - Pacific Asia Workshop, {PAISI} 2011, Beijing, China, July 9, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6749}, pages = {36--42}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22039-5\_4}, doi = {10.1007/978-3-642-22039-5\_4}, timestamp = {Mon, 15 May 2023 16:24:40 +0200}, biburl = {https://dblp.org/rec/conf/paisi/HeZZW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rskt/ZhangLYW11, author = {Junbo Zhang and Tianrui Li and Yan Yang and Lei Wang}, editor = {Jingtao Yao and Sheela Ramanna and Guoyin Wang and Zbigniew Suraj}, title = {Neighborhood Rough Sets Based Matrix Approach for Calculation of the Approximations}, booktitle = {Rough Sets and Knowledge Technology - 6th International Conference, {RSKT} 2011, Banff, Canada, October 9-12, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6954}, pages = {166--171}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24425-4\_23}, doi = {10.1007/978-3-642-24425-4\_23}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rskt/ZhangLYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/WangSE11, author = {Lei Wang and Dawei Song and Eyad Elyan}, editor = {Wei{-}Ying Ma and Jian{-}Yun Nie and Ricardo Baeza{-}Yates and Tat{-}Seng Chua and W. Bruce Croft}, title = {Words-of-interest selection based on temporal motion coherence for video retrieval}, booktitle = {Proceeding of the 34th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2011, Beijing, China, July 25-29, 2011}, pages = {1197--1198}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2009916.2010117}, doi = {10.1145/2009916.2010117}, timestamp = {Sun, 22 Sep 2019 18:15:38 +0200}, biburl = {https://dblp.org/rec/conf/sigir/WangSE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangOBBBP11, author = {Lei Wang and Markus Olbrich and Erich Barke and Thomas B{\"{u}}chner and Markus B{\"{u}}hler and Philipp V. Panitz}, title = {A gate sizing method for glitch power reduction}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {24--29}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085070}, doi = {10.1109/SOCC.2011.6085070}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangOBBBP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/qi/2011, editor = {Dawei Song and Massimo Melucci and Ingo Frommholz and Peng Zhang and Lei Wang and Sachi Arafat}, title = {Quantum Interaction - 5th International Symposium, {QI} 2011, Aberdeen, UK, June 26-29, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7052}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24971-6}, doi = {10.1007/978-3-642-24971-6}, isbn = {978-3-642-24970-9}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qi/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1108-5669, author = {Maria{-}Florina Balcan and Florin Constantin and Satoru Iwata and Lei Wang}, title = {Learning Valuation Functions}, journal = {CoRR}, volume = {abs/1108.5669}, year = {2011}, url = {http://arxiv.org/abs/1108.5669}, eprinttype = {arXiv}, eprint = {1108.5669}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1108-5669.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ahswn/WangW10, author = {Lei Wang and Ruizhong Wei}, title = {Reputation Model based Dynamic Pair-wise Key Establishment Scheme for Sensor Networks}, journal = {Ad Hoc Sens. Wirel. Networks}, volume = {9}, number = {3-4}, pages = {163--177}, year = {2010}, url = {http://www.oldcitypublishing.com/journals/ahswn-home/ahswn-issue-contents/ahswn-volume-9-number-3-4/ahswn-9-3-4-p-163-177/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ahswn/WangW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/WangJHW10, author = {Lei Wang and Lan Jiang and Songnian Hu and Yejun Wang}, title = {Characterization of Evolutionarily Conserved MicroRNAs in Amphioxus}, journal = {Genom. Proteom. Bioinform.}, volume = {8}, number = {1}, pages = {10--21}, year = {2010}, url = {https://doi.org/10.1016/S1672-0229(10)60002-2}, doi = {10.1016/S1672-0229(10)60002-2}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gpb/WangJHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/ChenWYLXHY10, author = {Kaifu Chen and Lei Wang and Meng Yang and Jiucheng Liu and Chengqi Xin and Songnian Hu and Jun Yu}, title = {Sequence Signatures of Nucleosome Positioning in Caenorhabditis elegans}, journal = {Genom. Proteom. Bioinform.}, volume = {8}, number = {2}, pages = {92--102}, year = {2010}, url = {https://doi.org/10.1016/S1672-0229(10)60010-1}, doi = {10.1016/S1672-0229(10)60010-1}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gpb/ChenWYLXHY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iim/ChenKW10, author = {Yuhua Chen and Subhash C. Kak and Lei Wang}, title = {Hybrid Neural Network Architecture for On-Line Learning}, journal = {Intell. Inf. Manag.}, volume = {2}, number = {4}, pages = {253--261}, year = {2010}, url = {https://doi.org/10.4236/iim.2010.23030}, doi = {10.4236/IIM.2010.23030}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iim/ChenKW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/PangWY10, author = {Yanwei Pang and Lei Wang and Yuan Yuan}, title = {Generalized {KPCA} by adaptive rules in feature space}, journal = {Int. J. Comput. Math.}, volume = {87}, number = {5}, pages = {956--968}, year = {2010}, url = {https://doi.org/10.1080/00207160802044118}, doi = {10.1080/00207160802044118}, timestamp = {Tue, 17 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/PangWY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijkss/WangTSH10, author = {Lei Wang and Yajie Tian and Tetsuo Sawaragi and Yukio Horiguchi}, title = {A Knowledge-Based System for Sharing and Reusing Tacit Knowledge in Robotic Manufacturing}, journal = {Int. J. Knowl. Syst. Sci.}, volume = {1}, number = {4}, pages = {61--78}, year = {2010}, url = {https://doi.org/10.4018/jkss.2010100104}, doi = {10.4018/JKSS.2010100104}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijkss/WangTSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WangWTJ10, author = {Kai Wang and Lei Wang and Zhidong Teng and Haijun Jiang}, title = {Stability and bifurcation of genetic regulatory networks with delays}, journal = {Neurocomputing}, volume = {73}, number = {16-18}, pages = {2882--2892}, year = {2010}, url = {https://doi.org/10.1016/j.neucom.2010.08.009}, doi = {10.1016/J.NEUCOM.2010.08.009}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/WangWTJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/HuHYFWT10, author = {Wenbin Hu and Zhengbing Hu and Fu{-}qiang Yang and Cunlian Fan and Lei Wang and Xin{-}long Tan}, title = {Control Strategy of Scheduling Invalidation of Data Broadcasting}, journal = {J. Networks}, volume = {5}, number = {1}, pages = {106--111}, year = {2010}, url = {https://doi.org/10.4304/jnw.5.1.106-111}, doi = {10.4304/JNW.5.1.106-111}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/HuHYFWT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/LiYWLLWWWLZ10, author = {Di Li and Yintang Yang and Jiang{-}an Wang and Bing Li and Qiang Long and Jary Wei and Nai{-}di Wang and Lei Wang and Qiankun Liu and Da{-}long Zhang}, title = {Design of a low power {GPS} receiver in 0.18 {\(\mathrm{\mu}\)}m {CMOS} technology with a SigmaDeltafractional-N synthesizer}, journal = {J. Zhejiang Univ. Sci. {C}}, volume = {11}, number = {6}, pages = {444--449}, year = {2010}, url = {https://doi.org/10.1631/jzus.C0910381}, doi = {10.1631/JZUS.C0910381}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jzusc/LiYWLLWWWLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/ZengWH10, author = {Rui{-}xing Zeng and Lei Wang and Jian{-}jun He}, title = {Design and analysis of a mode-hop-free tunable laser based on etched diffraction grating}, journal = {J. Zhejiang Univ. Sci. {C}}, volume = {11}, number = {10}, pages = {793--797}, year = {2010}, url = {https://doi.org/10.1631/jzus.C0910622}, doi = {10.1631/JZUS.C0910622}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jzusc/ZengWH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WangLC10, author = {Lei Wang and Leibo Liu and Hongyi Chen}, title = {An Implementation of Fast-Locking and Wide-Range 11-bit Reversible {SAR} {DLL}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {6}, pages = {421--425}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2048379}, doi = {10.1109/TCSII.2010.2048379}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WangLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZhangPLWLZ10, author = {Jiangtao Zhang and Xianlin Pan and Jun Lin and Lei Wang and Zuliang Lu and Deshi Zhang}, title = {A New Method for Measuring the Level Dependence of {AC} Shunts}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {59}, number = {1}, pages = {140--144}, year = {2010}, url = {https://doi.org/10.1109/TIM.2009.2022110}, doi = {10.1109/TIM.2009.2022110}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZhangPLWLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LuWLLZ10, author = {Zuliang Lu and Lei Wang and Min Li and Lijuan Liu and Hao Zhou}, title = {Harmonic Power Standard at {NIM} and Its Compensation Algorithm}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {59}, number = {1}, pages = {180--187}, year = {2010}, url = {https://doi.org/10.1109/TIM.2009.2022446}, doi = {10.1109/TIM.2009.2022446}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LuWLLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ZhangMXCYWYWBBCL10, author = {Yingchen Zhang and Penn N. Markham and Tao Xia and Lang Chen and Yanzhu Ye and Zhongyu Wu and Zhiyong Yuan and Lei Wang and Jason N. Bank and Jon Burgett and Richard W. Conners and Yilu Liu}, title = {Wide-Area Frequency Monitoring Network {(FNET)} Architecture and Applications}, journal = {{IEEE} Trans. Smart Grid}, volume = {1}, number = {2}, pages = {159--167}, year = {2010}, url = {https://doi.org/10.1109/TSG.2010.2050345}, doi = {10.1109/TSG.2010.2050345}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ZhangMXCYWYWBBCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/WangZZL10, author = {Lei Wang and Yunquan Zhang and Xianyi Zhang and Fangfang Liu}, title = {Accelerating Linpack Performance with Mixed Precision Algorithm on {CPU+GPGPU} Heterogeneous Cluster}, booktitle = {10th {IEEE} International Conference on Computer and Information Technology, {CIT} 2010, Bradford, West Yorkshire, UK, June 29-July 1, 2010}, pages = {1169--1174}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CIT.2010.212}, doi = {10.1109/CIT.2010.212}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/WangZZL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-sighan/WangY10, author = {Lei Wang and Shiwen Yu}, title = {Semantic Computing and Language Knowledge Bases}, booktitle = {{CIPS-SIGHAN} Joint Conference on Chinese Language Processing, Beijing, China, August 28-29, 2010}, year = {2010}, url = {https://aclanthology.org/W10-4105/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-sighan/WangY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/KakCW10, author = {Subhash C. Kak and Yuhua Chen and Lei Wang}, editor = {Martin Santana and Jerry N. Luftman and Ajay S. Vinze}, title = {Data Mining Using Surface and Deep Agents Based on Neural Networks}, booktitle = {Sustainable {IT} Collaboration Around the Globe. 16th Americas Conference on Information Systems, {AMCIS} 2010, Lima, Peru, August 12-15, 2010}, pages = {16}, publisher = {Association for Information Systems}, year = {2010}, url = {http://aisel.aisnet.org/amcis2010/16}, timestamp = {Mon, 05 Sep 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcis/KakCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WangRRWZ10, author = {Lei Wang and David Ripplinger and Anurag Rai and Sean Warnick and Daniel Zappala}, title = {A convex optimization approach to decentralized rate control in wireless networks with partial interference}, booktitle = {Proceedings of the 49th {IEEE} Conference on Decision and Control, {CDC} 2010, December 15-17, 2010, Atlanta, Georgia, {USA}}, pages = {639--646}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CDC.2010.5717212}, doi = {10.1109/CDC.2010.5717212}, timestamp = {Fri, 04 Mar 2022 13:28:01 +0100}, biburl = {https://dblp.org/rec/conf/cdc/WangRRWZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/NieWZ10, author = {Yufeng Nie and Lei Wang and Weiwei Zhang}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {A portable parallel finite element simulation system}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {111--112}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787308}, doi = {10.1145/1787275.1787308}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/NieWZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dbta/WangWZ10, author = {Weidong Wang and Lei Wang and Chenglian Zhang}, editor = {Zhengbing Hu and Ping Ma}, title = {Particle Size Distribution Control of Coal-Water Slurry with Effective Medium Model}, booktitle = {Second International Workshop on Database Technology and Applications, {DBTA} 2010, Wuhan, Hubei, China, November 27-28, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/DBTA.2010.5658986}, doi = {10.1109/DBTA.2010.5658986}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dbta/WangWZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/YinWN10, author = {Huilin Yin and Lei Wang and Jing Nong}, editor = {Maozhen Li and Qilian Liang and Lipo Wang and Yibin Song}, title = {Survey on game-theoretic information fusion}, booktitle = {Seventh International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2010, 10-12 August 2010, Yantai, Shandong, China}, pages = {2147--2151}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FSKD.2010.5569745}, doi = {10.1109/FSKD.2010.5569745}, timestamp = {Sat, 25 Jun 2022 17:37:25 +0200}, biburl = {https://dblp.org/rec/conf/fskd/YinWN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/WangYL10, author = {Lei Wang and Jun Ye and Tianrui Li}, editor = {Xiaohua Hu and Tsau Young Lin and Vijay V. Raghavan and Jerzy W. Grzymala{-}Busse and Qing Liu and Andrei Z. Broder}, title = {Supplier Selection Based on Rough Sets and Analytic Hierarchy Process}, booktitle = {2010 {IEEE} International Conference on Granular Computing, GrC 2010, San Jose, California, USA, 14-16 August 2010}, pages = {787--790}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/GrC.2010.75}, doi = {10.1109/GRC.2010.75}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grc/WangYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/YeW10, author = {Jun Ye and Lei Wang}, editor = {Xiaohua Hu and Tsau Young Lin and Vijay V. Raghavan and Jerzy W. Grzymala{-}Busse and Qing Liu and Andrei Z. Broder}, title = {An Evaluation Method Based on Combinatorial Judgement Matrix}, booktitle = {2010 {IEEE} International Conference on Granular Computing, GrC 2010, San Jose, California, USA, 14-16 August 2010}, pages = {826--829}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/GrC.2010.48}, doi = {10.1109/GRC.2010.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grc/YeW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/greencom/PengCLWCR10, author = {Zhipeng Peng and Huajun Chen and Ying Liu and Lei Wang and Jian Chen and Jinghai Rao}, editor = {Peidong Zhu and Lizhe Wang and Feng Xia and Huajun Chen and Ian McLoughlin and Shiao{-}Li Tsao and Mitsuhisa Sato and Sun{-}Ki Chai and Irwin King}, title = {Towards Our Real Life- {SMMS:} Semantic-Based Mobile Mashup System}, booktitle = {2010 {IEEE/ACM} Int'l Conference on Green Computing and Communications, GreenCom 2010, {\&} Int'l Conference on Cyber, Physical and Social Computing, CPSCom 2010, Hangzhou, China, December 18-20, 2010}, pages = {653--658}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/GreenCom-CPSCom.2010.106}, doi = {10.1109/GREENCOM-CPSCOM.2010.106}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/greencom/PengCLWCR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpsr/SheteZWC10, author = {Vikram Shete and Yiyong Zha and Lei Wang and Yuhua Chen}, title = {Cost analysis of {DWDM} multi-mode switching router}, booktitle = {Proceedings of the 11th {IEEE} International Conference on High Performance Switching and Routing, {HPSR} 2010, 13-16 June 2010, Richardson, Texas, {USA}}, pages = {20--25}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/HPSR.2010.5580264}, doi = {10.1109/HPSR.2010.5580264}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/hpsr/SheteZWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ialp/WangCH10, author = {Lei Wang and Baobao Chang and Janet Harkness}, editor = {Minghui Dong and Guodong Zhou and Haoliang Qi and Min Zhang}, title = {A Method of Automatic Translation of Words of Multiple Affixes in Scientific Literature}, booktitle = {International Conference on Asian Language Processing, {IALP} 2010, Harbin, Heilongjiang, China, 28-30 December 2010}, pages = {241--244}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IALP.2010.28}, doi = {10.1109/IALP.2010.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ialp/WangCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaart/WangSTH10, author = {Lei Wang and Tetsuo Sawaragi and Yajie Tian and Yukio Horiguchi}, editor = {Joaquim Filipe and Ana L. N. Fred and Bernadette Sharp}, title = {Integrating Case based Reasoning and Explanation based Learning in an Apprentice Agent}, booktitle = {{ICAART} 2010 - Proceedings of the International Conference on Agents and Artificial Intelligence, Volume 1 - Artificial Intelligence, Valencia, Spain, January 22-24, 2010}, pages = {667--670}, publisher = {{INSTICC} Press}, year = {2010}, timestamp = {Wed, 03 Mar 2010 08:01:07 +0100}, biburl = {https://dblp.org/rec/conf/icaart/WangSTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/HouWLJL10, author = {Qian Hou and Lei Wang and Ningyun Lu and Bin Jiang and Jianhua Lu}, title = {A {FDD} method by combining transfer entropy and signed digraph and its application to air separation unit}, booktitle = {11th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2010, Singapore, 7-10 December 2010, Proceedings}, pages = {352--357}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICARCV.2010.5707830}, doi = {10.1109/ICARCV.2010.5707830}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/HouWLJL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WangLZWM10, author = {Lei Wang and Ke{-}Yan Liu and Tong Zhang and Qin{-}Long Wang and Yue Ma}, title = {Parallel face analysis platform}, booktitle = {Proceedings of the 2010 {IEEE} International Conference on Multimedia and Expo, {ICME} 2010, 19-23 July 2010, Singapore}, pages = {268--269}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICME.2010.5583103}, doi = {10.1109/ICME.2010.5583103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/WangLZWM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuZW10, author = {Ke{-}Yan Liu and Tong Zhang and Lei Wang}, title = {A new parallel video understanding and retrieval system}, booktitle = {Proceedings of the 2010 {IEEE} International Conference on Multimedia and Expo, {ICME} 2010, 19-23 July 2010, Singapore}, pages = {679--684}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICME.2010.5583873}, doi = {10.1109/ICME.2010.5583873}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiuZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ChuFYW10, author = {Ying{-}Min Chu and Ya{-}Nan Fu and Zai{-}Jian Yuan and Lei Wang}, title = {Design of a soil erosion prediction information system for Daqinghe Basin}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {1101--1104}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580942}, doi = {10.1109/ICMLC.2010.5580942}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/ChuFYW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/YuanSWLCL10, author = {Zai{-}Jian Yuan and Yan{-}Jun Shen and Lei Wang and Chang Liu and Shugui Cao and Zhang{-}Wei Lin}, title = {Simulation of energy and carbon fluxes over a typical cropland during the summer maize growing in the Yellow River irrigation region by use of {SIB2}}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {2309--2312}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580655}, doi = {10.1109/ICMLC.2010.5580655}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/YuanSWLCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/WangSZ10, author = {Lei Wang and Wei Sheng and Ruiqing Zhang}, title = {The study of norm vacuum for duplex pressure condenser based on support vector regression and genetic algorithm}, booktitle = {Sixth International Conference on Natural Computation, {ICNC} 2010, Yantai, Shandong, China, 10-12 August 2010}, pages = {945--948}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICNC.2010.5583837}, doi = {10.1109/ICNC.2010.5583837}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icnc/WangSZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/LiLW10, author = {Shuyan Li and Xiangnan Li and Lei Wang}, title = {Knowledge Points Organization Model based on {AND/OR} Graph in {ICAI}}, booktitle = {Sixth International Conference on Natural Computation, {ICNC} 2010, Yantai, Shandong, China, 10-12 August 2010}, pages = {2121--2124}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICNC.2010.5582468}, doi = {10.1109/ICNC.2010.5582468}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnc/LiLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangHL10, author = {Lei Wang and Huaguo Huang and Youqing Luo}, title = {Remote sensing of insect pests in larch forest based on physical model}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2010, July 25-30, 2010, Honolulu, Hawaii, USA, Proceedings}, pages = {3299--3302}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IGARSS.2010.5649528}, doi = {10.1109/IGARSS.2010.5649528}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iske/Zhang0W10, author = {Junbo Zhang and Tianrui Li and Lei Wang}, title = {A new method for calculation of the approximations under the probabilistic rough sets}, booktitle = {2010 {IEEE} International Conference on Intelligent Systems and Knowledge Engineering, {ISKE} 2010, Hangzhou, China, November 15-16, 2010}, pages = {73--76}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISKE.2010.5680797}, doi = {10.1109/ISKE.2010.5680797}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iske/Zhang0W10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/WangSLL10, author = {Lei Wang and Shuicai Shi and Xueqiang Lv and Yuqin Li}, editor = {Liqing Zhang and Bao{-}Liang Lu and James Tin{-}Yau Kwok}, title = {Research and Application to Automatic Indexing}, booktitle = {Advances in Neural Networks - {ISNN} 2010, 7th International Symposium on Neural Networks, {ISNN} 2010, Shanghai, China, June 6-9, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6064}, pages = {330--336}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13318-3\_41}, doi = {10.1007/978-3-642-13318-3\_41}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/WangSLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispe/GuTW10, author = {Wenbin Gu and Dunbing Tang and Lei Wang}, editor = {Jerzy Pokojski and Shuichi Fukuda and J{\'{o}}zef Salwinski}, title = {A Novel Bio-Inspired Approach for Adaptive Manufacturing System Control}, booktitle = {New World Situation: New Directions in Concurrent Engineering, Proceedings of the 17th {ISPE} International Conference on Concurrent Engineering, Cracow, Poland, September 6-10, 2010}, pages = {3--12}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-0-85729-024-3\_1}, doi = {10.1007/978-0-85729-024-3\_1}, timestamp = {Thu, 21 Sep 2023 11:30:01 +0200}, biburl = {https://dblp.org/rec/conf/ispe/GuTW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mvhi/LiuXWW10, author = {Desheng Liu and Zhiru Xu and Wei Wang and Lei Wang}, editor = {Honghua Tan}, title = {Prediction of Coal Mine Safety Level Based on {LSSVM}}, booktitle = {2010 International Conference on Machine Vision and Human-machine Interface, {MVHI} 2010, Kaifeng, China, April 24-25, 2010}, pages = {600--603}, publisher = {{IEEE} Computer Soceity}, year = {2010}, url = {https://doi.org/10.1109/MVHI.2010.71}, doi = {10.1109/MVHI.2010.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mvhi/LiuXWW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwe/WangY10, author = {Lei Wang and Shiwen Yu}, editor = {{\'{E}}ric Laporte and Preslav Nakov and Carlos Ramisch and Aline Villavicencio}, title = {Construction of Chinese Idiom Knowledge-base and Its Applications}, booktitle = {Proceedings of the 2010 Workshop on Multiword Expressions: from Theory to Applications, MWE@COLING 2010, Beijing, China, August 28, 2010}, pages = {11--18}, publisher = {Coling 2010 Organizing Committee}, year = {2010}, url = {https://aclanthology.org/W10-3703/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwe/WangY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncm/WuW10, author = {Bo Wu and Lei Wang}, title = {Application of SOA-based Business Process Management in Outage Management System}, booktitle = {International Conference on Networked Computing and Advanced Information Management, {NCM} 2010, Sixth International Joint Conference on INC, {IMS} and {IDC:} {INC} 2010: International Conference on Networked Computing, {IMS} 2010: International Conference on Advanced Information Management and Service, {IDC} 2010: International Conference on Digital Content, Multimedia Technology and its Applications, Seoul, Korea, August 16-18, 2010}, pages = {103--106}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/document/5572335/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ncm/WuW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/SuiWLWLW10, author = {Tianzhong Sui and Lei Wang and Xiaopeng Li and Feng Wen and Xin Liu and Bangchun Wen}, editor = {Hamid R. Arabnia and Steve C. Chiu and George A. Gravvanis and Minoru Ito and Kazuki Joe and Hiroaki Nishikawa and Ashu M. G. Solo}, title = {Research on Extracting Skeleton of Mechanism Based on Digital Mockup}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2010, Las Vegas, Nevada, USA, July 12-15, 2010, 2 Volumes}, pages = {180--188}, publisher = {{CSREA} Press}, year = {2010}, timestamp = {Tue, 07 Dec 2010 09:22:06 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/SuiWLWLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/WangGCZL10, author = {Lei Wang and Songshan Guo and Shi Chen and Wenbin Zhu and Andrew Lim}, editor = {Byoung{-}Tak Zhang and Mehmet A. Orgun}, title = {Two Natural Heuristics for 3D Packing with Practical Loading Constraints}, booktitle = {{PRICAI} 2010: Trends in Artificial Intelligence, 11th Pacific Rim International Conference on Artificial Intelligence, Daegu, Korea, August 30-September 2, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6230}, pages = {256--267}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15246-7\_25}, doi = {10.1007/978-3-642-15246-7\_25}, timestamp = {Wed, 17 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pricai/WangGCZL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/WangLLW10, author = {Fei Wang and Zhong Luo and Hongyi Liu and Lei Wang}, title = {Impedance model based fuzzy force control for robot manipulator contacting with a constrained surface with uncertain errors}, booktitle = {2010 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2010, Tianjin, China, December 14-18, 2010}, pages = {1555--1558}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ROBIO.2010.5723561}, doi = {10.1109/ROBIO.2010.5723561}, timestamp = {Wed, 28 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/WangLLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/PengCRLWC10, author = {Zhipeng Peng and Huajun Chen and Jinghai Rao and Ying Liu and Lei Wang and Jian Chen}, editor = {Axel Polleres and Huajun Chen}, title = {Semantic-based Mobile Mashup Platform}, booktitle = {Proceedings of the {ISWC} 2010 Posters {\&} Demonstrations Track: Collected Abstracts, Shanghai, China, November 9, 2010}, series = {{CEUR} Workshop Proceedings}, volume = {658}, publisher = {CEUR-WS.org}, year = {2010}, url = {https://ceur-ws.org/Vol-658/paper479.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:09 +0100}, biburl = {https://dblp.org/rec/conf/semweb/PengCRLWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangHNSBO10, author = {Lei Wang and Pawankumar Hegde and Vishal Nawathe and Roman Staszewski and Poras T. Balsara and Vojin G. Oklobdzija}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Design of a link-controller architecture for multiple serial link protocols}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {266--271}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784757}, doi = {10.1109/SOCC.2010.5784757}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangHNSBO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soli/LiuW10, author = {Jiapeng Liu and Lei Wang}, title = {Hybrid channels coordination with product differentiation in e-commerce}, booktitle = {Proceedings of 2010 {IEEE} International Conference on Service Operations and Logistics, and Informatics, {SOLI} 2010, Qingdao, China, 15-17 July 2010}, pages = {436--439}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOLI.2010.5551600}, doi = {10.1109/SOLI.2010.5551600}, timestamp = {Thu, 25 Nov 2021 13:30:30 +0100}, biburl = {https://dblp.org/rec/conf/soli/LiuW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/XuJWM09, author = {Xirong Xu and Jirimutu and Lei Wang and Zhao Min}, title = {On the Gracefulness of the Digraphs n-C\({}_{\mbox{m}}\)}, journal = {Ars Comb.}, volume = {92}, year = {2009}, timestamp = {Tue, 05 Mar 2013 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arscom/XuJWM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/GuoLGYMWLZZYW09, author = {Zheng Guo and Lei Wang and Yongjin Li and Xue Gong and Chen Yao and Wencai Ma and Dong Wang and Yanhui Li and Jing Zhu and Min Zhang and Da Yang and Shaoqi Rao and Jing Wang}, title = {Edge-based scoring and searching method for identifying condition-responsive protein-protein interaction sub-network}, journal = {Bioinform.}, volume = {25}, number = {12}, pages = {1574}, year = {2009}, url = {https://doi.org/10.1093/bioinformatics/btp308}, doi = {10.1093/BIOINFORMATICS/BTP308}, timestamp = {Tue, 12 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/GuoLGYMWLZZYW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WangYP09, author = {Lei Wang and Dongweon Yoon and Sang Kyu Park}, title = {Side Information Inserted Pilot Tone Transmission for {PAPR} Reduction in {OFDM}}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {2}, pages = {687--690}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.687}, doi = {10.1587/TRANSCOM.E92.B.687}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WangYP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcns/Wang09, author = {Lei Wang}, title = {Hierarchical Hypercube Based Pairwise Key Establishment Scheme for Sensor Networks}, journal = {Int. J. Commun. Netw. Syst. Sci.}, volume = {2}, number = {2}, pages = {142--154}, year = {2009}, url = {https://doi.org/10.4236/ijcns.2009.22016}, doi = {10.4236/IJCNS.2009.22016}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcns/Wang09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/WuZWX09, author = {Weiguo Wu and Leiqiang Zhang and Lei Wang and Zhenghua Xue}, title = {A RM-Based Static Deployment System for Cluster}, journal = {Int. J. Distributed Sens. Networks}, volume = {5}, number = {1}, pages = {73}, year = {2009}, url = {https://doi.org/10.1080/15501320802558456}, doi = {10.1080/15501320802558456}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/WuZWX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamds/WangJ09, author = {Lei Wang and Zhiming Jin}, title = {Valuation of Game Options in Jump-Diffusion Model and with Applications to Convertible Bonds}, journal = {Adv. Decis. Sci.}, volume = {2009}, pages = {945923:1--945923:17}, year = {2009}, url = {https://doi.org/10.1155/2009/945923}, doi = {10.1155/2009/945923}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamds/WangJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/WangWI09, author = {Qin Wang and Lei Wang and Masanori Idesawa}, title = {Interpretation of Cross-Traffic Accidents and Playing Catch Based on Newly Found Visual Perception Characteristics}, journal = {J. Robotics Mechatronics}, volume = {21}, number = {6}, pages = {773--779}, year = {2009}, url = {https://doi.org/10.20965/jrm.2009.p0773}, doi = {10.20965/JRM.2009.P0773}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/WangWI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/WangCH09, author = {Lei Wang and Yeol Je Cho and Nan{-}Jing Huang}, title = {Nonzero solutions for a system of variational inequalities in reflexive Banach spaces}, journal = {Math. Comput. Model.}, volume = {49}, number = {3-4}, pages = {579--585}, year = {2009}, url = {https://doi.org/10.1016/j.mcm.2008.03.001}, doi = {10.1016/J.MCM.2008.03.001}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mcm/WangCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/GaoWWYL09, author = {Chao Gao and Jun Wang and Lei Wang and Andrew Yap and Hong Li}, title = {Two-stage hot-carrier degradation behavior of 0.18 {\(\mathrm{\mu}\)}m 18 {V} n-type {DEMOS} and its recovery effect}, journal = {Microelectron. Reliab.}, volume = {49}, number = {1}, pages = {8--12}, year = {2009}, url = {https://doi.org/10.1016/j.microrel.2008.09.009}, doi = {10.1016/J.MICROREL.2008.09.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/GaoWWYL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/QuFLW09, author = {Longjiang Qu and Keqin Feng and Feng Liu and Lei Wang}, title = {Constructing symmetric boolean functions with maximum algebraic immunity}, journal = {{IEEE} Trans. Inf. Theory}, volume = {55}, number = {5}, pages = {2406--2412}, year = {2009}, url = {https://doi.org/10.1109/TIT.2009.2015999}, doi = {10.1109/TIT.2009.2015999}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/QuFLW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/LeiX09, author = {Lei Wang and Xianliang Lu}, editor = {Huaikou Miao and Gongzhu Hu}, title = {A Study of Matrix Data on Reading and Writing Method in Simulation}, booktitle = {8th {IEEE/ACIS} International Conference on Computer and Information Science, {IEEE/ACIS} {ICIS} 2009, June 1-3, 2009, Shanghai, China}, pages = {1055--1060}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICIS.2009.69}, doi = {10.1109/ICIS.2009.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/LeiX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEias/PeiWYPT09, author = {Qingqi Pei and Lei Wang and Hao Yin and Liaojun Pang and Hong Tang}, title = {Layer Key Management Scheme on Wireless Sensor Networks}, booktitle = {Proceedings of the Fifth International Conference on Information Assurance and Security, {IAS} 2009, Xi'An, China, 18-20 August 2009}, pages = {427--431}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IAS.2009.309}, doi = {10.1109/IAS.2009.309}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEias/PeiWYPT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/PalviaJSW09, author = {Prashant Palvia and Tim Jacks and Richard A. M. Schilhavy and Lei Wang}, editor = {Robert C. Nickerson and Ramesh Sharda}, title = {IT's Impact on Organizational Performance: {A} Meta-Analysis}, booktitle = {Proceedings of the 15th Americas Conference on Information Systems, {AMCIS} 2009, San Francisco, California, USA, August 6-9, 2009}, pages = {673}, publisher = {Association for Information Systems}, year = {2009}, url = {http://aisel.aisnet.org/amcis2009/673}, timestamp = {Mon, 02 Jan 2012 19:01:22 +0100}, biburl = {https://dblp.org/rec/conf/amcis/PalviaJSW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/LinWHZWZ09, author = {S. J. Lin and Lei Wang and B. Y. Huang and Y. T. Zhang and X. M. Wu and J. P. Zhao}, editor = {Benny P. L. Lo and Paul D. Mitcheson}, title = {A Pilot Study on BSN-Based Ubiquitous Energy Expenditure Monitoring}, booktitle = {Sixth International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2009, Berkeley, CA, USA, 3-5 June 2009}, pages = {49--52}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BSN.2009.56}, doi = {10.1109/BSN.2009.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/LinWHZWZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/car/WangGXYL09, author = {Lei Wang and Peiyu Guo and Jinhui Xie and Yingying Yao and Jinchun Liang}, title = {A New Rights Processing Method for {DRM} Systems}, booktitle = {2009 International Asia Conference on Informatics in Control, Automation and Robotics, {CAR} 2009, Bangkok, Thailand, 1-2 February 2009}, pages = {278--281}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CAR.2009.12}, doi = {10.1109/CAR.2009.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/car/WangGXYL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/WangVTWM10, author = {Lei Wang and Eleonore De Vial and Laurissa N. Tokarchuk and Yapeng Wang and Athen Ma}, title = {Blue Danger: Live Action Gaming Over Bluetooth}, booktitle = {6th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2009, Las Vegas, NV, USA, 10-13 Jan., 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CCNC.2009.4784969}, doi = {10.1109/CCNC.2009.4784969}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/WangVTWM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/WangCH09, author = {Lei Wang and Peng Chen and Lian'en Huang}, editor = {David Wai{-}Lok Cheung and Il{-}Yeol Song and Wesley W. Chu and Xiaohua Hu and Jimmy Lin}, title = {An efficient clustering algorithm for large-scale topical web pages}, booktitle = {Proceedings of the 18th {ACM} Conference on Information and Knowledge Management, {CIKM} 2009, Hong Kong, China, November 2-6, 2009}, pages = {1851--1854}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645953.1646247}, doi = {10.1145/1645953.1646247}, timestamp = {Fri, 27 Aug 2021 11:13:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/WangCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/LeiN09, author = {Lei Wang and Ning He}, title = {Study on Buffer Optimal Control Algorithm Based on Infinitesimal Perturbation Analysis for Broadband Satellite Networks}, booktitle = {Eighth {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2009, Chengdu, China, 12-14 December, 2009}, pages = {523--526}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DASC.2009.165}, doi = {10.1109/DASC.2009.165}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/LeiN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/det/TangWGYT09, author = {Dunbing Tang and Lei Wang and Wenbin Gu and Weidong Yuan and Dingshan Tang}, editor = {George Q. Huang and Kai{-}Ling Mak and Paul G. Maropoulos}, title = {Modelling of Bio-inspired Manufacturing System}, booktitle = {Proceedings of the 6th CIRP-Sponsored International Conference on Digital Enterprise Technology, {DET} 2009, Hong Kong, China, December 14.16, 2009}, series = {Advances in Intelligent and Soft Computing}, volume = {66}, pages = {1165--1174}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-10430-5\_89}, doi = {10.1007/978-3-642-10430-5\_89}, timestamp = {Wed, 14 Nov 2018 10:56:40 +0100}, biburl = {https://dblp.org/rec/conf/det/TangWGYT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/HuLWR09, author = {Minghan Hu and Ying Liu and Lei Wang and Debin Ren}, editor = {Yixin Chen and Hepu Deng and Degan Zhang and Yingyuan Xiao}, title = {A New Text Feature Conversion Method for Text Classification}, booktitle = {Sixth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2009, Tianjin, China, 14-16 August 2009, 6 Volumes}, pages = {62--66}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FSKD.2009.579}, doi = {10.1109/FSKD.2009.579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/HuLWR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/QuLWMW09, author = {Qiang Qu and Hongyan Li and Lei Wang and Gaoshan Miao and Xin Wei}, editor = {Yixin Chen and Hepu Deng and Degan Zhang and Yingyuan Xiao}, title = {Online Constrained Pattern Detection over Streams}, booktitle = {Sixth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2009, Tianjin, China, 14-16 August 2009, 6 Volumes}, pages = {66--70}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FSKD.2009.17}, doi = {10.1109/FSKD.2009.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/QuLWMW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ZhaiLWG09, author = {Yuyi Zhai and Liang Liu and Lei Wang and Zhenbang Gong}, editor = {Yixin Chen and Hepu Deng and Degan Zhang and Yingyuan Xiao}, title = {Fuzzy Control Strategy of Sub-mini Underwater Robots in Rectifying Control}, booktitle = {Sixth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2009, Tianjin, China, 14-16 August 2009, 6 Volumes}, pages = {218--221}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FSKD.2009.316}, doi = {10.1109/FSKD.2009.316}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/ZhaiLWG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ShangW09, author = {Fuhua Shang and Lei Wang}, editor = {Yixin Chen and Hepu Deng and Degan Zhang and Yingyuan Xiao}, title = {{SVM} Model Based on Signal Transformation and its Applications in Oil Water-Flooded Identification}, booktitle = {Sixth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2009, Tianjin, China, 14-16 August 2009, 6 Volumes}, pages = {220--224}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FSKD.2009.100}, doi = {10.1109/FSKD.2009.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/ShangW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WooKWX09, author = {Seok Woo and Kiseon Kim and Lei Wang and Yang Xiao}, title = {Parameter Setting and 2-D Stability Conditions for {TCP/RED} Networks}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5425365}, doi = {10.1109/GLOCOM.2009.5425365}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WooKWX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuLTWL09, author = {Ke{-}Yan Liu and Shanqing Li and Liang Tang and Lei Wang and Wei Liu}, title = {Fast face tracking using parallel particle filter algorithm}, booktitle = {Proceedings of the 2009 {IEEE} International Conference on Multimedia and Expo, {ICME} 2009, June 28 - July 2, 2009, New York City, NY, {USA}}, pages = {1302--1305}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICME.2009.5202741}, doi = {10.1109/ICME.2009.5202741}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/LiuLTWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuTLWL09, author = {Ke{-}Yan Liu and Liang Tang and Shanqing Li and Lei Wang and Wei Liu}, title = {Parallel particle filter algorithm in face tracking}, booktitle = {Proceedings of the 2009 {IEEE} International Conference on Multimedia and Expo, {ICME} 2009, June 28 - July 2, 2009, New York City, NY, {USA}}, pages = {1817--1820}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICME.2009.5202876}, doi = {10.1109/ICME.2009.5202876}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/LiuTLWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/WangZZ09a, author = {Lei Wang and Yuwen Zhou and Weiwei Zhao}, editor = {Haiying Wang and Kay Soon Low and Kexin Wei and Junqing Sun}, title = {Comparative Study on Bionic Optimization Algorithms for Sewer Optimal Design}, booktitle = {Fifth International Conference on Natural Computation, {ICNC} 2009, Tianjian, China, 14-16 August 2009, 6 Volumes}, pages = {24--29}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICNC.2009.89}, doi = {10.1109/ICNC.2009.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/WangZZ09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/GeDWYPW09, author = {Manling Ge and Guoya Dong and Tingting Wang and Li Yang and XueLian Pang and Lei Wang}, editor = {Haiying Wang and Kay Soon Low and Kexin Wei and Junqing Sun}, title = {The Application of Non-linear Regression Method to the Functional Connectivity during the Encoding and the Retrieval of Chinese Semantic Memory}, booktitle = {Fifth International Conference on Natural Computation, {ICNC} 2009, Tianjian, China, 14-16 August 2009, 6 Volumes}, pages = {423--427}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICNC.2009.315}, doi = {10.1109/ICNC.2009.315}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/GeDWYPW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/WangZ09, author = {Lei Wang and Ruiqing Zhang}, editor = {Haiying Wang and Kay Soon Low and Kexin Wei and Junqing Sun}, title = {Fault Diagnosis of Regenerative Water Heater Based-On Multi-class Support Vector Machines}, booktitle = {Fifth International Conference on Natural Computation, {ICNC} 2009, Tianjian, China, 14-16 August 2009, 6 Volumes}, pages = {489--492}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICNC.2009.431}, doi = {10.1109/ICNC.2009.431}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/WangZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/ChenWX09, author = {Xuhui Chen and Lei Wang and Yong Xu}, editor = {Haiying Wang and Kay Soon Low and Kexin Wei and Junqing Sun}, title = {A Symmetric Orthogonal FastICA Algorithm and Applications in {EEG}}, booktitle = {Fifth International Conference on Natural Computation, {ICNC} 2009, Tianjian, China, 14-16 August 2009, 6 Volumes}, pages = {504--508}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICNC.2009.482}, doi = {10.1109/ICNC.2009.482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/ChenWX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LuHW09, author = {Ching{-}Hsi Lu and Hong{-}Yang Hsu and Lei Wang}, editor = {Jeng{-}Shyang Pan and Yen{-}Wei Chen and Lakhmi C. Jain}, title = {A New Contrast Enhancement Technique Implemented on {FPGA} for Real Time Image Processing}, booktitle = {Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings}, pages = {542--545}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IIH-MSP.2009.100}, doi = {10.1109/IIH-MSP.2009.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/LuHW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iitsi/TaoL09, author = {Tao Zhou and Lei Wang}, title = {Mixed Gases Recognition Based on Feedforward Neural Network}, booktitle = {Second International Symposium on Intelligent Information Technology and Security Informatics, {IITSI} 2009, January 23-25, 2009, Moscow, Russia}, pages = {128--131}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IITSI.2009.35}, doi = {10.1109/IITSI.2009.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iitsi/TaoL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLC09, author = {Lei Wang and Leibo Liu and Hongyi Chen}, title = {A Fast-locking and Wide-range Reversible {SAR} {DLL}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {992--995}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117925}, doi = {10.1109/ISCAS.2009.5117925}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscid/WangYHLLC09, author = {Lei Wang and Jiancheng Yang and Xiaoqin Han and Lei Li and Yan Liu and Liangchao Cong}, editor = {Yongchuan Tang and Jonathan Lawry}, title = {The Research on Controlling Method of Loom Warp Tension}, booktitle = {2009 Second International Symposium on Computational Intelligence and Design, {ISCID} 2009, Changsha, Hunan, China, 12-14 December 2009, 2 Volumes}, pages = {115--118}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISCID.2009.36}, doi = {10.1109/ISCID.2009.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscid/WangYHLLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/WangY09, author = {Lei Wang and Yong Yang}, editor = {Wen Yu and Haibo He and Nian Zhang}, title = {Selective Ensemble Algorithms of Support Vector Machines Based on Constraint Projection}, booktitle = {Advances in Neural Networks - {ISNN} 2009, 6th International Symposium on Neural Networks, {ISNN} 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5552}, pages = {287--295}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01510-6\_33}, doi = {10.1007/978-3-642-01510-6\_33}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/WangY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/WangX09, author = {Lei Wang and Yong Xu}, editor = {Wen Yu and Haibo He and Nian Zhang}, title = {Synchronization between Two Different Hyperchaotic Dynamical Systems Using Nonlinear Control}, booktitle = {Advances in Neural Networks - {ISNN} 2009, 6th International Symposium on Neural Networks, {ISNN} 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5552}, pages = {1160--1164}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01510-6\_132}, doi = {10.1007/978-3-642-01510-6\_132}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/WangX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/WangXW09, author = {Lei Wang and Jian{-}Hao Xu and Ti{-}Biao Wang}, editor = {Wen Yu and Haibo He and Nian Zhang}, title = {Chaos Control of Lorenz System Using Small Gain Theorem}, booktitle = {Advances in Neural Networks - {ISNN} 2009, 6th International Symposium on Neural Networks, {ISNN} 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5552}, pages = {1165--1170}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01510-6\_133}, doi = {10.1007/978-3-642-01510-6\_133}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/WangXW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcai/WangLQZZ09, author = {Lei Wang and Hongyan Li and Qiang Qu and Huaqiang Zhang and Bin Zhou}, title = {Verifying the Consistency between Business Process Model and Data Model}, booktitle = {First {IITA} International Joint Conference on Artificial Intelligence, Hainan Island, China, 25-26 April 2009}, pages = {171--174}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/JCAI.2009.122}, doi = {10.1109/JCAI.2009.122}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcai/WangLQZZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WangOBBB09, author = {Lei Wang and Markus Olbrich and Erich Barke and Thomas B{\"{u}}chner and Markus B{\"{u}}hler}, title = {Fast dynamic power estimation considering glitch filtering}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2009, September 9-11, 2009, Belfast, Northern Ireland, UK, Proceedings}, pages = {361--364}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCCON.2009.5398019}, doi = {10.1109/SOCCON.2009.5398019}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/WangOBBB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssme/WangL09b, author = {Lei Wang and Ai{-}min Luo}, title = {Service View Description of a Service Oriented {C4ISR} Architecture Framework}, booktitle = {2009 {IITA} International Conference on Services Science, Management and Engineering, {SSME} 2009, 11-12 July 2009, Zhangjiajie, China}, pages = {237--241}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SSME.2009.63}, doi = {10.1109/SSME.2009.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssme/WangL09b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WangYP08, author = {Lei Wang and Dongweon Yoon and Sang Kyu Park}, title = {Exact Distribution of the Amplitude of Adaptively Selected {OFDM} Signal Samples}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {1}, pages = {355--358}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.1.355}, doi = {10.1093/IETCOM/E91-B.1.355}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WangYP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/WangCTX08, author = {Lei Wang and Yongkang Chen and William Tiu and Yigeng Xu}, title = {Simulation of near-tip crack behaviour and its correlation to fatigue crack growth with a modified strip-yield model}, journal = {Int. J. Model. Identif. Control.}, volume = {5}, number = {1}, pages = {77--91}, year = {2008}, url = {https://doi.org/10.1504/IJMIC.2008.021777}, doi = {10.1504/IJMIC.2008.021777}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmic/WangCTX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WangJL08, author = {Lei Wang and Huading Jia and Jie Li}, title = {Training robust support vector machine with smooth Ramp loss in the primal space}, journal = {Neurocomputing}, volume = {71}, number = {13-15}, pages = {3020--3025}, year = {2008}, url = {https://doi.org/10.1016/j.neucom.2007.12.032}, doi = {10.1016/J.NEUCOM.2007.12.032}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/WangJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/WangZJL08, author = {Lei Wang and Yanqin Zhu and Lanfang Jin and Xizhao Luo}, editor = {Roger Y. Lee}, title = {Trust Mechanism in Distributed Access Control Model of {P2P} Networks}, booktitle = {7th {IEEE/ACIS} International Conference on Computer and Information Science, {IEEE/ACIS} {ICIS} 2008, 14-16 May 2008, Portland, Oregon, {USA}}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICIS.2008.92}, doi = {10.1109/ICIS.2008.92}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/WangZJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEicci/WangOWFH08, author = {Lei Wang and Daniel O'Connor and Ying Wang and Guoyin Feng and Lin He}, editor = {Yingxu Wang and Du Zhang and Jean{-}Claude Latombe and Witold Kinsner}, title = {A pharmacogenomic study on the polymorphic gene response to risperidone in schizophrenia patients}, booktitle = {Proceedings of the Seventh {IEEE} International Conference on Cognitive Informatics, {ICCI} 2008, Stanford University, California, USA, August 14-16, 2008}, pages = {89--93}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/COGINF.2008.4639155}, doi = {10.1109/COGINF.2008.4639155}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEicci/WangOWFH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/NardiniWPBK08, author = {Christine Nardini and Lei Wang and Hesen Peng and Luca Benini and Michael D. Kuo}, editor = {Ana L. N. Fred and Joaquim Filipe and Hugo Gamboa}, title = {MM-Correction: Meta-analysis-Based Multiple Hypotheses Correction in Omic Studies}, booktitle = {Biomedical Engineering Systems and Technologies, International Joint Conference, {BIOSTEC} 2008, Funchal, Madeira, Portugal, January 28-31, 2008, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {25}, pages = {242--255}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-92219-3\_18}, doi = {10.1007/978-3-540-92219-3\_18}, timestamp = {Tue, 16 Aug 2022 23:04:32 +0200}, biburl = {https://dblp.org/rec/conf/biostec/NardiniWPBK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/c3s2e/DuW08, author = {Weichang Du and Lei Wang}, editor = {Bipin C. Desai}, title = {Context-aware application programming for mobile devices}, booktitle = {Canadian Conference on Computer Science {\&} Software Engineering, {C3S2E} 2008, Montreal, Quebec, Canada, May 12-13, 2008, Proceedings}, series = {{ACM} International Conference Proceeding Series}, volume = {290}, pages = {215--227}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1370256.1370292}, doi = {10.1145/1370256.1370292}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/c3s2e/DuW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LiangFZWZYBC08, author = {Litao Liang and Zhiyong Feng and Ping Zhang and Lei Wang and Qixun Zhang and Yifan Yu and Yong Bai and Lan Chen}, title = {A Mode and Channel Selection Scheme for Plug-and-Play Multi-Mode Access Point}, booktitle = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, pages = {538--542}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ccnc08.2007.125}, doi = {10.1109/CCNC08.2007.125}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LiangFZWZYBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HuangWL08, author = {Lian'en Huang and Lei Wang and Xiaoming Li}, editor = {James G. Shanahan and Sihem Amer{-}Yahia and Ioana Manolescu and Yi Zhang and David A. Evans and Aleksander Kolcz and Key{-}Sun Choi and Abdur Chowdhury}, title = {Achieving both high precision and high recall in near-duplicate detection}, booktitle = {Proceedings of the 17th {ACM} Conference on Information and Knowledge Management, {CIKM} 2008, Napa Valley, California, USA, October 26-30, 2008}, pages = {63--72}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1458082.1458094}, doi = {10.1145/1458082.1458094}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/HuangWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csse/ZhaoYSW08, author = {Changhai Zhao and Haihua Yan and Xiaohua Shi and Lei Wang}, title = {{DECF:} {A} Coarse-Grained Data-Parallel Programming Framework for Seismic Processing}, booktitle = {International Conference on Computer Science and Software Engineering, {CSSE} 2008, Volume 3: Grid Computing / Distributed and Parallel Computing / Information Security, December 12-14, 2008, Wuhan, China}, pages = {454--460}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CSSE.2008.556}, doi = {10.1109/CSSE.2008.556}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csse/ZhaoYSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csse/ZhouLLWZ08, author = {Bin Zhou and Hongyan Li and Chao Li and Lei Wang and Huaqiang Zhang}, title = {A Hybrid Method for Constructing Semi-structural Process in Knowledge-Intensive Information System}, booktitle = {International Conference on Computer Science and Software Engineering, {CSSE} 2008, Volume 4: Embedded Programming / Database Technology / Neural Networks and Applications / Other Applications, December 12-14, 2008, Wuhan, China}, pages = {655--658}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CSSE.2008.459}, doi = {10.1109/CSSE.2008.459}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csse/ZhouLLWZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/WangWXY08a, author = {Tongsen Wang and Lei Wang and Zhijun Xie and Ronghua Yang}, title = {Data Compression Algorithm Based on Hierarchical Cluster Model for Sensor Networks}, booktitle = {The Second International Conference on Future Generation Communication and Networking, {FGCN} 2008, Volume 2, Workshops, Hainan Island, China, December 13-15, 2008}, pages = {319--323}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/FGCN.2008.96}, doi = {10.1109/FGCN.2008.96}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/WangWXY08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/LuWMZJ08, author = {Zhiying Lu and Lei Wang and Hongmin Ma and Qimeng Zhang and Huizhen Jia}, editor = {Jun Ma and Yilong Yin and Jian Yu and Shuigeng Zhou}, title = {Hailstone Detection Based on Image Mining}, booktitle = {Fifth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2008, 18-20 October 2008, Jinan, Shandong, China, Proceedings, Volume 4}, pages = {39--43}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/FSKD.2008.375}, doi = {10.1109/FSKD.2008.375}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/LuWMZJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/HuWWW08, author = {Minghan Hu and Shijun Wang and Anhui Wang and Lei Wang}, editor = {Jun Ma and Yilong Yin and Jian Yu and Shuigeng Zhou}, title = {Feature Extraction Based on the Independent Component Analysis for Text Classification}, booktitle = {Fifth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2008, 18-20 October 2008, Jinan, Shandong, China, Proceedings, Volume 2}, pages = {296--300}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/FSKD.2008.340}, doi = {10.1109/FSKD.2008.340}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/HuWWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/Wang08e, author = {Lei Wang}, editor = {Jun Ma and Yilong Yin and Jian Yu and Shuigeng Zhou}, title = {{MU-MAQAS:} {A} {P2P} based Model Updatable Mobile Automatic {Q/A} System}, booktitle = {Fifth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2008, 18-20 October 2008, Jinan, Shandong, China, Proceedings, Volume 5}, pages = {474--478}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/FSKD.2008.154}, doi = {10.1109/FSKD.2008.154}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/Wang08e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangCT08, author = {Lei Wang and Yuhua Chen and Mona Thaker}, title = {Virtual Burst Assembly - {A} Solution to Out-of-Sequence Delivery in Optical Burst Switching Networks}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {2617--2622}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.502}, doi = {10.1109/GLOCOM.2008.ECP.502}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WangCT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciw/WangDW08, author = {Bo Wang and Zhenhua Duan and Lei Wang}, editor = {Abdelhamid Mellouk and Jun Bi and Guadalupe Ortiz and Dickson K. W. Chiu and Manuela Popescu}, title = {Kapa: {A} File Sharing System Based on {HP2P}}, booktitle = {Third International Conference on Internet and Web Applications and Services, {ICIW} 2008, 8-13 June 2008, Athens, Greece}, pages = {403--409}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICIW.2008.48}, doi = {10.1109/ICIW.2008.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciw/WangDW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/FuWW08, author = {Wenxiu Fu and Lei Wang and Xu Wang}, editor = {Maozu Guo and Liang Zhao and Lipo Wang}, title = {Multi-Video-Object Segmentation Based on {SOFM} Network for Compressed Video Sequences}, booktitle = {Fourth International Conference on Natural Computation, {ICNC} 2008, Jinan, Shandong, China, 18-20 October 2008, Volume 3}, pages = {255--259}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICNC.2008.880}, doi = {10.1109/ICNC.2008.880}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/FuWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/WangF08, author = {Lei Wang and Michael Franz}, title = {Automatic Partitioning of Object-Oriented Programs for Resource-Constrained Mobile Devices with Multiple Distribution Objectives}, booktitle = {14th International Conference on Parallel and Distributed Systems, {ICPADS} 2008, Melbourne, Victoria, Australia, December 8-10, 2008}, pages = {369--376}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPADS.2008.84}, doi = {10.1109/ICPADS.2008.84}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/WangF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/LuWY08, author = {Ling Lu and Lei Wang and Xuedong Yang}, editor = {Daoliang Li and Chunjiang Zhao}, title = {A Visualization Model of Flower Based on Deformation}, booktitle = {Computer and Computing Technologies in Agriculture II, Volume 2 - The Second {IFIP} International Conference on Computer and Computing Technologies in Agriculture (CCTA2008), October 18-20, 2008, Beijing, China}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {294}, pages = {1487--1495}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-1-4419-0211-5\_78}, doi = {10.1007/978-1-4419-0211-5\_78}, timestamp = {Fri, 12 Jul 2019 11:39:36 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/LuWY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangC08, author = {Lei Wang and Qiuming Cheng}, title = {Flood Forecasting and Risk Maping using a Web-based Spatial Decision Support Services Approach}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2008, July 8-11, 2008, Boston, Massachusetts, USA, Proceedings}, pages = {585--588}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IGARSS.2008.4779415}, doi = {10.1109/IGARSS.2008.4779415}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangDZLS08, author = {Lei Wang and Xiangzheng Deng and Jinyan Zhan and Yujiang Li and Hongbo Su}, title = {Assessment of Suitability of Land Uses using a Multi-Source Information Fusion Method: {A} Case Study in the Hilly Area of Shandong Peninsular of China}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2008, July 8-11, 2008, Boston, Massachusetts, USA, Proceedings}, pages = {715--718}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IGARSS.2008.4779822}, doi = {10.1109/IGARSS.2008.4779822}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangDZLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChertLW0C08, author = {Quan Chen and Zhen Li and Lei Wang and Yun Shao and Tianhai Cheng}, title = {Soil Moisture Change Retrieval Using S-Band Radar Data During {SGP99} and {SMEX02}}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2008, July 8-11, 2008, Boston, Massachusetts, USA, Proceedings}, pages = {809--812}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IGARSS.2008.4779117}, doi = {10.1109/IGARSS.2008.4779117}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChertLW0C08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/DengZWSY08, author = {Xiangzheng Deng and Jinyan Zhan and Lei Wang and Hongbo Su and Huimin Yan}, title = {Predicting Soil Organic Carbon Dynamics Under Various Scenarios using Data Assimilation Strategy: a Case Study in the North China Plain}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2008, July 8-11, 2008, Boston, Massachusetts, USA, Proceedings}, pages = {822--825}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IGARSS.2008.4779849}, doi = {10.1109/IGARSS.2008.4779849}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/DengZWSY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/YangLWLLZQ08, author = {Muyun Yang and Shujie Liu and Lei Wang and Sheng Li and Jufeng Li and Tiejun Zhao and Haoliang Qi}, editor = {Helen M. Meng and Hui Jiang and Jianhua Tao and Ren{-}Hua Wang}, title = {An {EMD} Based Approach to Transliteration Unit Alignment between English and Chinese}, booktitle = {6th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2008, 16-19 December, 2008, Kunming, China}, pages = {277--280}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CHINSL.2008.ECP.81}, doi = {10.1109/CHINSL.2008.ECP.81}, timestamp = {Mon, 18 Mar 2024 17:29:23 +0100}, biburl = {https://dblp.org/rec/conf/iscslp/YangLWLLZQ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/WangW08, author = {Xuan Wang and Lei Wang}, editor = {Jeng{-}Shyang Pan and Ajith Abraham and Chin{-}Chen Chang}, title = {{P2P} Recommendation Trust Model}, booktitle = {Eighth International Conference on Intelligent Systems Design and Applications, {ISDA} 2008, 26-28 November 2008, Kaohsiung, Taiwan, 3 Volumes}, pages = {591--595}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISDA.2008.227}, doi = {10.1109/ISDA.2008.227}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isda/WangW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isecs/ChenW08, author = {Shulin Chen and Lei Wang}, editor = {Fei Yu and Qi Luo and Yongjun Chen and Zhigang Chen}, title = {The Algorithm of Obtaining Best Rules Based on Rough Set}, booktitle = {Proceedings of The International Symposium on Electronic Commerce and Security, {ISECS} 2008, August 3-5, 2008, Guangzhou, China}, pages = {7--10}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISECS.2008.14}, doi = {10.1109/ISECS.2008.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isecs/ChenW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isip/WangC08, author = {Lei Wang and Shulin Chen}, editor = {Fei Yu and Qi Luo}, title = {A Pattern Matching Algorithm in {P2P} Mobile {QA} System Answer Extracting Process}, booktitle = {International Symposium on Information Processing, {ISIP} 2008 / International Pacific Workshop on Web Mining, and Web-Based Application, {WMWA} 2008, Moscow, Russia, 23-25 May 2008}, pages = {214--218}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISIP.2008.51}, doi = {10.1109/ISIP.2008.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isip/WangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iske/SunZW08, author = {Zhe Sun and Shiwu Zhang and Lei Wang}, title = {Chosen {IV} algebraic attack on One.Fivium}, booktitle = {3rd International Conference on Intelligent System and Knowledge Engineering, {ISKE} 2008, Xiamen, China, November 17-19, 2008}, pages = {1427--1431}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISKE.2008.4731156}, doi = {10.1109/ISKE.2008.4731156}, timestamp = {Wed, 10 Nov 2021 12:36:01 +0100}, biburl = {https://dblp.org/rec/conf/iske/SunZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpke/YaoWG08, author = {Wenlin Yao and Lei Wang and Lingling Gao}, title = {An ungreedy Chinese deterministic dependency parser considering long-distance dependency}, booktitle = {Proceedings of the 4th International Conference on Natural Language Processing and Knowledge Engineering, {NLPKE} 2008, Beijing, China, October 19-22, 2008}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/NLPKE.2008.4906818}, doi = {10.1109/NLPKE.2008.4906818}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/nlpke/YaoWG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/LuWWH08, author = {Ching{-}Hsi Lu and Yu{-}Sheng Wang and Lei Wang and Hong{-}Yang Hsu}, editor = {Yueh{-}Min Huang and Changsheng Xu and Kuo{-}Sheng Cheng and Jar{-}Ferr Yang and M. N. S. Swamy and Shipeng Li and Jen{-}Wen Ding}, title = {A Platform Implementation for Real Time Image Processing}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2008, 9th Pacific Rim Conference on Multimedia, Tainan, Taiwan, December 9-13, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5353}, pages = {238--247}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89796-5\_25}, doi = {10.1007/978-3-540-89796-5\_25}, timestamp = {Mon, 23 Nov 2020 15:58:17 +0100}, biburl = {https://dblp.org/rec/conf/pcm/LuWWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/YuanSPPWSL08, author = {Fenghua Yuan and Wen{-}Zhan Song and Nina M. Peterson and Yang Peng and Lei Wang and Behrooz A. Shirazi and Richard LaHusen}, title = {A Lightweight Sensor Network Management System Design}, booktitle = {Sixth Annual {IEEE} International Conference on Pervasive Computing and Communications (PerCom 2008), 17-21 March 2008, Hong Kong}, pages = {288--293}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/PERCOM.2008.36}, doi = {10.1109/PERCOM.2008.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/YuanSPPWSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/WangRPT08, author = {Lei Wang and Paul Roe and Binh Pham and Dian Tjondronegoro}, editor = {Roger L. Wainwright and Hisham Haddad}, title = {An audio wiki supporting mobile collaboration}, booktitle = {Proceedings of the 2008 {ACM} Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008}, pages = {1889--1896}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1363686.1364145}, doi = {10.1145/1363686.1364145}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/WangRPT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/ShenWBLC08, author = {Huawei Shen and Lei Wang and Wenjing Bi and Yue Liu and Xueqi Cheng}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {Research on Enterprise Track of {TREC} 2008}, booktitle = {Proceedings of The Seventeenth Text REtrieval Conference, {TREC} 2008, Gaithersburg, Maryland, USA, November 18-21, 2008}, series = {{NIST} Special Publication}, volume = {500-277}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2008}, url = {http://trec.nist.gov/pubs/trec17/papers/cas-ict.ent.rev.pdf}, timestamp = {Wed, 03 Feb 2021 08:31:24 +0100}, biburl = {https://dblp.org/rec/conf/trec/ShenWBLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/WuWC08, author = {Keying Wu and Lei Wang and Liyu Cai}, title = {Joint Multiuser Precoding and Scheduling with Imperfect Channel State Information at the Transmitter}, booktitle = {Proceedings of the 67th {IEEE} Vehicular Technology Conference, {VTC} Spring 2008, 11-14 May 2008, Singapore}, pages = {265--269}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECS.2008.67}, doi = {10.1109/VETECS.2008.67}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/WuWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waim/ZhouLWZL08, author = {Bin Zhou and Hongyan Li and Lei Wang and Huaqiang Zhang and Meimei Li}, title = {{PASE:} {A} Prototype for Ad-hoc Process-Aware Information System Declaratively Constructing Environment}, booktitle = {The Ninth International Conference on Web-Age Information Management, {WAIM} 2008, July 20-22, 2008, Zhangjiajie, China}, pages = {473--474}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WAIM.2008.40}, doi = {10.1109/WAIM.2008.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/waim/ZhouLWZL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/WuWC08, author = {Keying Wu and Lei Wang and Liyu Cai}, title = {A CCI-Feedback-Aided Scheduling Technique for {MU-MIMO}}, booktitle = {{WCNC} 2008, {IEEE} Wireless Communications {\&} Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings}, pages = {325--329}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/WCNC.2008.62}, doi = {10.1109/WCNC.2008.62}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/WuWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/FengLWZYBC08, author = {Zhiyong Feng and Litao Liang and Lei Wang and Qixun Zhang and Yifan Yu and Yong Bai and Lan Chen}, title = {Research on Neighboring APs Discovery Methods in PnP {WLAN}}, booktitle = {{WCNC} 2008, {IEEE} Wireless Communications {\&} Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings}, pages = {1979--1984}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/WCNC.2008.352}, doi = {10.1109/WCNC.2008.352}, timestamp = {Sun, 22 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/FengLWZYBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0809-5087, author = {Yuhua Chen and Subhash C. Kak and Lei Wang}, title = {Hybrid Neural Network Architecture for On-Line Learning}, journal = {CoRR}, volume = {abs/0809.5087}, year = {2008}, url = {http://arxiv.org/abs/0809.5087}, eprinttype = {arXiv}, eprint = {0809.5087}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0809-5087.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LiZW07, author = {Feng Li and Shihua Zhu and Lei Wang}, title = {Low-Complex Equalization for Single Carrier Systems Employing Cyclic Prefix over Time-Variant Channels}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {12}, pages = {3733--3737}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.12.3733}, doi = {10.1093/IETCOM/E90-B.12.3733}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LiZW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WangSZ07, author = {Lei Wang and Shixin Sun and Kai Zhang}, title = {A fast approximate algorithm for training L\({}_{\mbox{1}}\)-SVMs in primal space}, journal = {Neurocomputing}, volume = {70}, number = {7-9}, pages = {1554--1560}, year = {2007}, url = {https://doi.org/10.1016/j.neucom.2006.11.003}, doi = {10.1016/J.NEUCOM.2006.11.003}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/WangSZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/SchleicherWY07, author = {J{\"{o}}rg Schleicher and Lei Wang and Jin Yun Yuan}, title = {Mathematical Modelling and Mathematical Methods in Energy}, journal = {Numer. Linear Algebra Appl.}, volume = {14}, number = {4}, pages = {255}, year = {2007}, url = {https://doi.org/10.1002/nla.521}, doi = {10.1002/NLA.521}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nla/SchleicherWY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/XiangWLW07, author = {Wei Xiang and Gang Wu and Qing Ling and Lei Wang}, title = {Piecewise Patching for Time-shifted {TV} Over {HFC} Networks}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {53}, number = {3}, pages = {891--897}, year = {2007}, url = {https://doi.org/10.1109/TCE.2007.4341562}, doi = {10.1109/TCE.2007.4341562}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/XiangWLW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiia/LiZW07, author = {Peifeng Li and Qiaoming Zhu and Lei Wang}, editor = {Roberto Basili and Maria Teresa Pazienza}, title = {Recognizing Chinese Proper Nouns with Transformation-Based Learning and Ontology}, booktitle = {AI*IA 2007: Artificial Intelligence and Human-Oriented Computing, 10th Congress of the Italian Association for Artificial Intelligence, Rome, Italy, September 10-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4733}, pages = {822--830}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74782-6\_74}, doi = {10.1007/978-3-540-74782-6\_74}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/aiia/LiZW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/LiuZBWDW07, author = {Xiyang Liu and Miao Zhang and Zhiwen Bai and Lei Wang and Wen Du and Yan Wang}, title = {Function Call Flow based Fitness Function Design in Evolutionary Testing}, booktitle = {14th Asia-Pacific Software Engineering Conference {(APSEC} 2007), 5-7 December 2007, Nagoya, Japan}, pages = {57--64}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/APSEC.2007.60}, doi = {10.1109/APSEC.2007.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsec/LiuZBWDW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/LiLMW07, author = {Xu Li and Guo{-}Hua Liu and Huidong Ma and Lei Wang}, title = {The Representation of Chinese Semantic Knowledge and its Application in the Document Copy Detection}, booktitle = {Computational Intelligence and Security, International Conference, {CIS} 2007, Harbin, Heilongjiang, China, December 15-19, 2007}, pages = {977--980}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CIS.2007.45}, doi = {10.1109/CIS.2007.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cis/LiLMW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emmcvpr/XieJW07, author = {Fengying Xie and Zhi{-}Guo Jiang and Lei Wang}, editor = {Alan L. Yuille and Song Chun Zhu and Daniel Cremers and Yongtian Wang}, title = {Skew Detection Algorithm for Form Document Based on Elongate Feature}, booktitle = {Energy Minimization Methods in Computer Vision and Pattern Recognition, 6th International Conference, {EMMCVPR} 2007, Ezhou, China, August 27-29, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4679}, pages = {127--136}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74198-5\_10}, doi = {10.1007/978-3-540-74198-5\_10}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emmcvpr/XieJW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcc/HuangWZL07, author = {Kun Huang and Lei Wang and Dafang Zhang and Yongwei Liu}, title = {A Dynamic Quota-Based Peer Selection Strategy in BitTorrent}, booktitle = {Grid and Cooperative Computing, Sixth International Conference on Grid and Cooperative Computing, {GCC} 2007, August 16-18, 2007, Urumchi, Xinjiang, China, Proceedings}, pages = {267--274}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/GCC.2007.6}, doi = {10.1109/GCC.2007.6}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcc/HuangWZL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LiuWZBZL07, author = {Xiyang Liu and Lei Wang and Xiubin Zhu and Zhiwen Bai and Miao Zhang and Hehui Liu}, editor = {Hod Lipson}, title = {Fitness calculation approach for nested if-else construct in evolutionary testing}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} 2007, Proceedings, London, England, UK, July 7-11, 2007}, pages = {1141}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1276958.1277184}, doi = {10.1145/1276958.1277184}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/LiuWZBZL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/ZhiyuanJLYM07, author = {Zhiyuan Fang and Jingchun Zhang and Lei Wang and Yu Zhou and Minghui Mo}, editor = {S. C. Cheung and Yinsheng Li and Kuo{-}Ming Chao and Muhammad Younas and Jen{-}Yao Chung}, title = {{MCTSE:} {A} Commerce Topic Faced {P2P} Search Engine for Mobile Devices}, booktitle = {Proceedings of {ICEBE} 2007, {IEEE} International Conference on e-Business Engineering and the Workshops {SOAIC} 2007, {SOSE} 2007, {SOKM} 2007, 24-26 October, 2007, Hong Kong, China}, pages = {485--491}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICEBE.2007.55}, doi = {10.1109/ICEBE.2007.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/ZhiyuanJLYM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HuangHWWG07, author = {Ying Huang and Panfeng Huang and Min Wang and Lei Wang and YunJian Ge}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {The Finite Element Analysis Based on {ANSYS} Pressure-Sensitive Conductive Rubber Three-Dimensional Tactile Sensor}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007. Proceedings}, series = {Communications in Computer and Information Science}, volume = {2}, pages = {1195--1202}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74282-1\_134}, doi = {10.1007/978-3-540-74282-1\_134}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/HuangHWWG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/TongWZ07, author = {Xiaofeng Tong and Lei Wang and Yimin Zhang}, title = {Body Detection and Tracking with Hierarchical Scheme in Dynamic Scenes}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {975--978}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284815}, doi = {10.1109/ICME.2007.4284815}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/TongWZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangC07, author = {Lei Wang and Qiuming Cheng}, title = {Design and implementation of a web-based spatial decision support system for flood forecasting and flood risk mapping}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2007, July 23-28, 2007, Barcelona, Spain, Proceedings}, pages = {4588--4591}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IGARSS.2007.4423879}, doi = {10.1109/IGARSS.2007.4423879}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iita/ShenHZWX07, author = {Guohua Shen and Zhiqiu Huang and Xiaodong Zhu and Lei Wang and Gaoyou Xiang}, editor = {Fei Yu and Renfa Li and Guiping Liao}, title = {Using Description Logics Reasoner for Ontology Matching}, booktitle = {Proceedings of the Workshop on Intelligent Information Technology Application, {IITA} 2007, Zhang Jiajie, China, December 2-3, 2007}, pages = {30--33}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IITA.2007.95}, doi = {10.1109/IITA.2007.95}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iita/ShenHZWX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangRYCX07, author = {Lei Wang and Junyan Ren and Wenjing Yin and Tingqian Chen and Jun Xu}, title = {A High-Speed High-Resolution Low-Distortion {CMOS} Bootstrapped Switch}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {1721--1724}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.377926}, doi = {10.1109/ISCAS.2007.377926}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangRYCX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ZhaoCWW07, author = {Chunyu Zhao and Qinglei Chi and Lei Wang and Bangchun Wen}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {A Model Predictive Control of a Grain Dryer with Four Stages Based on Recurrent Fuzzy Neural Network}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4491}, pages = {29--37}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72383-7\_5}, doi = {10.1007/978-3-540-72383-7\_5}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ZhaoCWW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/WangJS07, author = {Lei Wang and Huading Jia and Shixin Sun}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {A Fast and Accurate Progressive Algorithm for Training Transductive SVMs}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4493}, pages = {497--505}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72395-0\_63}, doi = {10.1007/978-3-540-72395-0\_63}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/WangJS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/LvCJYZLW07, author = {Jianming Lv and Xueqi Cheng and Qing Jiang and Jing Ye and Tieying Zhang and Siming Lin and Lei Wang}, editor = {David S. Munro and Hong Shen and Quan Z. Sheng and Henry Detmold and Katrina Falkner and Cruz Izu and Paul D. Coddington and Bradley Alexander and Si{-}Qing Zheng}, title = {LiveBT: Providing Video-on-Demand Streaming Service over BitTorrent Systems}, booktitle = {Eighth International Conference on Parallel and Distributed Computing, Applications and Technologies {(PDCAT} 2007), 3-6 December 2007, Adelaide, Australia}, pages = {501--508}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PDCAT.2007.27}, doi = {10.1109/PDCAT.2007.27}, timestamp = {Mon, 17 Jul 2023 13:04:30 +0200}, biburl = {https://dblp.org/rec/conf/pdcat/LvCJYZLW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ChenHWM07, author = {Dongmei Chen and Chao Hu and Lei Wang and Max Q.{-}H. Meng}, title = {The force model of wireless active actuation for capsule endoscope in the {GI} tract}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2007, Sanya, China, 15-28 December 2007}, pages = {93--98}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROBIO.2007.4522141}, doi = {10.1109/ROBIO.2007.4522141}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/ChenHWM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ChenHWM07a, author = {Dongmei Chen and Chao Hu and Lei Wang and Max Q.{-}H. Meng}, title = {Active actuation system of wireless capsule endoscope based on magnetic field}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2007, Sanya, China, 15-28 December 2007}, pages = {99--103}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROBIO.2007.4522142}, doi = {10.1109/ROBIO.2007.4522142}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/ChenHWM07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/WangHWL07, author = {Lei Wang and Yongping Hao and Fei Wang and Hongyi Liu}, title = {Experimental study of force control based on intelligent prediction algorithm in open architecture robot system}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2007, Sanya, China, 15-28 December 2007}, pages = {1675--1681}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROBIO.2007.4522417}, doi = {10.1109/ROBIO.2007.4522417}, timestamp = {Wed, 28 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/WangHWL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LiZYHW07, author = {Zhengdong Li and Xianwen Zeng and Zhongcheng Yin and Shaobin Hu and Lei Wang}, editor = {Wenying Feng and Feng Gao}, title = {The Design and Optimization of {H.264} Encoder Based on the Nexperia Platform}, booktitle = {Proceedings of the 8th {ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2007, July 30 - August 1, 2007, Qingdao, China}, pages = {216--219}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SNPD.2007.198}, doi = {10.1109/SNPD.2007.198}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/LiZYHW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/ShenZW07, author = {Yanan Shen and Rongcai Zhao and Lei Wang}, editor = {Wenying Feng and Feng Gao}, title = {Eliminating Redundant Communication of Code Generation for Distributed Memory Machines}, booktitle = {Proceedings of the 8th {ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2007, July 30 - August 1, 2007, Qingdao, China}, pages = {751--756}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SNPD.2007.482}, doi = {10.1109/SNPD.2007.482}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/ShenZW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visual/WangTL07, author = {Lei Wang and Dian Tjondronegoro and Yue Liu}, editor = {Guoping Qiu and Clement Leung and Xiangyang Xue and Robert Laurini}, title = {Clustering and Visualizing Audiovisual Dataset on Mobile Devices in a Topic-Oriented Manner}, booktitle = {Advances in Visual Information Systems, 9th International Conference, {VISUAL} 2007, Shanghai, China, June 28-29, 2007 Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4781}, pages = {310--321}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-76414-4\_31}, doi = {10.1007/978-3-540-76414-4\_31}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/visual/WangTL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/ZhaoW06, author = {Hongyong Zhao and Lei Wang}, title = {Stability and bifurcation for discrete-time Cohen-Grossberg neural network}, journal = {Appl. Math. Comput.}, volume = {179}, number = {2}, pages = {787--798}, year = {2006}, url = {https://doi.org/10.1016/j.amc.2005.11.148}, doi = {10.1016/J.AMC.2005.11.148}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/ZhaoW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WangZWZ06, author = {Lei Wang and Shihua Zhu and Jun Wang and Yanxing Zeng}, title = {Khatri-Rao Unitary Space-Time Modulation}, journal = {{IEICE} Trans. Commun.}, volume = {89-B}, number = {9}, pages = {2530--2536}, year = {2006}, url = {https://doi.org/10.1093/ietcom/e89-b.9.2530}, doi = {10.1093/IETCOM/E89-B.9.2530}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WangZWZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WangM06, author = {Lei Wang and Mike H. MacGregor}, title = {Round-Robin with VirtualClock Scheduling Algorithm in Multiservice Packet Networks}, journal = {{IEICE} Trans. Commun.}, volume = {89-B}, number = {11}, pages = {3040--3045}, year = {2006}, url = {https://doi.org/10.1093/ietcom/e89-b.11.3040}, doi = {10.1093/IETCOM/E89-B.11.3040}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WangM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdet/KongLWG06, author = {Hinny P. Kong and William K. H. Lim and Lei Wang and Robert K. L. Gay}, title = {{SCMP:} An E-Learning Content Migration and Standardization Approach}, journal = {Int. J. Distance Educ. Technol.}, volume = {4}, number = {2}, pages = {1--9}, year = {2006}, url = {https://doi.org/10.4018/jdet.2006040101}, doi = {10.4018/JDET.2006040101}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdet/KongLWG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijia/GaoWBF06, author = {Jun Gao and Lei Wang and Mei Bo and Zhiguo Fan}, title = {Information Acquisition in Desert Ant Navigation}, journal = {Int. J. Inf. Acquis.}, volume = {3}, number = {1}, pages = {33--43}, year = {2006}, url = {https://doi.org/10.1142/S0219878906000800}, doi = {10.1142/S0219878906000800}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijia/GaoWBF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LiuSLNLWZZ06, author = {Xingfang Liu and Guosheng Sun and J. M. Li and J. Ning and M. C. Luo and Lei Wang and W. S. Zhao and Yiping Zeng}, title = {Visible blind p\({}^{\mbox{+}}\)-{\(\pi\)}-n\({}^{\mbox{-}}\)-n\({}^{\mbox{+}}\) ultraviolet photodetectors based on 4H-SiC homoepilayers}, journal = {Microelectron. J.}, volume = {37}, number = {11}, pages = {1396--1398}, year = {2006}, url = {https://doi.org/10.1016/j.mejo.2006.06.012}, doi = {10.1016/J.MEJO.2006.06.012}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/LiuSLNLWZZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/WangZ06, author = {Lei Wang and Weihua Zhuang}, title = {A call admission control scheme for packet data in {CDMA} cellular communications}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {5}, number = {2}, pages = {406--416}, year = {2006}, url = {https://doi.org/10.1109/TWC.2006.1611064}, doi = {10.1109/TWC.2006.1611064}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/WangZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apweb/TangLQLWWZYT06, author = {Lv{-}an Tang and Hongyan Li and Baojun Qiu and Meimei Li and Jianjun Wang and Lei Wang and Bin Zhou and Dongqing Yang and Shiwei Tang}, editor = {Xiaofang Zhou and Jianzhong Li and Heng Tao Shen and Masaru Kitsuregawa and Yanchun Zhang}, title = {{WISE:} {A} Prototype for Ontology Driven Development of Web Information Systems}, booktitle = {Frontiers of {WWW} Research and Development - APWeb 2006, 8th Asia-Pacific Web Conference, Harbin, China, January 16-18, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3841}, pages = {1163--1167}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11610113\_126}, doi = {10.1007/11610113\_126}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apweb/TangLQLWWZYT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aswec/WangK06, author = {Lei Wang and Padmanabhan Krishnan}, title = {A Framework for Checking Behavioral Compatibility for Component Selection}, booktitle = {17th Australian Software Engineering Conference {(ASWEC} 2006), 18-21 April 2006, Sydney, Australia}, pages = {49--60}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ASWEC.2006.2}, doi = {10.1109/ASWEC.2006.2}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aswec/WangK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangGB06, author = {Lei Wang and Sandeep K. Gupta and Melvin A. Breuer}, title = {Diagnosis of delay faults due to resistive bridges, delay variations and defects}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {215--224}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261023}, doi = {10.1109/ATS.2006.261023}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WangGB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaSAM/ChenHW06, author = {Huang{-}Ju Chen and Jyh{-}Ming Huang and Lei Wang}, editor = {Hamid R. Arabnia and Selim Aissi}, title = {Efficient and Secure Authentication Protocols for Mobile VoIP Communications}, booktitle = {Proceedings of the 2006 International Conference on Security {\&} Management, {SAM} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {122--128}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Wed, 06 Dec 2006 10:51:51 +0100}, biburl = {https://dblp.org/rec/conf/csreaSAM/ChenHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YangXWCWLY06, author = {Shuo Yang and Guizhi Xu and Lei Wang and Yong Chen and Huanli Wu and Ying Li and Qingxin Yang}, title = {3D realistic head model simulation based on transcranial magnetic stimulation}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Supplement Volume}, pages = {6469--6472}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260877}, doi = {10.1109/IEMBS.2006.260877}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/YangXWCWLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LiXGWYRHY06, author = {Ying Li and Guizhi Xu and Lei Guo and Lei Wang and Shuo Yang and Liyun Rao and Renjie He and Weili Yan}, title = {Resistivity Parameters Estimation Based on 2D Real Head Model Using Improved Differential Evolution Algorithm}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Supplement Volume}, pages = {6720--6723}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260930}, doi = {10.1109/IEMBS.2006.260930}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LiXGWYRHY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/WangK06, author = {Lei Wang and Padmanabhan Krishnan}, title = {An Approach to Provisioning E-Commerce Applications with Commercial Components}, booktitle = {2006 {IEEE} International Conference on e-Business Engineering {(ICEBE} 2006), 24-26 October 2006, Shanghai, China}, pages = {323--330}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICEBE.2006.20}, doi = {10.1109/ICEBE.2006.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/WangK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/LiuWTW06, author = {Haihong Liu and Xiaoyuan Wang and Derong Tan and Lei Wang}, title = {Study on Traffic Information Fusion Algorithm Based on Support Vector Machines}, booktitle = {Proceedings of the Sixth International Conference on Intelligent Systems Design and Applications {(ISDA} 2006), October 16-18, 2006, Jinan, China}, pages = {183--187}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISDA.2006.259}, doi = {10.1109/ISDA.2006.259}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isda/LiuWTW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/LiZYXW06, author = {Wenwei Li and Dafang Zhang and Jinmin Yang and Gaogang Xie and Lei Wang}, editor = {Jun Wang and Zhang Yi and Jacek M. Zurada and Bao{-}Liang Lu and Hujun Yin}, title = {A Resource Allocating Neural Network Based Approach for Detecting End-to-End Network Performance Anomaly}, booktitle = {Advances in Neural Networks - {ISNN} 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28 - June 1, 2006, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3973}, pages = {184--189}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11760191\_27}, doi = {10.1007/11760191\_27}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/LiZYXW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/WangT06, author = {Lei Wang and Dian Tjondronegoro}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede and Ismail Khalil Ibrahim}, title = {Mining and Visualizing Multimedia Dataset on Mobile Devices in a Topic-oriented Manner}, booktitle = {MoMM'2006 - The Fourth International Conference on Advances in Mobile Computing and Multimedia, 4-6 December 2006, Yogyakarta, Indonesia}, series = {books@ocg.at}, volume = {215}, pages = {131--140}, publisher = {Austrian Computer Society}, year = {2006}, timestamp = {Tue, 12 Feb 2008 09:42:53 +0100}, biburl = {https://dblp.org/rec/conf/momm/WangT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/WangS06, author = {Lei Wang and Xikun Sun}, editor = {Hisham Haddad}, title = {Escape analysis for synchronization removal}, booktitle = {Proceedings of the 2006 {ACM} Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006}, pages = {1419--1423}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1141277.1141607}, doi = {10.1145/1141277.1141607}, timestamp = {Tue, 06 Nov 2018 11:06:49 +0100}, biburl = {https://dblp.org/rec/conf/sac/WangS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/WangZZG05, author = {Lei Wang and Xiaoping Zheng and Hanyi Zhang and Yili Guo}, title = {An Inter-domain Routing and Signaling Scheme for Optical Mesh Networks}, journal = {Photonic Netw. Commun.}, volume = {9}, number = {2}, pages = {157--166}, year = {2005}, url = {https://doi.org/10.1007/s11107-004-5584-5}, doi = {10.1007/S11107-004-5584-5}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/WangZZG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/PanWZXY05, author = {Ying Pan and Lei Wang and Lu Zhang and Bing Xie and Fuqing Yang}, title = {An Extended Approach to Improving the Semantic Interoperation Among Reuse Repositories}, booktitle = {29th Annual International Computer Software and Applications Conference, {COMPSAC} 2005, Edinburgh, Scotland, UK, July 25-28, 2005. Volume 1}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/COMPSAC.2005.45}, doi = {10.1109/COMPSAC.2005.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/PanWZXY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChenLW05, author = {Quan Chen and Zhen Li and Lei Wang}, title = {Land-surface parameters estimation from {ERS} Wind Scatterometer: a case study in China}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {3}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IGARSS.2005.1526193}, doi = {10.1109/IGARSS.2005.1526193}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChenLW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YangLGZWS05, author = {Lingli Yang and Xiao{-}Juan Li and Huili Gong and Wen{-}Ji Zhao and Lei Wang and Yong Hua Sun}, title = {Study on distribution of soil pH in urban area of Beijing using {GIS} technology}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {4477--4480}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IGARSS.2005.1525915}, doi = {10.1109/IGARSS.2005.1525915}, timestamp = {Wed, 16 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/YangLGZWS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangLC05, author = {Lei Wang and Zhen Li and Quan Chen}, title = {Estimating the vegetation coverage with {MPDI}}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {4512--4515}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IGARSS.2005.1525924}, doi = {10.1109/IGARSS.2005.1525924}, timestamp = {Mon, 16 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiGLWW05, author = {Xinwu Li and Huadong Guo and Zhen Li and Changlin Wang and Lei Wang}, title = {Multi-incidence angle {DEM} generation and analysis using {ENVISAT/ASAR} data}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {5475--5478}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IGARSS.2005.1525980}, doi = {10.1109/IGARSS.2005.1525980}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/LiGLWW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiGLWW05a, author = {Xinwu Li and Huadong Guo and Zhen Li and Changlin Wang and Lei Wang}, title = {A hybrid vegetation height estimation method using {SIR-C} polarimetric {SAR} interferometry data}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {5505--5508}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IGARSS.2005.1526019}, doi = {10.1109/IGARSS.2005.1526019}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/LiGLWW05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DiaconescuWMC05, author = {Roxana Diaconescu and Lei Wang and Zachary Mouri and Matt Chu}, title = {A Compiler and Runtime Infrastructure for Automatic Program Distribution}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.7}, doi = {10.1109/IPDPS.2005.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/DiaconescuWMC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/FuZWD05, author = {Peng Fu and Deyun Zhang and Lei Wang and Zhongxing Duan}, editor = {Jun Wang and Xiaofeng Liao and Zhang Yi}, title = {Intelligent Hierarchical Intrusion Detection System for Secure Wireless Ad Hoc Network}, booktitle = {Advances in Neural Networks - {ISNN} 2005, Second International Symposium on Neural Networks, Chongqing, China, May 30 - June 1, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3498}, pages = {482--487}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11427469\_78}, doi = {10.1007/11427469\_78}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/FuZWD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/WangYS05, author = {Lei Wang and Yong Yang and Shixin Sun}, editor = {Jun Wang and Xiaofeng Liao and Zhang Yi}, title = {A New Approach of Network Intrusion Detection Using HVDM-Based {SOM}}, booktitle = {Advances in Neural Networks - {ISNN} 2005, Second International Symposium on Neural Networks, Chongqing, China, May 30 - June 1, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3498}, pages = {488--493}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11427469\_79}, doi = {10.1007/11427469\_79}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/WangYS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/WangJL05, author = {Lei Wang and Seungho Jang and Tae{-}Young Lee}, title = {Redundant source routing for real-time services in ad hoc networks}, booktitle = {{IEEE} 2nd International Conference on Mobile Adhoc and Sensor Systems, {MASS} 2005, November 7-10, 2005, The City Center Hotel, Washington, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MAHSS.2005.1542778}, doi = {10.1109/MAHSS.2005.1542778}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/WangJL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/LiW05, author = {Wei Li and Lei Wang}, title = {Real-Time Solution in Petroleum Industry's Cyber Data Acquisition Simulation System}, booktitle = {11th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications {(RTCSA} 2005), 17-19 August 2005, Hong Kong, China}, pages = {369--372}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RTCSA.2005.76}, doi = {10.1109/RTCSA.2005.76}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/LiW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcre/WangCD05, author = {Lei Wang and James R. Cordy and Thomas R. Dean}, title = {Enhancing Security Using Legality Assertions}, booktitle = {12th Working Conference on Reverse Engineering, {WCRE} 2005, Pittsburgh, PA, USA, November 7-11, 2005}, pages = {35--44}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WCRE.2005.36}, doi = {10.1109/WCRE.2005.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcre/WangCD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/Wang04c, author = {Lei Wang}, title = {A novel method for a class of nonlinear singular perturbation problems}, journal = {Appl. Math. Comput.}, volume = {156}, number = {3}, pages = {847--856}, year = {2004}, url = {https://doi.org/10.1016/j.amc.2003.06.010}, doi = {10.1016/J.AMC.2003.06.010}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/Wang04c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/Wang04, author = {Lei Wang}, title = {A new algorithm for solving classical Blasius equation}, journal = {Appl. Math. Comput.}, volume = {157}, number = {1}, pages = {1--9}, year = {2004}, url = {https://doi.org/10.1016/j.amc.2003.06.011}, doi = {10.1016/J.AMC.2003.06.011}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/Wang04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/WangKSX04, author = {Lei Wang and Sing Bing Kang and Heung{-}Yeung Shum and Guangyou Xu}, title = {Error Analysis of Pure Rotation-Based Self-Calibration}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {26}, number = {2}, pages = {275--280}, year = {2004}, url = {https://doi.org/10.1109/TPAMI.2004.1262199}, doi = {10.1109/TPAMI.2004.1262199}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/WangKSX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangGB04, author = {Lei Wang and Sandeep K. Gupta and Melvin A. Breuer}, title = {Modeling and Simulation for Crosstalk Aggravated by Weak-Bridge Defects between On-Chip Interconnects}, booktitle = {13th Asian Test Symposium {(ATS} 2004), 15-17 November 2004, Kenting, Taiwan}, pages = {440--447}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ATS.2004.58}, doi = {10.1109/ATS.2004.58}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WangGB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MukherjeeWP04, author = {Bhaskar Mukherjee and Lei Wang and Andrea Pacelli}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {A practical approach to modeling skin effect in on-chip interconnects}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {266--270}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.989017}, doi = {10.1145/988952.989017}, timestamp = {Fri, 20 Aug 2021 16:30:37 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MukherjeeWP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/HuabinLZ04, author = {Huabin Tang and Lei Wang and Zengqi Sun}, title = {Accurate and stable vision in robot soccer}, booktitle = {8th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2004, Kunming, China, 6-9 December 2004, Proceedings}, pages = {2314--2319}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICARCV.2004.1469793}, doi = {10.1109/ICARCV.2004.1469793}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/HuabinLZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangZLXS04, author = {Lei Wang and Boyi Zeng and Steve Lin and Guangyou Xu and Heung{-}Yeung Shum}, title = {Automatic extraction of semantic colors in sports video}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {617--620}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1326620}, doi = {10.1109/ICASSP.2004.1326620}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/WangZLXS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcit/KrishnanW04, author = {Padmanabhan Krishnan and Lei Wang}, editor = {R. K. Ghosh and Hrushikesha Mohanty}, title = {Supporting Partial Component Matching}, booktitle = {Distributed Computing and Internet Technology, First International Conference, {ICDCIT} 2004, Bhubaneswar, India, December 22-24, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3347}, pages = {294--303}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30555-2\_34}, doi = {10.1007/978-3-540-30555-2\_34}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/icdcit/KrishnanW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WangLLXS04, author = {Lei Wang and Xu Liu and Steve Lin and Guangyou Xu and Heung{-}Yeung Shum}, title = {Generic slow-motion replay detection in sports video}, booktitle = {Proceedings of the 2004 International Conference on Image Processing, {ICIP} 2004, Singapore, October 24-27, 2004}, pages = {1585--1588}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/WangLLXS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmens/ZhangLLWJ04, author = {Yujun Zhang and Maoqing Lin and Fengfu Li and Lei Wang and Zhenhao Jin}, title = {Synthesis and Characteration of Phenolic Resin/Montmorillonite Nanocomposites}, booktitle = {2004 International Conference on MEMS, NANO, and Smart Systems {(ICMENS} 2004), 25-27 August 2004, Banff, Alberta, Canada}, pages = {144--147}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICMENS.2004.1508935}, doi = {10.1109/ICMENS.2004.1508935}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmens/ZhangLLWJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LinLZS04, author = {Liu Lin and Lei Wang and Zhiqiang Zheng and Zengqi Sun}, title = {A Learning Market based Layered Multi-robot Architecture}, booktitle = {Proceedings of the 2004 {IEEE} International Conference on Robotics and Automation, {ICRA} 2004, April 26 - May 1, 2004, New Orleans, LA, {USA}}, pages = {3417--3422}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ROBOT.2004.1308782}, doi = {10.1109/ROBOT.2004.1308782}, timestamp = {Wed, 12 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LinLZS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangLR04, author = {Lei Wang and Zhen Li and Xin Ren}, title = {The effects of vegetation in soil moisture retrieval using microwave radiometer data}, booktitle = {2004 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2004, Anchorage, Alaska, USA, 20-24 September 2004}, pages = {2799--2802}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IGARSS.2004.1369884}, doi = {10.1109/IGARSS.2004.1369884}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WangLR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiGLW04, author = {Xinwu Li and Huadong Guo and Zhen Li and Lei Wang}, title = {Inversion of vegetation height using {SIR-C} dual frequency polarimetric {SAR} interferometry data}, booktitle = {2004 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2004, Anchorage, Alaska, USA, 20-24 September 2004}, pages = {3132--3135}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IGARSS.2004.1370363}, doi = {10.1109/IGARSS.2004.1370363}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/LiGLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiRLW04, author = {Zhen Li and Xin Ren and Ximvu Li and Lei Wang}, title = {Soil moisture measurement and retrieval using Envisat {ASAR} imagery}, booktitle = {2004 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2004, Anchorage, Alaska, USA, 20-24 September 2004}, pages = {3539--3542}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IGARSS.2004.1370474}, doi = {10.1109/IGARSS.2004.1370474}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiRLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/WangLX04, author = {Lei Wang and Michael S. Lew and Guangyou Xu}, editor = {Michael S. Lew and Nicu Sebe and Chabane Djeraba}, title = {Offense based temporal segmentation for event detection in soccer video}, booktitle = {Proceedings of the 6th {ACM} {SIGMM} International Workshop on Multimedia Information Retrieval, {MIR} 2004, October 15-16, 2004, New York, NY, {USA}}, pages = {259--266}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1026711.1026754}, doi = {10.1145/1026711.1026754}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mir/WangLX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/serp/WangM04, author = {Lei Wang and Daniela Mehandjiska{-}Stavreva}, editor = {Hamid R. Arabnia and Hassan Reza}, title = {An Initial Framework for Collaboration-Based Component Selection}, booktitle = {Proceedings of the International Conference on Software Engineering Research and Practice, {SERP} '04, June 21-24, 2004, Las Vegas, Nevada, USA, Volume 2}, pages = {799--806}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Fri, 19 Nov 2004 15:25:03 +0100}, biburl = {https://dblp.org/rec/conf/serp/WangM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/PanWZXY04, author = {Ying Pan and Lei Wang and Lu Zhang and Bing Xie and Fuqing Yang}, editor = {Richard N. Taylor and Matthew B. Dwyer}, title = {Relevancy based semantic interoperation of reuse repositories}, booktitle = {Proceedings of the 12th {ACM} {SIGSOFT} International Symposium on Foundations of Software Engineering, 2004, Newport Beach, CA, USA, October 31 - November 6, 2004}, pages = {211--220}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1029894.1029924}, doi = {10.1145/1029894.1029924}, timestamp = {Tue, 01 Feb 2022 10:45:16 +0100}, biburl = {https://dblp.org/rec/conf/sigsoft/PanWZXY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spieSR/NewsamTWM04, author = {Shawn D. Newsam and Jelena Tesic and Lei Wang and B. S. Manjunath}, editor = {Minerva M. Yeung and Rainer Lienhart and Chung{-}Sheng Li}, title = {Issues in managing image and video data}, booktitle = {Storage and Retrieval Methods and Applications for Multimedia 2004, San Jose, CA, USA, January 20, 2004}, series = {{SPIE} Proceedings}, volume = {5307}, pages = {280--291}, publisher = {{SPIE}}, year = {2004}, url = {https://doi.org/10.1117/12.538096}, doi = {10.1117/12.538096}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spieSR/NewsamTWM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KimCCWLW04, author = {Sungeun Kim and John A. Copeland and Canfeng Chen and Lei Wang and Liang Liu and Weiling Wu}, title = {Interworking between WLANs and 3G networks: {TCP} challenges}, booktitle = {2004 {IEEE} Wireless Communications and Networking Conference , {WCNC} 2004, Atlanta, Georgia, USA, 21-25 March 2004}, pages = {1252--1257}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/WCNC.2004.1311368}, doi = {10.1109/WCNC.2004.1311368}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KimCCWLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenWLW04, author = {Canfeng Chen and Lei Wang and Liang Liu and Weiling Wu}, title = {Simulation study of {TCP} behavior over redundant source routing in ad hoc networks}, booktitle = {2004 {IEEE} Wireless Communications and Networking Conference , {WCNC} 2004, Atlanta, Georgia, USA, 21-25 March 2004}, pages = {1258--1263}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/WCNC.2004.1311369}, doi = {10.1109/WCNC.2004.1311369}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenWLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/YangWZSZX04, author = {Jie Yang and Lei Wang and Song Zhang and Xin Sui and Ning Zhang and Zhuoqun Xu}, title = {Building Domain Ontology Based on Web Data and Generic Ontology}, booktitle = {2004 {IEEE/WIC/ACM} International Conference on Web Intelligence {(WI} 2004), 20-24 September 2004, Beijing, China}, pages = {686--689}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WI.2004.10130}, doi = {10.1109/WI.2004.10130}, timestamp = {Thu, 23 Mar 2023 14:30:18 +0100}, biburl = {https://dblp.org/rec/conf/webi/YangWZSZX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/ZhangZWYX04, author = {Ning Zhang and Song Zhang and Lei Wang and Jie Yang and Zhuoqun Xu}, title = {Offer Group Generation and Delayed Processing in Multi-Issue Negotiation}, booktitle = {2004 {IEEE/WIC/ACM} International Conference on Web Intelligence {(WI} 2004), 20-24 September 2004, Beijing, China}, pages = {702--705}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WI.2004.10061}, doi = {10.1109/WI.2004.10061}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/ZhangZWYX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangE03, author = {Lei Wang and Sherif H. K. Embabi}, title = {Low-voltage high-speed switched-capacitor circuits without voltage bootstrapper}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {8}, pages = {1411--1415}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.814418}, doi = {10.1109/JSSC.2003.814418}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcc/GaoLWN03, author = {Wen Gao and Xinyu Liu and Lei Wang and Takashi Nanya}, editor = {Minglu Li and Xian{-}He Sun and Qianni Deng and Jun Ni}, title = {A Reconfigurable High Availability Infrastructure in Cluster for Grid}, booktitle = {Grid and Cooperative Computing, Second International Workshop, {GCC} 2003, Shanghai, China, December 7-10, 2003, Revised Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3032}, pages = {576--583}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24679-4\_102}, doi = {10.1007/978-3-540-24679-4\_102}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/gcc/GaoLWN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangZ03, author = {Lei Wang and Weihua Zhuang}, title = {Call admission control for self-similar data traffic in cellular communications}, booktitle = {Proceedings of the Global Telecommunications Conference, 2003. {GLOBECOM} '03, San Francisco, CA, USA, 1-5 December 2003}, pages = {982--986}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/GLOCOM.2003.1258385}, doi = {10.1109/GLOCOM.2003.1258385}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WangZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WangM03a, author = {Lei Wang and B. S. Manjunath}, title = {A semantic representation for image retrieval}, booktitle = {Proceedings of the 2003 International Conference on Image Processing, {ICIP} 2003, Barcelona, Catalonia, Spain, September 14-18, 2003}, pages = {523--526}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICIP.2003.1246732}, doi = {10.1109/ICIP.2003.1246732}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/WangM03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WangLXXB03, author = {Lei Wang and Xu Liu and Lirong Xia and Guangyou Xu and Alfred M. Bruckstein}, title = {Image orientation detection with integrated human perception cues (or which way is up)}, booktitle = {Proceedings of the 2003 International Conference on Image Processing, {ICIP} 2003, Barcelona, Catalonia, Spain, September 14-18, 2003}, pages = {539--542}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICIP.2003.1246736}, doi = {10.1109/ICIP.2003.1246736}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/WangLXXB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhangCCW03, author = {Xin Zhang and Huabin Chen and Tianhe Chi and Lei Wang}, title = {Construction of the sustainable development information service system of China}, booktitle = {2003 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2003, Toulouse, France, July 21-15, 2003}, pages = {3793--3795}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IGARSS.2003.1295272}, doi = {10.1109/IGARSS.2003.1295272}, timestamp = {Fri, 20 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ZhangCCW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangE03, author = {Lei Wang and Sherif H. K. Embabi}, title = {Low voltage 2-path {SC} bandpass {\(\Delta\)}{\(\Sigma\)} modulator without bootstrapper}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {933--936}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205718}, doi = {10.1109/ISCAS.2003.1205718}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/IrajpourNWGB03, author = {Shahdad Irajpour and Shahin Nazarian and Lei Wang and Sandeep K. Gupta and Melvin A. Breuer}, title = {Analyzing Crosstalk in the Presence of Weak Bridge Defects}, booktitle = {21st {IEEE} {VLSI} Test Symposium {(VTS} 2003), 27 April - 1 May 2003, Napa Valley, CA, {USA}}, pages = {385--392}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/VTEST.2003.1197679}, doi = {10.1109/VTEST.2003.1197679}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/IrajpourNWGB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/LuDBW01, author = {Yueming Lu and Depei Qian and Bin Xu and Lei Wang}, title = {Active Network Supports for Mobile {IP}}, journal = {J. Comput. Sci. Technol.}, volume = {16}, number = {6}, pages = {544--551}, year = {2001}, url = {https://doi.org/10.1007/BF02943238}, doi = {10.1007/BF02943238}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/LuDBW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/WangFW01, author = {Lei Wang and Yasunori Fukatsu and Kenzo Watanabe}, title = {Characterization of current-mode {CMOS} {R-2R} ladder digital-to-analog converters}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {50}, number = {6}, pages = {1781--1786}, year = {2001}, url = {https://doi.org/10.1109/19.982980}, doi = {10.1109/19.982980}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/WangFW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WangES01, author = {Lei Wang and Sherif H. K. Embabi and Edgar S{\'{a}}nchez{-}Sinencio}, title = {1.5 {V} 5.0 MHz switched capacitor circuits in 1.2 {\(\mu\)}m {CMOS} without voltage bootstrapper}, booktitle = {Proceedings of the {IEEE} 2001 Custom Integrated Circuits Conference, {CICC} 2001, San Diego, CA, USA, May 6-9, 2001}, pages = {17--20}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/CICC.2001.929715}, doi = {10.1109/CICC.2001.929715}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WangES01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/EmuraKW00, author = {Takashi Emura and Masaaki Kumagai and Lei Wang}, title = {A Next-Generation Intelligent Car for Safe Drive}, journal = {J. Robotics Mechatronics}, volume = {12}, number = {5}, pages = {545--551}, year = {2000}, url = {https://doi.org/10.20965/jrm.2000.p0545}, doi = {10.20965/JRM.2000.P0545}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/EmuraKW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/WangLL00, author = {Lei Wang and Jun Liu and Stan Z. Li}, title = {{MRF} parameter estimation by {MCMC} method}, journal = {Pattern Recognit.}, volume = {33}, number = {11}, pages = {1919--1925}, year = {2000}, url = {https://doi.org/10.1016/S0031-3203(99)00178-8}, doi = {10.1016/S0031-3203(99)00178-8}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/WangLL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/WangL00, author = {Lei Wang and Jun Liu}, title = {Texture segmentation based on {MRMRF} modeling}, journal = {Pattern Recognit. Lett.}, volume = {21}, number = {2}, pages = {189--200}, year = {2000}, url = {https://doi.org/10.1016/S0167-8655(99)00146-4}, doi = {10.1016/S0167-8655(99)00146-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/WangL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/EmuraW00, author = {Takashi Emura and Lei Wang}, title = {A high-resolution interpolator for incremental encoders based on the quadrature {PLL} method}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {47}, number = {1}, pages = {84--90}, year = {2000}, url = {https://doi.org/10.1109/41.824129}, doi = {10.1109/41.824129}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/EmuraW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/EmuraWYN00, author = {Takashi Emura and Lei Wang and Masashi Yamanaka and Hisashi Nakamura}, title = {A high-precision positioning servo controller based on phase/frequency detecting technique of two-phase-type {PLL}}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {47}, number = {6}, pages = {1298--1306}, year = {2000}, url = {https://doi.org/10.1109/41.887958}, doi = {10.1109/41.887958}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/EmuraWYN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/WangTP00, author = {Lei Wang and Waibhav Tembe and Santosh Pande}, editor = {David A. Watt}, title = {A Framework for Loop Distribution on Limited On-Chip Memory Processors}, booktitle = {Compiler Construction, 9th International Conference, {CC} 2000, Held as Part of the European Joint Conferences on the Theory and Practice of Software, {ETAPS} 2000, Berlin, Germany, March 25 - April 2, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1781}, pages = {141--156}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-46423-9\_10}, doi = {10.1007/3-540-46423-9\_10}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/WangTP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ThomsenKWL99, author = {Axel Thomsen and Dan B. Kasha and Lei Wang and Wai L. Lee}, title = {A 110-dB-THD, 18-mW {DAC} using sampling of the output and feedback to reduce distortion}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {12}, pages = {1733--1740}, year = {1999}, url = {https://doi.org/10.1109/4.808898}, doi = {10.1109/4.808898}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ThomsenKWL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/WangL99, author = {Lei Wang and Jun Liu}, title = {Texture classification using multiresolution Markov random field models}, journal = {Pattern Recognit. Lett.}, volume = {20}, number = {2}, pages = {171--182}, year = {1999}, url = {https://doi.org/10.1016/S0167-8655(98)00129-9}, doi = {10.1016/S0167-8655(98)00129-9}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/WangL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LeiQ99, author = {Lei Wang and Feihu Qi}, title = {Adaptive fuzzy Kohonen clustering network for image segmentation}, booktitle = {International Joint Conference Neural Networks, {IJCNN} 1999, Washington, DC, USA, July 10-16, 1999}, pages = {2664--2667}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/IJCNN.1999.833498}, doi = {10.1109/IJCNN.1999.833498}, timestamp = {Tue, 10 Aug 2021 14:29:47 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LeiQ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/WangP99, author = {Lei Wang and Santosh Pande}, editor = {Larry Carter and Jeanne Ferrante}, title = {Data {I/O} Minimization for Loops on Limited Onchip Memory Processors}, booktitle = {Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1863}, pages = {472--476}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-44905-1\_34}, doi = {10.1007/3-540-44905-1\_34}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/WangP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WangGS98, author = {Lei Wang and Jos{\'{e}} Pineda de Gyvez and Edgar S{\'{a}}nchez{-}Sinencio}, title = {Time multiplexed color image processing based on a {CNN} with cell-state outputs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {2}, pages = {314--322}, year = {1998}, url = {https://doi.org/10.1109/92.678895}, doi = {10.1109/92.678895}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WangGS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/LiLCLW98, author = {Stan Z. Li and Juwei Lu and Kap Luk Chan and Jun Liu and Lei Wang}, editor = {Anil K. Jain and Svetha Venkatesh and Brian C. Lovell}, title = {Hierarchical linear combinations for face recognition}, booktitle = {Fourteenth International Conference on Pattern Recognition, {ICPR} 1998, Brisbane, Australia, 16-20 August, 1998}, pages = {1191--1193}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPR.1998.711910}, doi = {10.1109/ICPR.1998.711910}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/LiLCLW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/WangLL98, author = {Lei Wang and Jun Liu and Stan Z. Li}, editor = {Anil K. Jain and Svetha Venkatesh and Brian C. Lovell}, title = {Texture classification using wavelet decomposition with Markov random field models}, booktitle = {Fourteenth International Conference on Pattern Recognition, {ICPR} 1998, Brisbane, Australia, 16-20 August, 1998}, pages = {1613--1615}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPR.1998.712024}, doi = {10.1109/ICPR.1998.712024}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/WangLL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irregular/NylandPYHKW98, author = {Lars S. Nyland and Jan F. Prins and Ru Huai Yun and Jan Hermans and Hye{-}Chung Kum and Lei Wang}, editor = {Afonso Ferreira and Jos{\'{e}} D. P. Rolim and Horst D. Simon and Shang{-}Hua Teng}, title = {Modeling Dynamic Load Balancing in Molecular Dynamics to Achieve Scalable Parallel Execution}, booktitle = {Solving Irregularly Structured Problems in Parallel, 5th International Symposium, {IRREGULAR} '98, Berkeley, California, USA, August 9-11, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1457}, pages = {356--365}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0018552}, doi = {10.1007/BFB0018552}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/irregular/NylandPYHKW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/NylandPYHKW97, author = {Lars S. Nyland and Jan F. Prins and Ru Huai Yun and Jan Hermans and Hye{-}Chung Kum and Lei Wang}, title = {Achieving Scalable Parallel Molecular Dynamics Using Dynamic Spatial Domain Decomposition Techniques}, journal = {J. Parallel Distributed Comput.}, volume = {47}, number = {2}, pages = {125--138}, year = {1997}, url = {https://doi.org/10.1006/jpdc.1997.1408}, doi = {10.1006/JPDC.1997.1408}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/NylandPYHKW97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnn/WangQM97, author = {Lei Wang and Feihu Qi and Yulong Mo}, title = {Theoretical and experimental analyses of restoring degraded images based on continuous Hopfield neural networks}, booktitle = {Proceedings of International Conference on Neural Networks (ICNN'97), Houston, TX, USA, June 9-12, 1997}, pages = {1634--1637}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ICNN.1997.614139}, doi = {10.1109/ICNN.1997.614139}, timestamp = {Fri, 16 Aug 2019 17:38:27 +0200}, biburl = {https://dblp.org/rec/conf/icnn/WangQM97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/WangSC96, author = {Lei Wang and James M. Stichnoth and Siddhartha Chatterjee}, title = {Runtime Performance of Parallel Array Assignment: An Empirical Study}, booktitle = {Proceedings of the 1996 {ACM/IEEE} Conference on Supercomputing, November 17-22, 1996, Pittsburgh, PA, {USA}}, pages = {4}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.ieeecomputersociety.org/10.1109/SC.1996.39}, doi = {10.1109/SC.1996.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/WangSC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esann/WorgotterNLWD95, author = {Florentin W{\"{o}}rg{\"{o}}tter and Eckart Nelle and Bing Li and Lei Wang and Yun{-}Chen Diao}, title = {Spatial summation in simple cells: computational and experimental results}, booktitle = {3rd European Symposium on Artificial Neural Networks, {ESANN} 1995, Brussels, Belgium, April 19-21, 1995, Proceedings}, year = {1995}, url = {https://www.esann.org/sites/default/files/proceedings/legacy/es1995-31-S.pdf}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esann/WorgotterNLWD95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.