BibTeX records: Chenghua Wang

download as .bib file

@article{DBLP:journals/iotj/HaoVNDDWM24,
  author       = {Chongzheng Hao and
                  Tung Thanh Vu and
                  Hien Quoc Ngo and
                  Minh N. Dao and
                  Xiaoyu Dang and
                  Chenghua Wang and
                  Michail Matthaiou},
  title        = {Joint User Association and Power Control for Cell-Free Massive {MIMO}},
  journal      = {{IEEE} Internet Things J.},
  volume       = {11},
  number       = {9},
  pages        = {15823--15841},
  year         = {2024},
  url          = {https://doi.org/10.1109/JIOT.2024.3351633},
  doi          = {10.1109/JIOT.2024.3351633},
  timestamp    = {Fri, 17 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iotj/HaoVNDDWM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DouWWWL24,
  author       = {Yuqin Dou and
                  Chenghua Wang and
                  Haroon Waris and
                  Roger F. Woods and
                  Weiqiang Liu},
  title        = {{FPAX:} {A} Fast Prior Knowledge-Based Framework for {DSE} in Approximate
                  Configurations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {43},
  number       = {6},
  pages        = {1650--1662},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCAD.2023.3346289},
  doi          = {10.1109/TCAD.2023.3346289},
  timestamp    = {Tue, 18 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DouWWWL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/CuiLCWGOL24,
  author       = {Yijun Cui and
                  Jiang Li and
                  Yunpeng Chen and
                  Chenghua Wang and
                  Chongyan Gu and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {An Efficient Ring Oscillator {PUF} Using Programmable Delay Units
                  on {FPGA}},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {29},
  number       = {1},
  pages        = {2:1--2:20},
  year         = {2024},
  url          = {https://doi.org/10.1145/3593807},
  doi          = {10.1145/3593807},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/CuiLCWGOL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiCW0K24,
  author       = {Jiang Li and
                  Yijun Cui and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Shahar Kvatinsky},
  title        = {A Concealable {RRAM} Physical Unclonable Function Compatible with
                  In-Memory Computing},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2024, Valencia, Spain, March 25-27, 2024},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://ieeexplore.ieee.org/document/10546697},
  timestamp    = {Mon, 17 Jun 2024 14:45:14 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiCW0K24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2401-02701,
  author       = {Chongzheng Hao and
                  Tung Thanh Vu and
                  Hien Quoc Ngo and
                  Minh N. Dao and
                  Xiaoyu Dang and
                  Chenghua Wang and
                  Michail Matthaiou},
  title        = {Joint User Association and Power Control for Cell-Free Massive {MIMO}},
  journal      = {CoRR},
  volume       = {abs/2401.02701},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2401.02701},
  doi          = {10.48550/ARXIV.2401.02701},
  eprinttype    = {arXiv},
  eprint       = {2401.02701},
  timestamp    = {Tue, 23 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-02701.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fgcs/FuWX23,
  author       = {Zixuan Fu and
                  Chenghua Wang and
                  Jiajie Xu},
  title        = {Graph contextualized self-attention network for software service sequential
                  recommendation},
  journal      = {Future Gener. Comput. Syst.},
  volume       = {149},
  pages        = {509--517},
  year         = {2023},
  url          = {https://doi.org/10.1016/j.future.2023.07.041},
  doi          = {10.1016/J.FUTURE.2023.07.041},
  timestamp    = {Thu, 09 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/fgcs/FuWX23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-com/HaoDYLW23,
  author       = {Chongzheng Hao and
                  Xiaoyu Dang and
                  Xiangbin Yu and
                  Sai Li and
                  Chenghua Wang},
  title        = {Probability density function based data augmentation for deep neural
                  network automatic modulation classification with limited training
                  data},
  journal      = {{IET} Commun.},
  volume       = {17},
  number       = {7},
  pages        = {852--862},
  year         = {2023},
  url          = {https://doi.org/10.1049/cmu2.12588},
  doi          = {10.1049/CMU2.12588},
  timestamp    = {Tue, 12 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-com/HaoDYLW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/DouWWL23,
  author       = {Yuqin Dou and
                  Chenghua Wang and
                  Roger F. Woods and
                  Weiqiang Liu},
  title        = {{ENAP:} An Efficient Number-Aware Pruning Framework for Design Space
                  Exploration of Approximate Configurations},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {70},
  number       = {5},
  pages        = {2062--2073},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSI.2023.3252483},
  doi          = {10.1109/TCSI.2023.3252483},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/DouWWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/YanZZGWL23,
  author       = {Chenggang Yan and
                  Xuan Zhao and
                  Tingting Zhang and
                  Jipeng Ge and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Design of High Hardware Efficiency Approximate Floating-Point {FFT}
                  Processor},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {70},
  number       = {11},
  pages        = {4283--4294},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSI.2023.3298882},
  doi          = {10.1109/TCSI.2023.3298882},
  timestamp    = {Thu, 09 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/YanZZGWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/CuiZNYWL23,
  author       = {Yijun Cui and
                  Yuantuo Zhang and
                  Ziying Ni and
                  Shichao Yu and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {High-Throughput Polynomial Multiplier for Accelerating Saber on {FPGA}},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {70},
  number       = {9},
  pages        = {3584--3588},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSII.2023.3264803},
  doi          = {10.1109/TCSII.2023.3264803},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/CuiZNYWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/DouGWLL23,
  author       = {Yuqin Dou and
                  Chongyan Gu and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {Security and Approximation: Vulnerabilities in Approximation-Aware
                  Testing},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {11},
  number       = {1},
  pages        = {265--271},
  year         = {2023},
  url          = {https://doi.org/10.1109/TETC.2022.3176761},
  doi          = {10.1109/TETC.2022.3176761},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/DouGWLL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acssc/ZhangCNW023,
  author       = {Zhuoyao Zhang and
                  Yijun Cui and
                  Ziying Ni and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {An Efficient Hardware Accelerator of High-Speed {NTT} for CRYSTALS-Kyber
                  Post-Quantum Cryptography},
  booktitle    = {57th Asilomar Conference on Signals, Systems, and Computers, {ACSSC}
                  2023, Pacific Grove, CA, USA, October 29 - Nov. 1, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/IEEECONF59524.2023.10477061},
  doi          = {10.1109/IEEECONF59524.2023.10477061},
  timestamp    = {Tue, 09 Apr 2024 10:37:41 +0200},
  biburl       = {https://dblp.org/rec/conf/acssc/ZhangCNW023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/WangCWL23,
  author       = {Xu Wang and
                  Ke Chen and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {An Energy-efficient Approximate {DCT} Design for Image Processing
                  (Invited)},
  booktitle    = {15th {IEEE} International Conference on ASIC, {ASICON} 2023, Nanjing,
                  China, October 24-27, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ASICON58565.2023.10396637},
  doi          = {10.1109/ASICON58565.2023.10396637},
  timestamp    = {Mon, 19 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/WangCWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bmei/HuangHHLW23,
  author       = {Zexin Huang and
                  Liyong Han and
                  Zhihua Huang and
                  Zhixiong Lin and
                  Chenghua Wang},
  editor       = {XiaoMing Zhao and
                  Qingli Li and
                  Lipo Wang},
  title        = {Automated data set construction system for clinical {EEG} research},
  booktitle    = {16th International Congress on Image and Signal Processing, BioMedical
                  Engineering and Informatics, {CISP-BMEI} 2023, Taizhou, China, October
                  28-30, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/CISP-BMEI60920.2023.10373261},
  doi          = {10.1109/CISP-BMEI60920.2023.10373261},
  timestamp    = {Tue, 16 Jan 2024 20:11:16 +0100},
  biburl       = {https://dblp.org/rec/conf/bmei/HuangHHLW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bmei/ZhaoLWLH23,
  author       = {Youwen Zhao and
                  Zhixiong Lin and
                  Chenghua Wang and
                  Jie Li and
                  Zhihua Huang},
  editor       = {XiaoMing Zhao and
                  Qingli Li and
                  Lipo Wang},
  title        = {An {EEG} annotation system facilitating brain disease research},
  booktitle    = {16th International Congress on Image and Signal Processing, BioMedical
                  Engineering and Informatics, {CISP-BMEI} 2023, Taizhou, China, October
                  28-30, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/CISP-BMEI60920.2023.10373338},
  doi          = {10.1109/CISP-BMEI60920.2023.10373338},
  timestamp    = {Tue, 16 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/bmei/ZhaoLWLH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCGW023,
  author       = {Baosheng Wang and
                  Yijun Cui and
                  Chongyan Gu and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Novel Intrinsic Physical Unclonable Function Design for Post-quantum
                  Cryptography},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10182054},
  doi          = {10.1109/ISCAS46773.2023.10182054},
  timestamp    = {Mon, 31 Jul 2023 09:04:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCGW023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DouGW023,
  author       = {Yuqin Dou and
                  Chongyan Gu and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {A Novel Method Against Hardware Trojans in Approximate Circuits},
  booktitle    = {24th International Symposium on Quality Electronic Design, {ISQED}
                  2023, San Francisco, CA, USA, April 5-7, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISQED57927.2023.10129367},
  doi          = {10.1109/ISQED57927.2023.10129367},
  timestamp    = {Thu, 01 Jun 2023 22:29:52 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DouGW023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/XieYWCWWL23,
  author       = {Wenzhuo Xie and
                  Chenggang Yan and
                  Hanghang Wang and
                  Ke Chen and
                  Bi Wu and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {A High Accuracy and Hardware Efficient Adaptive Filter Design with
                  Approximate Computing},
  booktitle    = {66th {IEEE} International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2023, Tempe, AZ, USA, August 6-9, 2023},
  pages        = {982--986},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MWSCAS57524.2023.10405850},
  doi          = {10.1109/MWSCAS57524.2023.10405850},
  timestamp    = {Sat, 24 Feb 2024 20:42:53 +0100},
  biburl       = {https://dblp.org/rec/conf/mwscas/XieYWCWWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/CuiLGW023,
  author       = {Yijun Cui and
                  Jiang Li and
                  Chongyan Gu and
                  Chenghua Wang and
                  Weiqiang Liu},
  editor       = {Ronald Tetzlaff and
                  Fernando Corinto and
                  Neil Kemp and
                  Alon Ascoli and
                  Andreas M{\"{o}}gel and
                  Meng{-}Fan Marvin Chang and
                  Joseph S. Friedman and
                  Siting Liu and
                  John Paul Strachan and
                  Stephan Menzel and
                  Mehdi B. Tahoori and
                  Martin Ziegler and
                  Jason Eshraghian and
                  Ioannis Messaris and
                  Christian Koitzsch and
                  Thomas Mikolajick and
                  Vasileios G. Ntinas},
  title        = {An RRAM-based {PUF} with Adjustable Programmable Voltage and Multi-Mode
                  Operation},
  booktitle    = {Proceedings of the 18th {ACM} International Symposium on Nanoscale
                  Architectures, {NANOARCH} 2023, Dresden, Germany, December 18-20,
                  2023},
  pages        = {20:1--20:5},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3611315.3633258},
  doi          = {10.1145/3611315.3633258},
  timestamp    = {Sat, 10 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/CuiLGW023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LuW22a,
  author       = {Tongshan Lu and
                  Chenghua Wang},
  title        = {{TCAD} Simulation of Single Event Transient in Si Bulk {MOSFET} at
                  Cryogenic Temperature},
  journal      = {{IEEE} Access},
  volume       = {10},
  pages        = {108128--108133},
  year         = {2022},
  url          = {https://doi.org/10.1109/ACCESS.2022.3206401},
  doi          = {10.1109/ACCESS.2022.3206401},
  timestamp    = {Wed, 26 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/LuW22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicetb/HaoDLW22,
  author       = {Chongzheng Hao and
                  Xiaoyu Dang and
                  Sai Li and
                  Chenghua Wang},
  title        = {Deep Learning Based Low Complexity Symbol Detection and Modulation
                  Classification Detector},
  journal      = {{IEICE} Trans. Commun.},
  volume       = {105-B},
  number       = {8},
  pages        = {923--930},
  year         = {2022},
  url          = {https://doi.org/10.1587/transcom.2021ebp3148},
  doi          = {10.1587/TRANSCOM.2021EBP3148},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicetb/HaoDLW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iotj/KundiKBWOL22,
  author       = {Dur{-}e{-}Shahwar Kundi and
                  Ayesha Khalid and
                  Song Bian and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {AxRLWE: {A} Multilevel Approximate Ring-LWE Co-Processor for Lightweight
                  IoT Applications},
  journal      = {{IEEE} Internet Things J.},
  volume       = {9},
  number       = {13},
  pages        = {10492--10501},
  year         = {2022},
  url          = {https://doi.org/10.1109/JIOT.2021.3122276},
  doi          = {10.1109/JIOT.2021.3122276},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iotj/KundiKBWOL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/LiuXLWML22,
  author       = {Weiqiang Liu and
                  Tao Xu and
                  Jing Li and
                  Chenghua Wang and
                  Paolo Montuschi and
                  Fabrizio Lombardi},
  title        = {Design of Unsigned Approximate Hybrid Dividers Based on Restoring
                  Array and Logarithmic Dividers},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {1},
  pages        = {339--350},
  year         = {2022},
  url          = {https://doi.org/10.1109/TETC.2020.3022290},
  doi          = {10.1109/TETC.2020.3022290},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetc/LiuXLWML22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/WarisWLHL22,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Jie Han and
                  Fabrizio Lombardi},
  title        = {Hybrid Partial Product-Based High-Performance Approximate Recursive
                  Multipliers},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {1},
  pages        = {507--513},
  year         = {2022},
  url          = {https://doi.org/10.1109/TETC.2020.3013977},
  doi          = {10.1109/TETC.2020.3013977},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetc/WarisWLHL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/WangWGCOL22,
  author       = {Yale Wang and
                  Chenghua Wang and
                  Chongyan Gu and
                  Yijun Cui and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {A Dynamically Configurable {PUF} and Dynamic Matching Authentication
                  Protocol},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {2},
  pages        = {1091--1104},
  year         = {2022},
  url          = {https://doi.org/10.1109/TETC.2021.3072421},
  doi          = {10.1109/TETC.2021.3072421},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetc/WangWGCOL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/WarisWXL22,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Chenyu Xu and
                  Weiqiang Liu},
  title        = {AxRMs: Approximate Recursive Multipliers Using High-Performance Building
                  Blocks},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {2},
  pages        = {1229--1235},
  year         = {2022},
  url          = {https://doi.org/10.1109/TETC.2021.3096515},
  doi          = {10.1109/TETC.2021.3096515},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetc/WarisWXL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/KundiZWKOL22,
  author       = {Dur{-}e{-}Shahwar Kundi and
                  Yuqing Zhang and
                  Chenghua Wang and
                  Ayesha Khalid and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {Ultra High-Speed Polynomial Multiplications for Lattice-Based Cryptography
                  on FPGAs},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {4},
  pages        = {1993--2005},
  year         = {2022},
  url          = {https://doi.org/10.1109/TETC.2022.3144101},
  doi          = {10.1109/TETC.2022.3144101},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/KundiZWKOL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangWGCOL22,
  author       = {Yale Wang and
                  Chenghua Wang and
                  Chongyan Gu and
                  Yijun Cui and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {A Generic Dynamic Responding Mechanism and Secure Authentication Protocol
                  for Strong PUFs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {30},
  number       = {9},
  pages        = {1256--1268},
  year         = {2022},
  url          = {https://doi.org/10.1109/TVLSI.2022.3189953},
  doi          = {10.1109/TVLSI.2022.3189953},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangWGCOL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhaoYWL22,
  author       = {Xuan Zhao and
                  Chenggang Yan and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Design of Approximate Floating-point {FFT} with Mantissa Bit-width
                  Adjustment Algorithm},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2022,
                  Shenzhen, China, November 11-13, 2022},
  pages        = {265--269},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/APCCAS55924.2022.10090295},
  doi          = {10.1109/APCCAS55924.2022.10090295},
  timestamp    = {Sat, 22 Apr 2023 16:25:51 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhaoYWL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/XuCLWL22,
  author       = {Tianyu Xu and
                  Yijun Cui and
                  Dongsheng Liu and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Lightweight and Efficient Hardware Implementation for Saber Using
                  {NTT} Multiplication},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2022,
                  Shenzhen, China, November 11-13, 2022},
  pages        = {601--605},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/APCCAS55924.2022.10090310},
  doi          = {10.1109/APCCAS55924.2022.10090310},
  timestamp    = {Sat, 22 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/XuCLWL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apweb/WangS22,
  author       = {Chenghua Wang and
                  Yu Sang},
  editor       = {Bohan Li and
                  Lin Yue and
                  Chuanqi Tao and
                  Xuming Han and
                  Diego Calvanese and
                  Toshiyuki Amagasa},
  title        = {{A2TN:} Aesthetic-Based Adversarial Transfer Network for Cross-Domain
                  Recommendation},
  booktitle    = {Web and Big Data - 6th International Joint Conference, APWeb-WAIM
                  2022, Nanjing, China, November 25-27, 2022, Proceedings, Part {III}},
  series       = {Lecture Notes in Computer Science},
  volume       = {13423},
  pages        = {102--116},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-25201-3\_8},
  doi          = {10.1007/978-3-031-25201-3\_8},
  timestamp    = {Thu, 16 Feb 2023 11:51:08 +0100},
  biburl       = {https://dblp.org/rec/conf/apweb/WangS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bmei/AnWWH22,
  author       = {Junjie An and
                  Chaoqun Weng and
                  Chenghua Wang and
                  Zhihua Huang},
  title        = {Recognizing the consciousness states of {DOC} patients by classifying
                  {EEG} signal},
  booktitle    = {15th International Congress on Image and Signal Processing, BioMedical
                  Engineering and Informatics, {CISP-BMEI} 2022, Beijing, China, November
                  5-7, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/CISP-BMEI56279.2022.9980122},
  doi          = {10.1109/CISP-BMEI56279.2022.9980122},
  timestamp    = {Mon, 10 Jun 2024 16:57:30 +0200},
  biburl       = {https://dblp.org/rec/conf/bmei/AnWWH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HuangWCL22,
  author       = {Pengfei Huang and
                  Chenghua Wang and
                  Ke Chen and
                  Weiqiang Liu},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {PAxC: {A} Probabilistic-oriented Approximate Computing Methodology
                  for ANNs},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {1165--1168},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774505},
  doi          = {10.23919/DATE54114.2022.9774505},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HuangWCL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuCLKWL22,
  author       = {Chuanchao Lu and
                  Yijun Cui and
                  Yang Li and
                  Dur{-}e{-}Shahwar Kundi and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Horizontal Correlation Analysis without Precise Location on Schoolbook
                  Polynomial Multiplication of Lattice-based Cryptosystem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {2428--2432},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9937520},
  doi          = {10.1109/ISCAS48785.2022.9937520},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LuCLKWL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/WarisWL22,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Architectural-Space Exploration of Energy-Efficient Approximate Arithmetic
                  Units for Error-Tolerant Applications},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2022, Nicosia,
                  Cyprus, July 4-6, 2022},
  pages        = {440--445},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISVLSI54635.2022.00098},
  doi          = {10.1109/ISVLSI54635.2022.00098},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/WarisWL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/Wang0WW0L22,
  author       = {Hanghang Wang and
                  Ke Chen and
                  Bi Wu and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  editor       = {Christof Teuscher and
                  Jie Han},
  title        = {HEADiv: {A} High-accuracy Energy-efficient Approximate Divider with
                  Error Compensation},
  booktitle    = {Proceedings of the 17th {ACM} International Symposium on Nanoscale
                  Architectures, {NANOARCH} 2022, Virtual, OR, USA, December 7-9, 2022},
  pages        = {15:1--15:6},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3565478.3572324},
  doi          = {10.1145/3565478.3572324},
  timestamp    = {Thu, 15 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nanoarch/Wang0WW0L22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/LuCKGWL22,
  author       = {Chuanchao Lu and
                  Yijun Cui and
                  Ayesha Khalid and
                  Chongyan Gu and
                  Chenghua Wang and
                  Weiqiang Liu},
  editor       = {Sakir Sezer and
                  Thomas B{\"{u}}chner and
                  J{\"{u}}rgen Becker and
                  Andrew Marshall and
                  Fahad Siddiqui and
                  Tanja Harbaum and
                  Kieran McLaughlin},
  title        = {A Novel Combined Correlation Power Analysis {(CPA)} Attack on Schoolbook
                  Polynomial Multiplication in Lattice-based Cryptosystems},
  booktitle    = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022,
                  Belfast, United Kingdom, September 5-8, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SOCC56010.2022.9908076},
  doi          = {10.1109/SOCC56010.2022.9908076},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/LuCKGWL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/22/WarisW022,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu},
  editor       = {Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {An Automated Logic-Level Framework for Approximate Modular Arithmetic
                  Circuits},
  booktitle    = {Approximate Computing},
  pages        = {23--49},
  publisher    = {Springer International Publishing},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-030-98347-5\_2},
  doi          = {10.1007/978-3-030-98347-5\_2},
  timestamp    = {Wed, 22 Feb 2023 17:48:44 +0100},
  biburl       = {https://dblp.org/rec/books/sp/22/WarisW022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/CuiLWGL21,
  author       = {Yijun Cui and
                  Jiang Li and
                  Chenghua Wang and
                  Chongyan Gu and
                  Weiqiang Liu},
  title        = {A lightweight key renewal scheme based authentication protocol with
                  configurable {RO} {PUF} for clustered sensor networks},
  journal      = {Microelectron. J.},
  volume       = {117},
  pages        = {105265},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.mejo.2021.105265},
  doi          = {10.1016/J.MEJO.2021.105265},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mj/CuiLWGL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ojcomps/HuangWLQL21,
  author       = {Pengfei Huang and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fei Qiao and
                  Fabrizio Lombardi},
  title        = {A Hardware/Software Co-Design Methodology for Adaptive Approximate
                  Computing in clustering and {ANN} Learning},
  journal      = {{IEEE} Open J. Comput. Soc.},
  volume       = {2},
  pages        = {38--52},
  year         = {2021},
  url          = {https://doi.org/10.1109/OJCS.2021.3051643},
  doi          = {10.1109/OJCS.2021.3051643},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ojcomps/HuangWLQL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sp/SunHW21,
  author       = {Jian Sun and
                  Guobin Hu and
                  Chenghua Wang},
  title        = {Analog Circuit Soft Fault Diagnosis Based on Sparse Random Projections
                  and K-Nearest Neighbor},
  journal      = {Sci. Program.},
  volume       = {2021},
  pages        = {8040140:1--8040140:9},
  year         = {2021},
  url          = {https://doi.org/10.1155/2021/8040140},
  doi          = {10.1155/2021/8040140},
  timestamp    = {Thu, 17 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sp/SunHW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/NanWWWWLL21,
  author       = {Guocai Nan and
                  Zhengkuan Wang and
                  Chenghua Wang and
                  Bi Wu and
                  Zhican Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {An Energy Efficient Accelerator for Bidirectional Recurrent Neural
                  Networks (BiRNNs) Using Hybrid-Iterative Compression With Error Sensitivity},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {68},
  number       = {9},
  pages        = {3707--3718},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSI.2021.3091318},
  doi          = {10.1109/TCSI.2021.3091318},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/NanWWWWLL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/WarisWLL21,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {AxBMs: Approximate Radix-8 Booth Multipliers for High-Performance
                  FPGA-Based Accelerators},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {68},
  number       = {5},
  pages        = {1566--1570},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSII.2021.3065333},
  doi          = {10.1109/TCSII.2021.3065333},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasII/WarisWLL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsusc/YinWWLHL21,
  author       = {Peipei Yin and
                  Chenghua Wang and
                  Haroon Waris and
                  Weiqiang Liu and
                  Yinhe Han and
                  Fabrizio Lombardi},
  title        = {Design and Analysis of Energy-Efficient Dynamic Range Approximate
                  Logarithmic Multipliers for Machine Learning},
  journal      = {{IEEE} Trans. Sustain. Comput.},
  volume       = {6},
  number       = {4},
  pages        = {612--625},
  year         = {2021},
  url          = {https://doi.org/10.1109/TSUSC.2020.3004980},
  doi          = {10.1109/TSUSC.2020.3004980},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tsusc/YinWWLHL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/WarisWLL21,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {AxSA: On the Design of High-Performance and Power-Efficient Approximate
                  Systolic Arrays for Matrix Multiplication},
  journal      = {J. Signal Process. Syst.},
  volume       = {93},
  number       = {6},
  pages        = {605--615},
  year         = {2021},
  url          = {https://doi.org/10.1007/s11265-020-01582-7},
  doi          = {10.1007/S11265-020-01582-7},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsisp/WarisWLL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenSWL21,
  author       = {Chao Chen and
                  Jie Sun and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {A 10-b 500MS/s Partially Loop-Unrolled {SAR} {ADC} with a Comparator
                  Offset Calibration Technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021,
                  Daegu, South Korea, May 22-28, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCAS51556.2021.9401439},
  doi          = {10.1109/ISCAS51556.2021.9401439},
  timestamp    = {Sun, 21 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenSWL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YaoKWOL21,
  author       = {Kan Yao and
                  Dur{-}e{-}Shahwar Kundi and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {Towards CRYSTALS-Kyber: {A} {M-LWE} Cryptoprocessor with Area-Time
                  Trade-Off},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021,
                  Daegu, South Korea, May 22-28, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCAS51556.2021.9401253},
  doi          = {10.1109/ISCAS51556.2021.9401253},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YaoKWOL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWYCGOL21,
  author       = {Hu Zhang and
                  Chenghua Wang and
                  Chenggang Yan and
                  Yijun Cui and
                  Chongyan Gu and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {A Dynamic Highly Reliable SRAM-Based {PUF} Retaining Memory Function},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021,
                  Daegu, South Korea, May 22-28, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCAS51556.2021.9401350},
  doi          = {10.1109/ISCAS51556.2021.9401350},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWYCGOL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/LiCGWL21,
  author       = {Jiang Li and
                  Yijun Cui and
                  Chongyan Gu and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Dynamically Configurable Physical Unclonable Function based on {RRAM}
                  Crossbar},
  booktitle    = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2021, AB, Canada, November 8-10, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/NANOARCH53687.2021.9642245},
  doi          = {10.1109/NANOARCH53687.2021.9642245},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/LiCGWL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/ZhangWKKOL20,
  author       = {Yuqing Zhang and
                  Chenghua Wang and
                  Dur{-}e{-}Shahwar Kundi and
                  Ayesha Khalid and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {An Efficient and Parallel {R-LWE} Cryptoprocessor},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {67-II},
  number       = {5},
  pages        = {886--890},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSII.2020.2980387},
  doi          = {10.1109/TCSII.2020.2980387},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/ZhangWKKOL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/WarisWL20,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {Hybrid Low Radix Encoding-Based Approximate Booth Multipliers},
  journal      = {{IEEE} Trans. Circuits Syst.},
  volume       = {67-II},
  number       = {12},
  pages        = {3367--3371},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSII.2020.2975094},
  doi          = {10.1109/TCSII.2020.2975094},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/WarisWL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/KundiKAWOL20,
  author       = {Dur{-}e{-}Shahwar Kundi and
                  Ayesha Khalid and
                  Arshad Aziz and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {Resource-Shared Crypto-Coprocessor of {AES} Enc/Dec With {SHA-3}},
  journal      = {{IEEE} Trans. Circuits Syst.},
  volume       = {67-I},
  number       = {12},
  pages        = {4869--4882},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCSI.2020.2997916},
  doi          = {10.1109/TCSI.2020.2997916},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/KundiKAWOL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DouYGOWL20,
  author       = {Yuqin Dou and
                  Shichao Yu and
                  Chongyan Gu and
                  M{\'{a}}ire O'Neill and
                  Chenghua Wang and
                  Weiqiang Liu},
  editor       = {Tinoosh Mohsenin and
                  Weisheng Zhao and
                  Yiran Chen and
                  Onur Mutlu},
  title        = {Security Analysis of Hardware Trojans on Approximate Circuits},
  booktitle    = {{GLSVLSI} '20: Great Lakes Symposium on {VLSI} 2020, Virtual Event,
                  China, September 7-9, 2020},
  pages        = {315--320},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3386263.3407591},
  doi          = {10.1145/3386263.3407591},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DouYGOWL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiCWGOL20,
  author       = {Yijun Cui and
                  Yunpeng Chen and
                  Chenghua Wang and
                  Chongyan Gu and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {Programmable Ring Oscillator {PUF} Based on Switch Matrix},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180552},
  doi          = {10.1109/ISCAS45731.2020.9180552},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiCWGOL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KundiBKWOL20,
  author       = {Dur{-}e{-}Shahwar Kundi and
                  Song Bian and
                  Ayesha Khalid and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {AxMM: Area and Power Efficient Approximate Modular Multiplier for
                  {R-LWE} Cryptosystem},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180839},
  doi          = {10.1109/ISCAS45731.2020.9180839},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KundiBKWOL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NanWLL20,
  author       = {Guocai Nan and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {{DC-LSTM:} Deep Compressed {LSTM} with Low Bit-Width and Structured
                  Matrices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9180869},
  doi          = {10.1109/ISCAS45731.2020.9180869},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NanWLL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/WeiCCWGL20,
  author       = {Ziwei Wei and
                  Yijun Cui and
                  Yunpeng Chen and
                  Chenghua Wang and
                  Chongyan Gu and
                  Weiqiang Liu},
  title        = {Transformer {PUF} : {A} Highly Flexible Configurable {RO} {PUF} Based
                  on {FPGA}},
  booktitle    = {{IEEE} Workshop on Signal Processing Systems, SiPS 2020, Coimbra,
                  Portugal, October 20-22, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SiPS50750.2020.9195259},
  doi          = {10.1109/SIPS50750.2020.9195259},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/WeiCCWGL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/WarisWL19,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {High-performance approximate half and full adder cells using {NAND}
                  logic gate},
  journal      = {{IEICE} Electron. Express},
  volume       = {16},
  number       = {6},
  pages        = {20190043},
  year         = {2019},
  url          = {https://doi.org/10.1587/elex.16.20190043},
  doi          = {10.1587/ELEX.16.20190043},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ieiceee/WarisWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiuCYZWSL19,
  author       = {Weiqiang Liu and
                  Tian Cao and
                  Peipei Yin and
                  Yuying Zhu and
                  Chenghua Wang and
                  Earl E. Swartzlander Jr. and
                  Fabrizio Lombardi},
  title        = {Design and Analysis of Approximate Redundant Binary Multipliers},
  journal      = {{IEEE} Trans. Computers},
  volume       = {68},
  number       = {6},
  pages        = {804--819},
  year         = {2019},
  url          = {https://doi.org/10.1109/TC.2018.2890222},
  doi          = {10.1109/TC.2018.2890222},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/LiuCYZWSL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/LiuLQXWL19,
  author       = {Weiqiang Liu and
                  Qicong Liao and
                  Fei Qiao and
                  Weijie Xia and
                  Chenghua Wang and
                  Fabrizio Lombardi},
  title        = {Approximate Designs for Fast Fourier Transform {(FFT)} With Application
                  to Speech Recognition},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {66-I},
  number       = {12},
  pages        = {4727--4739},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCSI.2019.2933321},
  doi          = {10.1109/TCSI.2019.2933321},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/LiuLQXWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/LiuZZGWOL19,
  author       = {Weiqiang Liu and
                  Lei Zhang and
                  Zhengran Zhang and
                  Chongyan Gu and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Fabrizio Lombardi},
  title        = {XOR-Based Low-Cost Reconfigurable PUFs for IoT Security},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {18},
  number       = {3},
  pages        = {25:1--25:21},
  year         = {2019},
  url          = {https://doi.org/10.1145/3274666},
  doi          = {10.1145/3274666},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/LiuZZGWOL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangWGCOL19,
  author       = {Yale Wang and
                  Chenghua Wang and
                  Chongyan Gu and
                  Yijun Cui and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {Theoretical Analysis of Delay-Based PUFs and Design Strategies for
                  Improvement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019,
                  Sapporo, Japan, May 26-29, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISCAS.2019.8702722},
  doi          = {10.1109/ISCAS.2019.8702722},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangWGCOL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/WarisWLL19,
  author       = {Haroon Waris and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {Design and Evaluation of a Power-Efficient Approximate Systolic Array
                  Architecture for Matrix Multiplication},
  booktitle    = {2019 {IEEE} International Workshop on Signal Processing Systems, SiPS
                  2019, Nanjing, China, October 20-23, 2019},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SiPS47522.2019.9020404},
  doi          = {10.1109/SIPS47522.2019.9020404},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/WarisWLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/CuiWCWCL19,
  author       = {Yijun Cui and
                  Chenghua Wang and
                  Yunpeng Chen and
                  Ziwei Wei and
                  Mengxian Chen and
                  Weiqiang Liu},
  title        = {Dynamic Reconfigurable PUFs Based on {FPGA}},
  booktitle    = {2019 {IEEE} International Workshop on Signal Processing Systems, SiPS
                  2019, Nanjing, China, October 20-23, 2019},
  pages        = {79--84},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SiPS47522.2019.9020444},
  doi          = {10.1109/SIPS47522.2019.9020444},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/CuiWCWCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/LiGCWWGL19,
  author       = {Jiang Li and
                  Hao Gao and
                  Yijun Cui and
                  Chenghua Wang and
                  Yale Wang and
                  Chongyan Gu and
                  Weiqiang Liu},
  title        = {Theoretical Analysis of Configurable {RO} PUFs and Strategies to Enhance
                  Security},
  booktitle    = {2019 {IEEE} International Workshop on Signal Processing Systems, SiPS
                  2019, Nanjing, China, October 20-23, 2019},
  pages        = {91--96},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SiPS47522.2019.9020320},
  doi          = {10.1109/SIPS47522.2019.9020320},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/LiGCWWGL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/CuiGWOL18,
  author       = {Yijun Cui and
                  Chongyan Gu and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {Ultra-Lightweight and Reconfigurable Tristate Inverter Based Physical
                  Unclonable Function Design},
  journal      = {{IEEE} Access},
  volume       = {6},
  pages        = {28478--28487},
  year         = {2018},
  url          = {https://doi.org/10.1109/ACCESS.2018.2839363},
  doi          = {10.1109/ACCESS.2018.2839363},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/CuiGWOL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/LiuXWWML18,
  author       = {Weiqiang Liu and
                  Jiahua Xu and
                  Danye Wang and
                  Chenghua Wang and
                  Paolo Montuschi and
                  Fabrizio Lombardi},
  title        = {Design and Evaluation of Approximate Logarithmic Multipliers for Low
                  Power Error-Tolerant Applications},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {65-I},
  number       = {9},
  pages        = {2856--2868},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCSI.2018.2792902},
  doi          = {10.1109/TCSI.2018.2792902},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/LiuXWWML18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tce/LiuMWOS18,
  author       = {Weiqiang Liu and
                  Faqiang Mei and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Earl E. Swartzlander Jr.},
  title        = {Data Compression Device Based on Modified {LZ4} Algorithm},
  journal      = {{IEEE} Trans. Consumer Electron.},
  volume       = {64},
  number       = {1},
  pages        = {110--117},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCE.2018.2810480},
  doi          = {10.1109/TCE.2018.2810480},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tce/LiuMWOS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/YinWLSL18,
  author       = {Peipei Yin and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Earl E. Swartzlander Jr. and
                  Fabrizio Lombardi},
  title        = {Designs of Approximate Floating-Point Multipliers with Variable Accuracy
                  for Error-Tolerant Applications},
  journal      = {J. Signal Process. Syst.},
  volume       = {90},
  number       = {4},
  pages        = {641--654},
  year         = {2018},
  url          = {https://doi.org/10.1007/s11265-017-1280-4},
  doi          = {10.1007/S11265-017-1280-4},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsisp/YinWLSL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/FangWMGOL18,
  author       = {Yue Fang and
                  Chenghua Wang and
                  Qingqing Ma and
                  Chongyan Gu and
                  M{\'{a}}ire O'Neill and
                  Weiqiang Liu},
  title        = {Attacking Arbiter PUFs Using Various Modeling Attack Algorithms: {A}
                  Comparative Study},
  booktitle    = {2018 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2018, Chengdu, China, October 26-30, 2018},
  pages        = {394--397},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/APCCAS.2018.8605618},
  doi          = {10.1109/APCCAS.2018.8605618},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/FangWMGOL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arith/LiuLXWML18,
  author       = {Weiqiang Liu and
                  Jing Li and
                  Tao Xu and
                  Chenghua Wang and
                  Paolo Montuschi and
                  Fabrizio Lombardi},
  title        = {Combining Restoring Array and Logarithmic Dividers into an Approximate
                  Hybrid Design},
  booktitle    = {25th {IEEE} Symposium on Computer Arithmetic, {ARITH} 2018, Amherst,
                  MA, USA, June 25-27, 2018},
  pages        = {92--98},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ARITH.2018.8464807},
  doi          = {10.1109/ARITH.2018.8464807},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arith/LiuLXWML18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MaGHWLO18,
  author       = {Qingqing Ma and
                  Chongyan Gu and
                  Neil Hanley and
                  Chenghua Wang and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill},
  editor       = {Youngsoo Shin},
  title        = {A machine learning attack resistant multi-PUF design on {FPGA}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {97--104},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297289},
  doi          = {10.1109/ASPDAC.2018.8297289},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MaGHWLO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YinWLL18,
  author       = {Peipei Yin and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  editor       = {Deming Chen and
                  Houman Homayoun and
                  Baris Taskin},
  title        = {Design of Dynamic Range Approximate Logarithmic Multipliers},
  booktitle    = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2018, Chicago, IL, USA, May 23-25, 2018},
  pages        = {423--426},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3194554.3194628},
  doi          = {10.1145/3194554.3194628},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YinWLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icdsp/WarisLHMWL18,
  author       = {Haroon Waris and
                  Weiqiang Liu and
                  Pengfei Huang and
                  Ruizhe Ma and
                  Chenghua Wang and
                  Fabrizio Lombardi},
  title        = {Design Exploration of Small Bit-Width Multipliers Using Approximate
                  Logic Design {(ALD)} Tool},
  booktitle    = {23rd {IEEE} International Conference on Digital Signal Processing,
                  {DSP} 2018, Shanghai, China, November 19-21, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICDSP.2018.8631872},
  doi          = {10.1109/ICDSP.2018.8631872},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icdsp/WarisLHMWL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiaoLQWL18,
  author       = {Qicong Liao and
                  Weiqiang Liu and
                  Fei Qiao and
                  Chenghua Wang and
                  Fabrizio Lombardi},
  title        = {Design of Approximate {FFT} with Bit-width Selection Algorithms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8350947},
  doi          = {10.1109/ISCAS.2018.8350947},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiaoLQWL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/MeiZGCWL18,
  author       = {Faqiang Mei and
                  Lei Zhang and
                  Chongyan Gu and
                  Yuan Cao and
                  Chenghua Wang and
                  Weiqiang Liu},
  title        = {A Highly Flexible Lightweight and High Speed True Random Number Generator
                  on {FPGA}},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {399--404},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00079},
  doi          = {10.1109/ISVLSI.2018.00079},
  timestamp    = {Mon, 26 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/MeiZGCWL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/HuangWMLL18,
  author       = {Pengfei Huang and
                  Chenghua Wang and
                  Ruizhe Ma and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {A Hardware/Software Co-design Method for Approximate Semi-Supervised
                  K-Means Clustering},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {575--580},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00110},
  doi          = {10.1109/ISVLSI.2018.00110},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/HuangWMLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiuQWJHL17,
  author       = {Weiqiang Liu and
                  Liangyu Qian and
                  Chenghua Wang and
                  Honglan Jiang and
                  Jie Han and
                  Fabrizio Lombardi},
  title        = {Design of Approximate Radix-4 Booth Multipliers for Error-Tolerant
                  Computing},
  journal      = {{IEEE} Trans. Computers},
  volume       = {66},
  number       = {8},
  pages        = {1435--1441},
  year         = {2017},
  url          = {https://doi.org/10.1109/TC.2017.2672976},
  doi          = {10.1109/TC.2017.2672976},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/LiuQWJHL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangWLOL17,
  author       = {Lei Zhang and
                  Chenghua Wang and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill and
                  Fabrizio Lombardi},
  title        = {{XOR} gate based low-cost configurable {RO} {PUF}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050628},
  doi          = {10.1109/ISCAS.2017.8050628},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangWLOL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiuCWOL16,
  author       = {Weiqiang Liu and
                  Linbin Chen and
                  Chenghua Wang and
                  M{\'{a}}ire O'Neill and
                  Fabrizio Lombardi},
  title        = {Design and Analysis of Inexact Floating-Point Adders},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {1},
  pages        = {308--314},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2417549},
  doi          = {10.1109/TC.2015.2417549},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/LiuCWOL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiWLYOL16,
  author       = {Yijun Cui and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Yifei Yu and
                  M{\'{a}}ire O'Neill and
                  Fabrizio Lombardi},
  title        = {Low-cost configurable ring oscillator {PUF} with improved uniqueness},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {558--561},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527301},
  doi          = {10.1109/ISCAS.2016.7527301},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiWLYOL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QianWLLH16,
  author       = {Liangyu Qian and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi and
                  Jie Han},
  title        = {Design and evaluation of an approximate Wallace-Booth multiplier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1974--1977},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538962},
  doi          = {10.1109/ISCAS.2016.7538962},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/QianWLLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiWLO16,
  author       = {Yijun Cui and
                  Chenghua Wang and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill},
  title        = {Live demonstration: An automatic evaluation platform for physical
                  unclonable function test},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2377},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539068},
  doi          = {10.1109/ISCAS.2016.7539068},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiWLO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/YinWLL16,
  author       = {Peipei Yin and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi},
  title        = {Design and Performance Evaluation of Approximate Floating-Point Multipliers},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {296--301},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.15},
  doi          = {10.1109/ISVLSI.2016.15},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/YinWLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/CaoLWCL16,
  author       = {Tian Cao and
                  Weiqiang Liu and
                  Chenghua Wang and
                  Xiao{-}Ping Cui and
                  Fabrizio Lombardi},
  title        = {Design of approximate Redundant Binary multipliers},
  booktitle    = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2016, Beijing, China, July 18-20, 2016},
  pages        = {31--36},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2950067.2950094},
  doi          = {10.1145/2950067.2950094},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/CaoLWCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/CuiWLO16,
  author       = {Yijun Cui and
                  Chenghua Wang and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill},
  title        = {A Reconfigurable Memory {PUF} Based on Tristate Inverter Arrays},
  booktitle    = {2016 {IEEE} International Workshop on Signal Processing Systems, SiPS
                  2016, Dallas, TX, USA, October 26-28, 2016},
  pages        = {171--176},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SiPS.2016.38},
  doi          = {10.1109/SIPS.2016.38},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/CuiWLO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/YuWLCO15,
  author       = {Yifei Yu and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Yijun Cui and
                  M{\'{a}}ire O'Neill},
  title        = {Improving {RO} {PUF} design using frequency distribution characteristics},
  journal      = {{IEICE} Electron. Express},
  volume       = {12},
  number       = {3},
  pages        = {20141043},
  year         = {2015},
  url          = {https://doi.org/10.1587/elex.12.20141043},
  doi          = {10.1587/ELEX.12.20141043},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ieiceee/YuWLCO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tie/HongLJZWW15,
  author       = {Feng Hong and
                  Jun Liu and
                  Baojian Ji and
                  Yufei Zhou and
                  Jianhua Wang and
                  Chenghua Wang},
  title        = {Single Inductor Dual Buck Full-Bridge Inverter},
  journal      = {{IEEE} Trans. Ind. Electron.},
  volume       = {62},
  number       = {8},
  pages        = {4869--4877},
  year         = {2015},
  url          = {https://doi.org/10.1109/TIE.2015.2399280},
  doi          = {10.1109/TIE.2015.2399280},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tie/HongLJZWW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/CaoZW15,
  author       = {Renzheng Cao and
                  Xiaofei Zhang and
                  Chenghua Wang},
  title        = {Reduced-Dimensional PARAFAC-Based Algorithm for Joint Angle and Doppler
                  Frequency Estimation in Monostatic {MIMO} Radar},
  journal      = {Wirel. Pers. Commun.},
  volume       = {80},
  number       = {3},
  pages        = {1231--1249},
  year         = {2015},
  url          = {https://doi.org/10.1007/s11277-014-2084-5},
  doi          = {10.1007/S11277-014-2084-5},
  timestamp    = {Thu, 20 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wpc/CaoZW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/CaoWZ15,
  author       = {Renzheng Cao and
                  Chenghua Wang and
                  Xiaofei Zhang},
  title        = {Two-Dimensional Direction of Arrival Estimation Using Generalized
                  {ESPRIT} Algorithm with Non-uniform L-Shaped Array},
  journal      = {Wirel. Pers. Commun.},
  volume       = {84},
  number       = {1},
  pages        = {321--339},
  year         = {2015},
  url          = {https://doi.org/10.1007/s11277-015-2610-0},
  doi          = {10.1007/S11277-015-2610-0},
  timestamp    = {Thu, 20 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wpc/CaoWZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYWCO15,
  author       = {Weiqiang Liu and
                  Yifei Yu and
                  Chenghua Wang and
                  Yijun Cui and
                  M{\'{a}}ire O'Neill},
  title        = {{RO} {PUF} design in FPGAs with new comparison strategies},
  booktitle    = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2015, Lisbon, Portugal, May 24-27, 2015},
  pages        = {77--80},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISCAS.2015.7168574},
  doi          = {10.1109/ISCAS.2015.7168574},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYWCO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jnw/SunWSW13,
  author       = {Jian Sun and
                  Chenghua Wang and
                  Jing Sun and
                  Lei Wang},
  title        = {Analog Circuit Soft Fault Diagnosis based on {PCA} and {PSO-SVM}},
  journal      = {J. Networks},
  volume       = {8},
  number       = {12},
  pages        = {2791--2796},
  year         = {2013},
  url          = {https://doi.org/10.4304/jnw.8.12.2791-2796},
  doi          = {10.4304/JNW.8.12.2791-2796},
  timestamp    = {Tue, 15 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jnw/SunWSW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/csie/WangW09b,
  author       = {Changqian Wang and
                  Chenghua Wang},
  editor       = {Mark Burgin and
                  Masud H. Chowdhury and
                  Chan H. Ham and
                  Simone A. Ludwig and
                  Weilian Su and
                  Sumanth Yenduri},
  title        = {A Method for Logic Circuit Test Generation Based on Boolean Partial
                  Derivative and {BDD}},
  booktitle    = {{CSIE} 2009, 2009 {WRI} World Congress on Computer Science and Information
                  Engineering, March 31 - April 2, 2009, Los Angeles, California, USA,
                  7 Volumes},
  pages        = {499--504},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/CSIE.2009.44},
  doi          = {10.1109/CSIE.2009.44},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/csie/WangW09b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/gandc/JiangQWZ06,
  author       = {Xiaoyu Jiang and
                  Jianping Qiao and
                  Chenghua Wang and
                  Yu Zhao},
  title        = {Computer simulation of landslides by the contact element method},
  journal      = {Comput. Geosci.},
  volume       = {32},
  number       = {4},
  pages        = {434--441},
  year         = {2006},
  url          = {https://doi.org/10.1016/j.cageo.2005.07.004},
  doi          = {10.1016/J.CAGEO.2005.07.004},
  timestamp    = {Fri, 21 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/gandc/JiangQWZ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/euc/HuLZW06,
  author       = {Jun Hu and
                  Xuandong Li and
                  Guoliang Zheng and
                  Chenghua Wang},
  editor       = {Xiaobo Zhou and
                  Oleg Sokolsky and
                  Lu Yan and
                  Eun{-}Sun Jung and
                  Zili Shao and
                  Yi Mu and
                  Dong Chun Lee and
                  Daeyoung Kim and
                  Young{-}Sik Jeong and
                  Cheng{-}Zhong Xu},
  title        = {Modelling and Analysis of Power Consumption for Component-Based Embedded
                  Software},
  booktitle    = {Emerging Directions in Embedded and Ubiquitous Computing, {EUC} 2006
                  Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea,
                  August 1-4, 2006, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4097},
  pages        = {795--804},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11807964\_80},
  doi          = {10.1007/11807964\_80},
  timestamp    = {Tue, 14 May 2019 10:00:47 +0200},
  biburl       = {https://dblp.org/rec/conf/euc/HuLZW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jocn/AlainRHWL05,
  author       = {Claude Alain and
                  Karen Reinke and
                  Yu He and
                  Chenghua Wang and
                  Nancy J. Lobaugh},
  title        = {Hearing Two Things at Once: Neurophysiological Indices of Speech Segregation
                  and Identification},
  journal      = {J. Cogn. Neurosci.},
  volume       = {17},
  number       = {5},
  pages        = {811--818},
  year         = {2005},
  url          = {https://doi.org/10.1162/0898929053747621},
  doi          = {10.1162/0898929053747621},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jocn/AlainRHWL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}