Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Hannu Tenhunen
@article{DBLP:journals/fgcs/MwaseJWTZ22, author = {Christine Mwase and Yi Jin and Tomi Westerlund and Hannu Tenhunen and Zhuo Zou}, title = {Communication-efficient distributed {AI} strategies for the IoT edge}, journal = {Future Gener. Comput. Syst.}, volume = {131}, pages = {292--308}, year = {2022}, url = {https://doi.org/10.1016/j.future.2022.01.013}, doi = {10.1016/J.FUTURE.2022.01.013}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/MwaseJWTZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HosseinpourNVPT21, author = {Farhoud Hosseinpour and Ahmad Naebi and Seppo Virtanen and Tapio Pahikkala and Hannu Tenhunen and Juha Plosila}, title = {A Resource Management Model for Distributed Multi-Task Applications in Fog Computing Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {152792--152802}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3127355}, doi = {10.1109/ACCESS.2021.3127355}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HosseinpourNVPT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/elektrik/SaeedESAT21, author = {Ayesha Saeed and Asma Ejaz and Humayun Shahid and Yasar Amin and Hannu Tenhunen}, title = {Robust and efficient EBG-backed wearable antenna for {ISM} applications}, journal = {Turkish J. Electr. Eng. Comput. Sci.}, volume = {29}, number = {7}, pages = {3036--3052}, year = {2021}, url = {https://doi.org/10.3906/elk-2104-54}, doi = {10.3906/ELK-2104-54}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/elektrik/SaeedESAT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KondoroDTM21, author = {Aron Kondoro and Imed Ben Dhaou and Hannu Tenhunen and Nerey H. Mvungi}, title = {Real time performance analysis of secure IoT protocols for microgrid communication}, journal = {Future Gener. Comput. Syst.}, volume = {116}, pages = {1--12}, year = {2021}, url = {https://doi.org/10.1016/j.future.2020.09.031}, doi = {10.1016/J.FUTURE.2020.09.031}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/KondoroDTM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mags/RwegasiraDEHMT21, author = {Diana Severine Rwegasira and Imed Ben Dhaou and Masoumeh Ebrahimi and Anders Hall{\'{e}}n and Nerey H. Mvungi and Hannu Tenhunen}, title = {Energy trading and control of islanded {DC} microgrid using multi-agent systems}, journal = {Multiagent Grid Syst.}, volume = {17}, number = {2}, pages = {113--128}, year = {2021}, url = {https://doi.org/10.3233/MGS-210345}, doi = {10.3233/MGS-210345}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mags/RwegasiraDEHMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/DasSRBHTS21, author = {Nilanjana Das and Riom Sen and Dwaipayan Ray and Nandini Banerjee and Joy Halder and Hannu Tenhunen and Biplab K. Sikdar}, title = {A trojan framework in {AES} core to evade state-of-the-art {HT} detection schemes}, journal = {Microelectron. J.}, volume = {111}, pages = {105023}, year = {2021}, url = {https://doi.org/10.1016/j.mejo.2021.105023}, doi = {10.1016/J.MEJO.2021.105023}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/DasSRBHTS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KaramiHEMTP21, author = {Masoomeh Karami and Mohammad Hashem Haghbayan and Masoumeh Ebrahimi and Antonio Miele and Hannu Tenhunen and Juha Plosila}, title = {Hierarchical Fault Simulation of Deep Neural Networks on Multi-Core Systems}, booktitle = {26th {IEEE} European Test Symposium, {ETS} 2021, Bruges, Belgium, May 24-28, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ETS50041.2021.9465432}, doi = {10.1109/ETS50041.2021.9465432}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ets/KaramiHEMTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/KaramiHENTP21, author = {Masoomeh Karami and Mohammad Hashem Haghbayan and Masoumeh Ebrahimi and Hamid Nejatollahi and Hannu Tenhunen and Juha Plosila}, title = {High-Performance Parallel Fault Simulation for Multi-Core Systems}, booktitle = {29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2021, Valladolid, Spain, March 10-12, 2021}, pages = {207--211}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PDP52278.2021.00040}, doi = {10.1109/PDP52278.2021.00040}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/KaramiHENTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sai/MohamedYHHTP21, author = {Sherif Abdelmonem Sayed Mohamed and Jawad Naveed Yasin and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, editor = {Kohei Arai}, title = {DBA-Filter: {A} Dynamic Background Activity Noise Filtering Algorithm for Event Cameras}, booktitle = {Intelligent Computing - Proceedings of the 2021 Computing Conference, Volume 1, {SAI} 2021, Virtual Event, 15-16 July, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {283}, pages = {685--696}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80119-9\_44}, doi = {10.1007/978-3-030-80119-9\_44}, timestamp = {Tue, 21 Feb 2023 10:40:01 +0100}, biburl = {https://dblp.org/rec/conf/sai/MohamedYHHTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YasinMHHTP20, author = {Jawad Naveed Yasin and Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, title = {Unmanned Aerial Vehicles (UAVs): Collision Avoidance Systems and Approaches}, journal = {{IEEE} Access}, volume = {8}, pages = {105139--105155}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3000064}, doi = {10.1109/ACCESS.2020.3000064}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YasinMHHTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YasinMHHTYP20, author = {Jawad Naveed Yasin and Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Muhammad Mehboob Yasin and Juha Plosila}, title = {Energy-Efficient Formation Morphing for Collision Avoidance in a Swarm of Drones}, journal = {{IEEE} Access}, volume = {8}, pages = {170681--170695}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3024953}, doi = {10.1109/ACCESS.2020.3024953}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YasinMHHTYP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/QueraltaTPSGTGR20, author = {Jorge Pe{\~{n}}a Queralta and Jussi Taipalmaa and Bilge Can Pullinen and Victor Kathan Sarker and Tuan Nguyen Gia and Hannu Tenhunen and Moncef Gabbouj and Jenni Raitoharju and Tomi Westerlund}, title = {Collaborative Multi-Robot Search and Rescue: Planning, Coordination, Perception, and Active Vision}, journal = {{IEEE} Access}, volume = {8}, pages = {191617--191643}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030190}, doi = {10.1109/ACCESS.2020.3030190}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/QueraltaTPSGTGR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/SahebiMEPPT20, author = {Golnaz Sahebi and Parisa Movahedi and Masoumeh Ebrahimi and Tapio Pahikkala and Juha Plosila and Hannu Tenhunen}, title = {GeFeS: {A} generalized wrapper feature selection approach for optimizing classification performance}, journal = {Comput. Biol. Medicine}, volume = {125}, pages = {103974}, year = {2020}, url = {https://doi.org/10.1016/j.compbiomed.2020.103974}, doi = {10.1016/J.COMPBIOMED.2020.103974}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/SahebiMEPPT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicv2/MohamedHHTP20, author = {Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, editor = {Aboul Ella Hassanien and Ahmad Taher Azar and Tarek Gaber and Diego Oliva and Mohamed Fahmy Tolba}, title = {Towards Real-Time Edge Detection for Event Cameras Based on Lifetime and Dynamic Slicing}, booktitle = {Proceedings of the International Conference on Artificial Intelligence and Computer Vision, {AICV} 2020, Cairo, Egypt, 8-10 April, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1153}, pages = {584--593}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-44289-7\_55}, doi = {10.1007/978-3-030-44289-7\_55}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicv2/MohamedHHTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaghbayanMZTP20, author = {Mohammad Hashem Haghbayan and Antonio Miele and Zhuo Zou and Hannu Tenhunen and Juha Plosila}, title = {Thermal-Cycling-aware Dynamic Reliability Management in Many-Core System-on-Chip}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1229--1234}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116325}, doi = {10.23919/DATE48585.2020.9116325}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HaghbayanMZTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/SarkerGTW20, author = {Victor Kathan Sarker and Tuan Nguyen Gia and Hannu Tenhunen and Tomi Westerlund}, title = {Lightweight Security Algorithms for Resource-constrained IoT-based Sensor Nodes}, booktitle = {2020 {IEEE} International Conference on Communications, {ICC} 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICC40277.2020.9149359}, doi = {10.1109/ICC40277.2020.9149359}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/SarkerGTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/MohamedYHMHTP20, author = {Sherif Abdelmonem Sayed Mohamed and Jawad Naveed Yasin and Mohammad Hashem Haghbayan and Antonio Miele and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, title = {Dynamic Resource-Aware Corner Detection for Bio-Inspired Vision Sensors}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {10465--10472}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412314}, doi = {10.1109/ICPR48806.2021.9412314}, timestamp = {Fri, 07 May 2021 08:42:33 +0200}, biburl = {https://dblp.org/rec/conf/icpr/MohamedYHMHTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/YasinMHHTYP20, author = {Jawad Naveed Yasin and Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Muhammad Mehboob Yasin and Juha Plosila}, title = {Night vision obstacle detection and avoidance based on Bio-Inspired Vision Sensors}, booktitle = {2020 {IEEE} Sensors, Rotterdam, The Netherlands, October 25-28, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SENSORS47125.2020.9278914}, doi = {10.1109/SENSORS47125.2020.9278914}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/YasinMHHTYP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvc/MohamedYHMHTP20, author = {Sherif Abdelmonem Sayed Mohamed and Jawad Naveed Yasin and Mohammad Hashem Haghbayan and Antonio Miele and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, editor = {George Bebis and Zhaozheng Yin and Edward Kim and Jan Bender and Kartic Subr and Bum Chul Kwon and Jian Zhao and Denis Kalkofen and George Baciu}, title = {Asynchronous Corner Tracking Algorithm Based on Lifetime of Events for {DAVIS} Cameras}, booktitle = {Advances in Visual Computing - 15th International Symposium, {ISVC} 2020, San Diego, CA, USA, October 5-7, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12509}, pages = {530--541}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-64556-4\_41}, doi = {10.1007/978-3-030-64556-4\_41}, timestamp = {Mon, 14 Dec 2020 13:22:14 +0100}, biburl = {https://dblp.org/rec/conf/isvc/MohamedYHMHTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paams/YasinMHHTP20, author = {Jawad Naveed Yasin and Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, editor = {Yves Demazeau and Tom Holvoet and Juan M. Corchado and Stefania Costantini}, title = {Navigation of Autonomous Swarm of Drones Using Translational Coordinates}, booktitle = {Advances in Practical Applications of Agents, Multi-Agent Systems, and Trustworthiness. The {PAAMS} Collection - 18th International Conference, {PAAMS} 2020, L'Aquila, Italy, October 7-9, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12092}, pages = {353--362}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49778-1\_28}, doi = {10.1007/978-3-030-49778-1\_28}, timestamp = {Wed, 07 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paams/YasinMHHTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssrr/QingqingTQGGTRW20, author = {Li Qingqing and Jussi Taipalmaa and Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Moncef Gabbouj and Hannu Tenhunen and Jenni Raitoharju and Tomi Westerlund}, title = {Towards Active Vision with UAVs in Marine Search and Rescue: Analyzing Human Detection at Variable Altitudes}, booktitle = {{IEEE} International Symposium on Safety, Security, and Rescue Robotics, {SSRR} 2020, Abu Dhabi, United Arab Emirates, November 4-6, 2020}, pages = {65--70}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SSRR50563.2020.9292596}, doi = {10.1109/SSRR50563.2020.9292596}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ssrr/QingqingTQGGTRW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucet/ArshadALAT20, author = {Farzana Arshad and Ahsan Ali and Jonathan Loo and Yasar Amin and Hannu Tenhunen}, title = {Beam-width Agile antenna for 5G {MMW} Applications}, booktitle = {2020 International Conference on UK-China Emerging Technologies, {UCET} 2020, Glasgow, United Kingdom, August 20-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/UCET51115.2020.9205358}, doi = {10.1109/UCET51115.2020.9205358}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ucet/ArshadALAT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-12610, author = {Jorge Pe{\~{n}}a Queralta and Jussi Taipalmaa and Bilge Can Pullinen and Victor Kathan Sarker and Tuan Nguyen Gia and Hannu Tenhunen and Moncef Gabbouj and Jenni Raitoharju and Tomi Westerlund}, title = {Collaborative Multi-Robot Systems for Search and Rescue: Coordination and Perception}, journal = {CoRR}, volume = {abs/2008.12610}, year = {2020}, url = {https://arxiv.org/abs/2008.12610}, eprinttype = {arXiv}, eprint = {2008.12610}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-12610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-15506, author = {Jawad Naveed Yasin and Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Muhammad Mehboob Yasin and Juha Plosila}, title = {Dynamic Formation Reshaping Based on Point Set Registration in a Swarm of Drones}, journal = {CoRR}, volume = {abs/2010.15506}, year = {2020}, url = {https://arxiv.org/abs/2010.15506}, eprinttype = {arXiv}, eprint = {2010.15506}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-15506.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-15507, author = {Sherif Abdelmonem Sayed Mohamed and Jawad Naveed Yasin and Mohammad Hashem Haghbayan and Antonio Miele and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, title = {Dynamic Resource-aware Corner Detection for Bio-inspired Vision Sensors}, journal = {CoRR}, volume = {abs/2010.15507}, year = {2020}, url = {https://arxiv.org/abs/2010.15507}, eprinttype = {arXiv}, eprint = {2010.15507}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-15507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-15509, author = {Jawad Naveed Yasin and Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Muhammad Mehboob Yasin and Juha Plosila}, title = {Night vision obstacle detection and avoidance based on Bio-Inspired Vision Sensors}, journal = {CoRR}, volume = {abs/2010.15509}, year = {2020}, url = {https://arxiv.org/abs/2010.15509}, eprinttype = {arXiv}, eprint = {2010.15509}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-15509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-15510, author = {Sherif Abdelmonem Sayed Mohamed and Jawad Naveed Yasin and Mohammad Hashem Haghbayan and Antonio Miele and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, title = {Asynchronous Corner Tracking Algorithm based on Lifetime of Events for {DAVIS} Cameras}, journal = {CoRR}, volume = {abs/2010.15510}, year = {2020}, url = {https://arxiv.org/abs/2010.15510}, eprinttype = {arXiv}, eprint = {2010.15510}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-15510.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShabbirARAALT19, author = {Ghulam Shabbir and Jamil Ahmad and Waseem Raza and Yasar Amin and Adeel Akram and Jonathan Loo and Hannu Tenhunen}, title = {Buffer-Aided Successive Relay Selection Scheme for Energy Harvesting IoT Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {36246--36258}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2901734}, doi = {10.1109/ACCESS.2019.2901734}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ShabbirARAALT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/FawadKRSKALT19, author = {Fawad and Muhammad Jamil Khan and Muhammad Ali Riaz and Humayun Shahid and Mansoor Shaukat Khan and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Texture Representation Through Overlapped Multi-Oriented Tri-Scale Local Binary Pattern}, journal = {{IEEE} Access}, volume = {7}, pages = {66668--66679}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2918004}, doi = {10.1109/ACCESS.2019.2918004}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/FawadKRSKALT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MohamedHWHTP19, author = {Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Tomi Westerlund and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, title = {A Survey on Odometry for Autonomous Navigation Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {97466--97486}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2929133}, doi = {10.1109/ACCESS.2019.2929133}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MohamedHWHTP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SaeedFKRSKALT19, author = {Ayesha Saeed and Fawad and Muhammad Jamil Khan and Muhammad Ali Riaz and Humayun Shahid and Mansoor Shaukat Khan and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Robustness-Driven Hybrid Descriptor for Noise-Deterrent Texture Classification}, journal = {{IEEE} Access}, volume = {7}, pages = {110116--110127}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2932687}, doi = {10.1109/ACCESS.2019.2932687}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SaeedFKRSKALT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NaqviNARAKALT19, author = {Syeda I. Naqvi and Aqeel Hussain Naqvi and Farzana Arshad and Muhammad Ali Riaz and Muhammad Awais Azam and Mansoor Shaukat Khan and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {An Integrated Antenna System for 4G and Millimeter-Wave 5G Future Handheld Devices}, journal = {{IEEE} Access}, volume = {7}, pages = {116555--116566}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936513}, doi = {10.1109/ACCESS.2019.2936513}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/NaqviNARAKALT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TariqRSKKALT19, author = {Nimra Tariq and Muhammad Ali Riaz and Humayun Shahid and Muhammad Jamil Khan and Mansoor Shaukat Khan and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Orientation Independent Chipless {RFID} Tag Using Novel Trefoil Resonators}, journal = {{IEEE} Access}, volume = {7}, pages = {122398--122407}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2937131}, doi = {10.1109/ACCESS.2019.2937131}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TariqRSKKALT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/NegashWT19, author = {Behailu Negash and Tomi Westerlund and Hannu Tenhunen}, title = {Towards an interoperable Internet of Things through a web of virtual things at the Fog layer}, journal = {Future Gener. Comput. Syst.}, volume = {91}, pages = {96--107}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.07.053}, doi = {10.1016/J.FUTURE.2018.07.053}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/NegashWT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/GiaDARWLT19, author = {Tuan Nguyen Gia and Imed Ben Dhaou and Mai Ali and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {Energy efficient fog-assisted IoT system for monitoring diabetic patients with cardiovascular disease}, journal = {Future Gener. Comput. Syst.}, volume = {93}, pages = {198--211}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.10.029}, doi = {10.1016/J.FUTURE.2018.10.029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/GiaDARWLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShahidRAALT19, author = {Humayun Shahid and Muhammad Ali Riaz and Yasar Amin and Adeel Akram and Jonathan Loo and Hannu Tenhunen}, title = {Novel QR-incorporated chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {16}, number = {5}, pages = {20180843}, year = {2019}, url = {https://doi.org/10.1587/elex.16.20180843}, doi = {10.1587/ELEX.16.20180843}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShahidRAALT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/RwegasiraDKAKNT19, author = {Diana Severine Rwegasira and Imed Ben Dhaou and Aron Kondoro and Anastasia Anagnostou and Amleset Kelati and Shililiandumi Naiman and Simon J. E. Taylor and Nerey H. Mvungi and Hannu Tenhunen}, title = {A Demand-Response Scheme Using Multi-Agent System for Smart {DC} Microgrid}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {10}, number = {1}, pages = {48--68}, year = {2019}, url = {https://doi.org/10.4018/IJERTCS.2019010103}, doi = {10.4018/IJERTCS.2019010103}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijertcs/RwegasiraDKAKNT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/DhaouT19, author = {Imed Ben Dhaou and Hannu Tenhunen}, title = {A High-Throughput Architecture for the {SHA-256/224} Compliant With the {DSRC} Standard}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {10}, number = {1}, pages = {98--118}, year = {2019}, url = {https://doi.org/10.4018/IJERTCS.2019010106}, doi = {10.4018/IJERTCS.2019010106}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/DhaouT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/FawadKRAT19, author = {Fawad and Muhammad Jamil Khan and MuhibUr Rahman and Yasar Amin and Hannu Tenhunen}, title = {Low-Rank Multi-Channel Features for Robust Visual Object Tracking}, journal = {Symmetry}, volume = {11}, number = {9}, pages = {1155}, year = {2019}, url = {https://doi.org/10.3390/sym11091155}, doi = {10.3390/SYM11091155}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/FawadKRAT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/FarahnakianPLPH19, author = {Fahimeh Farahnakian and Tapio Pahikkala and Pasi Liljeberg and Juha Plosila and Nguyen Trung Hieu and Hannu Tenhunen}, title = {Energy-Aware {VM} Consolidation in Cloud Data Centers Using Utilization Prediction Model}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {7}, number = {2}, pages = {524--536}, year = {2019}, url = {https://doi.org/10.1109/TCC.2016.2617374}, doi = {10.1109/TCC.2016.2617374}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/FarahnakianPLPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africon/GiaQQZTW19, author = {Tuan Nguyen Gia and Li Qingqing and Jorge Pe{\~{n}}a Queralta and Zhuo Zou and Hannu Tenhunen and Tomi Westerlund}, title = {Edge {AI} in Smart Farming IoT: CNNs at the Edge and Fog Computing with LoRa}, booktitle = {2019 {IEEE} AFRICON, Accra, Ghana, September 25-27, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AFRICON46755.2019.9134049}, doi = {10.1109/AFRICON46755.2019.9134049}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/africon/GiaQQZTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/QueraltaMGTW19, author = {Jorge Pe{\~{n}}a Queralta and Cassandra McCord and Tuan Nguyen Gia and Hannu Tenhunen and Tomi Westerlund}, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar}, title = {Communication-free and Index-free Distributed Formation Control Algorithm for Multi-robot Systems}, booktitle = {The 10th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 {(EDI40} 2019) / Affiliated Workshops, April 29 - May 2, 2019, Leuven, Belgium}, series = {Procedia Computer Science}, volume = {151}, pages = {431--438}, publisher = {Elsevier}, year = {2019}, url = {https://doi.org/10.1016/j.procs.2019.04.059}, doi = {10.1016/J.PROCS.2019.04.059}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/QueraltaMGTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccoms/NaqviAAALT19, author = {Syeda Iffat Naqvi and Muhammad Awais Azam and Yasar Amin and Farzana Arshad and Jonathan Loo and Hannu Tenhunen}, title = {Tri-Band Antenna Array with Defected Ground Structure for mm-Wave 5G Applications}, booktitle = {{IEEE} 4th International Conference on Computer and Communication Systems, {ICCCS} 2019, Singapore, February 23-25, 2019}, pages = {590--593}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCOMS.2019.8821767}, doi = {10.1109/CCOMS.2019.8821767}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccoms/NaqviAAALT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmec/KakakhelWDZPT19, author = {Syed Rameez Ullah Kakakhel and Tomi Westerlund and Masoud Daneshtalab and Zhuo Zou and Juha Plosila and Hannu Tenhunen}, title = {A Qualitative Comparison Model for Application Layer IoT Protocols}, booktitle = {Fourth International Conference on Fog and Mobile Edge Computing, {FMEC} 2019, Rome, Italy, June 10-13, 2019}, pages = {210--215}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FMEC.2019.8795324}, doi = {10.1109/FMEC.2019.8795324}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmec/KakakhelWDZPT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmec/SarkerQGTW19, author = {Victor K. Sarker and Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Hannu Tenhunen and Tomi Westerlund}, title = {A Survey on LoRa for IoT: Integrating Edge Computing}, booktitle = {Fourth International Conference on Fog and Mobile Edge Computing, {FMEC} 2019, Rome, Italy, June 10-13, 2019}, pages = {295--300}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FMEC.2019.8795313}, doi = {10.1109/FMEC.2019.8795313}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fmec/SarkerQGTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmu/GiaQQTZW19, author = {Tuan Nguyen Gia and Qingqing Li and Jorge Pe{\~{n}}a Queralta and Hannu Tenhunen and Zhuo Zou and Tomi Westerlund}, title = {Lossless Compression Techniques in Edge Computing for Mission-Critical Applications in the IoT}, booktitle = {Twelfth International Conference on Mobile Computing and Ubiquitous Network, {ICMU} 2019, Kathmandu, Nepal, November 4-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICMU48249.2019.9006647}, doi = {10.23919/ICMU48249.2019.9006647}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmu/GiaQQTZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmu/QingqingQGTZW19, author = {Qingqing Li and Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Hannu Tenhunen and Zhuo Zou and Tomi Westerlund}, title = {Visual Odometry Offloading in Internet of Vehicles with Compression at the Edge of the Network}, booktitle = {Twelfth International Conference on Mobile Computing and Ubiquitous Network, {ICMU} 2019, Kathmandu, Nepal, November 4-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICMU48249.2019.9006652}, doi = {10.23919/ICMU48249.2019.9006652}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmu/QingqingQGTZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmu/QingqingYQGTZW19, author = {Qingqing Li and F. Yuhong and Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Hannu Tenhunen and Zhuo Zou and Tomi Westerlund}, title = {Edge Computing for Mobile Robots: Multi-Robot Feature-Based Lidar Odometry with FPGAs}, booktitle = {Twelfth International Conference on Mobile Computing and Ubiquitous Network, {ICMU} 2019, Kathmandu, Nepal, November 4-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICMU48249.2019.9006646}, doi = {10.23919/ICMU48249.2019.9006646}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmu/QingqingYQGTZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmv/MohamedHHTP19, author = {Sherif Abdelmonem Sayed Mohamed and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, editor = {Wolfgang Osten and Dmitry P. Nikolaev}, title = {Monocular visual odometry based on hybrid parameterization}, booktitle = {Twelfth International Conference on Machine Vision, {ICMV} 2019, Amsterdam, The Netherlands, 16-18 November 2019}, series = {{SPIE} Proceedings}, volume = {11433}, pages = {114332A}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2556718}, doi = {10.1117/12.2556718}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmv/MohamedHHTP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/QueraltaYSQGZTW19, author = {Jorge Pe{\~{n}}a Queralta and F. Yuhong and Li Salomaa and Qingqing Li and Tuan Nguyen Gia and Zhuo Zou and Hannu Tenhunen and Tomi Westerlund}, title = {FPGA-based Architecture for a Low-Cost 3D Lidar Design and Implementation from Multiple Rotating 2D Lidars with {ROS}}, booktitle = {2019 {IEEE} SENSORS, Montreal, QC, Canada, October 27-30, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SENSORS43011.2019.8956928}, doi = {10.1109/SENSORS43011.2019.8956928}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/QueraltaYSQGZTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DhaouMT19, author = {Imed Ben Dhaou and Faisal Mahroogi and Hannu Tenhunen}, title = {Implementation of a Fuel Estimation Algorithm on SoC {FPGA}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702420}, doi = {10.1109/ISCAS.2019.8702420}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DhaouMT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscit/QingqingQGZTW19, author = {Qingqing Li and Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Zhuo Zou and Hannu Tenhunen and Tomi Westerlund}, title = {Detecting Water Reflection Symmetries in Point Clouds for Camera Position Calibration in Unmanned Surface Vehicles}, booktitle = {19th International Symposium on Communications and Information Technologies, {ISCIT} 2019, Ho Chi Minh City, Vietnam, September 25-27, 2019}, pages = {507--512}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCIT.2019.8905169}, doi = {10.1109/ISCIT.2019.8905169}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscit/QingqingQGZTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscsic/YasinHHTP19, author = {Jawad Naveed Yasin and Mohammad Hashem Haghbayan and Jukka Heikkonen and Hannu Tenhunen and Juha Plosila}, title = {Formation Maintenance and Collision Avoidance in a Swarm of Drones}, booktitle = {{ISCSIC} 2019: 3rd International Symposium on Computer Science and Intelligent Control, Amsterdam, The Netherlands, September 25-27, 2019}, pages = {1:1--1:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3386164.3386176}, doi = {10.1145/3386164.3386176}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscsic/YasinHHTP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihealth/GiaNQTW19, author = {Tuan Nguyen Gia and Anum Nawaz and Jorge Pe{\~{n}}a Queralta and Hannu Tenhunen and Tomi Westerlund}, editor = {Gregory M. P. O'Hare and Michael J. O'Grady and John O'Donoghue and Patrick Henn}, title = {Artificial Intelligence at the Edge in the Blockchain of Things}, booktitle = {Wireless Mobile Communication and Healthcare - 8th {EAI} International Conference, MobiHealth 2019, Dublin, Ireland, November 14-15, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {320}, pages = {267--280}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-49289-2\_21}, doi = {10.1007/978-3-030-49289-2\_21}, timestamp = {Sun, 25 Oct 2020 23:14:15 +0100}, biburl = {https://dblp.org/rec/conf/mobihealth/GiaNQTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/QueraltaGTW19, author = {Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Hannu Tenhunen and Tomi Westerlund}, title = {Collaborative Mapping with IoE-based Heterogeneous Vehicles for Enhanced Situational Awareness}, booktitle = {{IEEE} Sensors Applications Symposium, {SAS} 2019, Sophia Antipolis, France, March 11-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAS.2019.8706110}, doi = {10.1109/SAS.2019.8706110}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sas2/QueraltaGTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spa/KelatiPT19, author = {Amleset Kelati and Juha Plosila and Hannu Tenhunen}, title = {Machine Learning for sEMG Facial Feature Characterization}, booktitle = {Signal Processing: Algorithms, Architectures, Arrangements, and Applications, {SPA} 2019, Poznan, Poland, September 18-20, 2019}, pages = {169--174}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/SPA.2019.8936818}, doi = {10.23919/SPA.2019.8936818}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spa/KelatiPT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/QueraltaGTWQZ19, author = {Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Hannu Tenhunen and Tomi Westerlund and Qingqing Li and Zhuo Zou}, title = {Distributed Progressive Formation Control with One-Way Communication for Multi-Agent Systems}, booktitle = {{IEEE} Symposium Series on Computational Intelligence, {SSCI} 2019, Xiamen, China, December 6-9, 2019}, pages = {2012--2019}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SSCI44817.2019.9002798}, doi = {10.1109/SSCI44817.2019.9002798}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ssci/QueraltaGTWQZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsp/QueraltaGTW19, author = {Jorge Pe{\~{n}}a Queralta and Tuan Nguyen Gia and Hannu Tenhunen and Tomi Westerlund}, editor = {Norbert Herencsar}, title = {Edge-AI in LoRa-based Health Monitoring: Fall Detection System with Fog Computing and {LSTM} Recurrent Neural Networks}, booktitle = {42nd International Conference on Telecommunications and Signal Processing, {TSP} 2019, Budapest, Hungary, July 1-3, 2019}, pages = {601--604}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TSP.2019.8768883}, doi = {10.1109/TSP.2019.8768883}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tsp/QueraltaGTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GiaRWLT18, author = {Tuan Nguyen Gia and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {Fog Computing Approach for Mobility Support in Internet-of-Things Systems}, journal = {{IEEE} Access}, volume = {6}, pages = {36064--36082}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2848119}, doi = {10.1109/ACCESS.2018.2848119}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GiaRWLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/MajdSDPLT18, author = {Amin Majd and Golnaz Sahebi and Masoud Daneshtalab and Juha Plosila and Shahriar Lotfi and Hannu Tenhunen}, title = {Parallel imperialist competitive algorithms}, journal = {Concurr. Comput. Pract. Exp.}, volume = {30}, number = {7}, year = {2018}, url = {https://doi.org/10.1002/cpe.4393}, doi = {10.1002/CPE.4393}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/MajdSDPLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/GiaSTRWLT18, author = {Tuan Nguyen Gia and Victor Kathan Sarker and Igor Tcarenko and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {Energy efficient wearable sensor node for IoT-based fall detection systems}, journal = {Microprocess. Microsystems}, volume = {56}, pages = {34--46}, year = {2018}, url = {https://doi.org/10.1016/j.micpro.2017.10.014}, doi = {10.1016/J.MICPRO.2017.10.014}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/GiaSTRWLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/YangJOJXRLT18, author = {Geng Yang and Mingzhe Jiang and Wei Ouyang and Guangchao Ji and Haibo Xie and Amir M. Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {IoT-Based Remote Pain Monitoring System: From Device to Cloud Platform}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {6}, pages = {1711--1719}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2017.2776351}, doi = {10.1109/JBHI.2017.2776351}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/YangJOJXRLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/KelatiT18, author = {Amleset Kelati and Hannu Tenhunen}, editor = {Weisong Shi and Anwar Walid and E. Kevin Hall and Fei Wang and Gang Zhou}, title = {Wearable in Cloud}, booktitle = {Proceedings of the Third {IEEE/ACM} International Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2018, Washington, DC, USA, September 26-28, 2018}, pages = {7--8}, publisher = {{ACM} / {IEEE}}, year = {2018}, url = {https://doi.org/10.1145/3278576.3278579}, doi = {10.1145/3278576.3278579}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chase/KelatiT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fruct/RwegarsiraDKKMT18, author = {Diana Rwegarsira and Imed Ben Dhaou and Aron Kondoro and Amleset Kelati and Nerey H. Mvungi and Hannu Tenhunen}, title = {A Hardware-in-Loop Simulation of {DC} Microgrid using Multi-Agent Systems}, booktitle = {22nd Conference of Open Innovations Association, {FRUCT} 2018, Jyv{\"{a}}skyl{\"{a}}, Finland, May 15-18, 2018}, pages = {232--237}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/FRUCT.2018.8468283}, doi = {10.23919/FRUCT.2018.8468283}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fruct/RwegarsiraDKKMT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuanXZTZ18, author = {Yuxiang Huan and Jiawei Xu and Lirong Zheng and Hannu Tenhunen and Zhuo Zou}, title = {A 3D Tiled Low Power Accelerator for Convolutional Neural Network}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351301}, doi = {10.1109/ISCAS.2018.8351301}, timestamp = {Mon, 06 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuanXZTZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HaghbayanRLJMBT17, author = {Mohammad Hashem Haghbayan and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Antonio Miele and Cristiana Bolchini and Hannu Tenhunen}, title = {Can Dark Silicon Be Exploited to Prolong System Lifetime?}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {51--59}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2630317}, doi = {10.1109/MDAT.2016.2630317}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HaghbayanRLJMBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ZhaiZZMCTZX17, author = {Chuanying Zhai and Zhuo Zou and Qin Zhou and Jia Mao and Qiang Chen and Hannu Tenhunen and Li{-}Rong Zheng and Lida Xu}, title = {A 2.4-GHz {ISM} {RF} and {UWB} hybrid {RFID} real-time locating system for industrial enterprise Internet of Things}, journal = {Enterp. Inf. Syst.}, volume = {11}, number = {6}, pages = {909--926}, year = {2017}, url = {https://doi.org/10.1080/17517575.2016.1152401}, doi = {10.1080/17517575.2016.1152401}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/ZhaiZZMCTZX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HabibAALT17, author = {Ayesha Habib and Yasar Amin and Muhammad Awais Azam and Jonathan Loo and Hannu Tenhunen}, title = {Frequency signatured directly printable humidity sensing tag using organic electronics}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {3}, pages = {20161081}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161081}, doi = {10.1587/ELEX.14.20161081}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HabibAALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RaufRSIAT17, author = {Shahid Rauf and Muhammad Ali Riaz and Humayun Shahid and Muhammad Sohail Iqbal and Yasar Amin and Hannu Tenhunen}, title = {Triangular loop resonator based compact chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {4}, pages = {20161262}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20161262}, doi = {10.1587/ELEX.14.20161262}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RaufRSIAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HabibAFALT17, author = {Ayesha Habib and Rehab Asif and Muhammad Fawwad and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Directly printable compact chipless {RFID} tag for humidity sensing}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170169}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170169}, doi = {10.1587/ELEX.14.20170169}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HabibAFALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IqbalSRRAT17, author = {Muhammad Sohail Iqbal and Humayun Shahid and Muhammad Ali Riaz and Shahid Rauf and Yasar Amin and Hannu Tenhunen}, title = {{FSS} inspired polarization insensitive chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {10}, pages = {20170243}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170243}, doi = {10.1587/ELEX.14.20170243}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/IqbalSRRAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RiazSAAAT17, author = {Muhammad Ali Riaz and Humayun Shahid and Shah Zaib Aslam and Yasar Amin and Adeel Akram and Hannu Tenhunen}, title = {Novel T-shaped resonator based chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170728}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170728}, doi = {10.1587/ELEX.14.20170728}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RiazSAAAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SattiHZALT17, author = {Javeria Anum Satti and Ayesha Habib and Sumra Zeb and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Highly-dense flexible chipless {RFID} tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {18}, pages = {20170750}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170750}, doi = {10.1587/ELEX.14.20170750}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SattiHZALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZebHSALT17, author = {Sumra Zeb and Ayesha Habib and Javeria Anum Satti and Yasar Amin and Jonathan Loo and Hannu Tenhunen}, title = {Dual-polarized chipless humidity sensor tag}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {21}, pages = {20170926}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170926}, doi = {10.1587/ELEX.14.20170926}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZebHSALT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/DhaouKKRNMT17, author = {Imed Ben Dhaou and Aron Kondoro and Amleset Kelati and Diana Severine Rwegasira and Shililiandumi Naiman and Nerey H. Mvungi and Hannu Tenhunen}, title = {Communication and Security Technologies for Smart Grid}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {8}, number = {2}, pages = {40--65}, year = {2017}, url = {https://doi.org/10.4018/IJERTCS.2017070103}, doi = {10.4018/IJERTCS.2017070103}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/DhaouKKRNMT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/ShenNXMPFXTZZ17, author = {Jue Shen and Majid Baghaei Nejad and Li Xie and Jia Mao and Zhibo Pang and Yi Feng and Lida Xu and Hannu Tenhunen and Zhuo Zou and Li{-}Rong Zheng}, title = {Interactive {UHF/UWB} {RFID} tag for mass customization}, journal = {Inf. Syst. Frontiers}, volume = {19}, number = {5}, pages = {1177--1190}, year = {2017}, url = {https://doi.org/10.1007/s10796-016-9653-y}, doi = {10.1007/S10796-016-9653-Y}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/ShenNXMPFXTZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/AzimiRLT17, author = {Iman Azimi and Amir M. Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {Internet of things for remote elderly monitoring: a study from user-centered perspective}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {8}, number = {2}, pages = {273--289}, year = {2017}, url = {https://doi.org/10.1007/s12652-016-0387-y}, doi = {10.1007/S12652-016-0387-Y}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/AzimiRLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/RahmaniLATV17, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Jos{\'{e}} Luis Ayala and Hannu Tenhunen and Alexander V. Veidenbaum}, title = {Special issue on energy efficient multi-core and many-core systems, Part {II}}, journal = {J. Parallel Distributed Comput.}, volume = {100}, pages = {128--129}, year = {2017}, url = {https://doi.org/10.1016/j.jpdc.2016.10.009}, doi = {10.1016/J.JPDC.2016.10.009}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/RahmaniLATV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HaghbayanMRLT17, author = {Mohammad Hashem Haghbayan and Antonio Miele and Amir M. Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {Performance/Reliability-Aware Resource Management for Many-Cores in Dark Silicon Era}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {9}, pages = {1599--1612}, year = {2017}, url = {https://doi.org/10.1109/TC.2017.2691009}, doi = {10.1109/TC.2017.2691009}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HaghbayanMRLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RahmaniHMLJT17, author = {Amir M. Rahmani and Mohammad Hashem Haghbayan and Antonio Miele and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, title = {Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {2}, pages = {427--440}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2591798}, doi = {10.1109/TVLSI.2016.2591798}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/RahmaniHMLJT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KanduriHRLJTD17, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen and Nikil D. Dutt}, title = {Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {10}, pages = {2749--2762}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2694388}, doi = {10.1109/TVLSI.2017.2694388}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KanduriHRLJTD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/GiaADRWLT17, author = {Tuan Nguyen Gia and Mai Ali and Imed Ben Dhaou and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, editor = {Elhadi M. Shakshuki}, title = {IoT-based continuous glucose monitoring system: {A} feasibility study}, booktitle = {The 8th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2017) / The 7th International Conference on Sustainable Energy Information Technology {(SEIT} 2017), 16-19 May 2017, Madeira, Portugal}, series = {Procedia Computer Science}, volume = {109}, pages = {327--334}, publisher = {Elsevier}, year = {2017}, url = {https://doi.org/10.1016/j.procs.2017.05.359}, doi = {10.1016/J.PROCS.2017.05.359}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/GiaADRWLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/NegashWRLT17, author = {Behailu Negash and Tomi Westerlund and Amir M. Rahmani and Pasi Liljeberg and Hannu Tenhunen}, editor = {Elhadi M. Shakshuki}, title = {DoS-IL: {A} Domain Specific Internet of Things Language for Resource Constrained Devices}, booktitle = {The 8th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2017) / The 7th International Conference on Sustainable Energy Information Technology {(SEIT} 2017), 16-19 May 2017, Madeira, Portugal}, series = {Procedia Computer Science}, volume = {109}, pages = {416--423}, publisher = {Elsevier}, year = {2017}, url = {https://doi.org/10.1016/j.procs.2017.05.411}, doi = {10.1016/J.PROCS.2017.05.411}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/NegashWRLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/NomanNRLT17, author = {Uzair A. Noman and Behailu Negash and Amir M. Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {From threads to events: Adapting a lightweight middleware for Contiki {OS}}, booktitle = {14th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2017, Las Vegas, NV, USA, January 8-11, 2017}, pages = {486--491}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CCNC.2017.7983156}, doi = {10.1109/CCNC.2017.7983156}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/NomanNRLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/TcarenkoHJRZWLZ17, author = {Igor Tcarenko and Yuxiang Huan and David Juhasz and Amir M. Rahmani and Zhuo Zou and Tomi Westerlund and Pasi Liljeberg and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Smart energy efficient gateway for Internet of mobile things}, booktitle = {14th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2017, Las Vegas, NV, USA, January 8-11, 2017}, pages = {1016--1017}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CCNC.2017.7983276}, doi = {10.1109/CCNC.2017.7983276}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/TcarenkoHJRZWLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fit/JavedHAT17, author = {Nimra Javed and Ayesha Habib and Yasar Amin and Hannu Tenhunen}, title = {Towards Moisture Sensing Using Dual-Polarized Printable Chipless {RFID} Tag}, booktitle = {2017 International Conference on Frontiers of Information Technology, {FIT} 2017, Islamabad, Pakistan, December 18-20, 2017}, pages = {189--193}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.ieeecomputersociety.org/10.1109/FIT.2017.00041}, doi = {10.1109/FIT.2017.00041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fit/JavedHAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fruct/RwegasiraDAKSKT17, author = {Diana Severine Rwegasira and Imed Ben Dhaou and Anastasia Anagnostou and Aron Kondoro and Naiman Shililiandumi and Amleset Kelati and Simon J. E. Taylor and Nerey H. Mvungi and Hannu Tenhunen}, title = {A framework for load shedding and demand response in {DC} microgrid using multi agent system}, booktitle = {21st Conference of Open Innovations Association, {FRUCT} 2017, Helsinki, Finland, 6-10 November 2017}, pages = {284--289}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/FRUCT.2017.8250194}, doi = {10.23919/FRUCT.2017.8250194}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fruct/RwegasiraDAKSKT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/AliGTRWLT17, author = {Mai Ali and Tuan Nguyen Gia and Abd{-}Elhamid M. Taha and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {Autonomous Patient/Home Health Monitoring Powered by Energy Harvesting}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8253946}, doi = {10.1109/GLOCOM.2017.8253946}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/AliGTRWLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8/NegashWLT17, author = {Behailu Negash and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, editor = {A Min Tjoa and Li{-}Rong Zheng and Zhuo Zou and Maria Raffai and Li Da Xu and Niina Maarit Novak}, title = {Rethinking 'Things' - Fog Layer Interplay in IoT: {A} Mobile Code Approach}, booktitle = {Research and Practical Issues of Enterprise Information Systems - 11th {IFIP} {WG} 8.9 Working Conference, {CONFENIS} 2017, Shanghai, China, October 18-20, 2017, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {310}, pages = {159--167}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-94845-4\_14}, doi = {10.1007/978-3-319-94845-4\_14}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip8/NegashWLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8/HosseinpourSPT17, author = {Farhoud Hosseinpour and Ali Shuja Siddiqui and Juha Plosila and Hannu Tenhunen}, editor = {A Min Tjoa and Li{-}Rong Zheng and Zhuo Zou and Maria Raffai and Li Da Xu and Niina Maarit Novak}, title = {A Security Framework for Fog Networks Based on Role-Based Access Control and Trust Models}, booktitle = {Research and Practical Issues of Enterprise Information Systems - 11th {IFIP} {WG} 8.9 Working Conference, {CONFENIS} 2017, Shanghai, China, October 18-20, 2017, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {310}, pages = {168--180}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-94845-4\_15}, doi = {10.1007/978-3-319-94845-4\_15}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip8/HosseinpourSPT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/SahebiMEPT17, author = {Golnaz Sahebi and Amin Majd and Masoumeh Ebrahimi and Juha Plosila and Hannu Tenhunen}, title = {A reliable weighted feature selection for auto medical diagnosis}, booktitle = {15th {IEEE} International Conference on Industrial Informatics, {INDIN} 2017, Emden, Germany, July 24-26, 2017}, pages = {985--991}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/INDIN.2017.8104907}, doi = {10.1109/INDIN.2017.8104907}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/SahebiMEPT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DhaouGLT17, author = {Imed Ben Dhaou and Tuan Nguyen Gia and Pasi Liljeberg and Hannu Tenhunen}, title = {Low-latency hardware architecture for cipher-based message authentication code}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050840}, doi = {10.1109/ISCAS.2017.8050840}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DhaouGLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/GiaJSRWLT17, author = {Tuan Nguyen Gia and Mingzhe Jiang and Victor Kathan Sarker and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {Low-cost fog-assisted health-care IoT system with energy-efficient sensor nodes}, booktitle = {13th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2017, Valencia, Spain, June 26-30, 2017}, pages = {1765--1770}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWCMC.2017.7986551}, doi = {10.1109/IWCMC.2017.7986551}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/GiaJSRWLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MajdSDPT17, author = {Amin Majd and Golnaz Sahebi and Masoud Daneshtalab and Juha Plosila and Hannu Tenhunen}, editor = {Igor V. Kotenko and Yiannis Cotronis and Masoud Daneshtalab}, title = {Hierarchal Placement of Smart Mobile Access Points in Wireless Sensor Networks Using Fog Computing}, booktitle = {25th Euromicro International Conference on Parallel, Distributed and Network-based Processing, {PDP} 2017, St. Petersburg, Russia, March 6-8, 2017}, pages = {176--180}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PDP.2017.27}, doi = {10.1109/PDP.2017.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MajdSDPT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfidta/JinSNXZMT017, author = {Yi Jin and Jue Shen and Majid Baghaei Nejad and Li Xie and Zhuo Zou and Jia Mao and Hannu Tenhunen and Lirong Zheng}, title = {A Power management scheme for wirelessly-powered {RFID} tags with inkjet-printed display}, booktitle = {{IEEE} International Conference on {RFID} Technology {\&} Application, {RFID-TA} 2017, Warsaw, Poland, September 20-22, 2017}, pages = {180--185}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.ieeecomputersociety.org/10.1109/RFID-TA.2017.8098640}, doi = {10.1109/RFID-TA.2017.8098640}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rfidta/JinSNXZMT017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/MoosaviGNRVTI16, author = {Sanaz Rahimi Moosavi and Tuan Nguyen Gia and Ethiopia Nigussie and Amir{-}Mohammad Rahmani and Seppo Virtanen and Hannu Tenhunen and Jouni Isoaho}, title = {End-to-end security scheme for mobility enabled healthcare Internet of Things}, journal = {Future Gener. Comput. Syst.}, volume = {64}, pages = {108--124}, year = {2016}, url = {https://doi.org/10.1016/j.future.2016.02.020}, doi = {10.1016/J.FUTURE.2016.02.020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/MoosaviGNRVTI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JavedHAAT16, author = {Nimra Javed and Ayesha Habib and Adeel Akram and Yasar Amin and Hannu Tenhunen}, title = {16-bit frequency signatured directly printable tag for organic electronics}, journal = {{IEICE} Electron. Express}, volume = {13}, number = {11}, pages = {20160406}, year = {2016}, url = {https://doi.org/10.1587/elex.13.20160406}, doi = {10.1587/ELEX.13.20160406}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/JavedHAAT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/NegashRWLT16, author = {Behailu Negash and Amir{-}Mohammad Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {{LISA} 2.0: lightweight internet of things service bus architecture using node centric networking}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {7}, number = {3}, pages = {305--319}, year = {2016}, url = {https://doi.org/10.1007/s12652-016-0359-2}, doi = {10.1007/S12652-016-0359-2}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/NegashRWLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/ZhaiZ0X0T16, author = {Chuanying Zhai and Zhuo Zou and Qiang Chen and Lida Xu and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Delay-aware and reliability-aware contention-free {MF-TDMA} protocol for automated {RFID} monitoring in industrial IoT}, journal = {J. Ind. Inf. Integr.}, volume = {3}, pages = {8--19}, year = {2016}, url = {https://doi.org/10.1016/j.jii.2016.06.002}, doi = {10.1016/J.JII.2016.06.002}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jiii/ZhaiZ0X0T16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/RahmaniLATV16, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Jos{\'{e}} Luis Ayala and Hannu Tenhunen and Alexander V. Veidenbaum}, title = {Special issue on energy efficient multi-core and many-core systems, Part {I}}, journal = {J. Parallel Distributed Comput.}, volume = {95}, pages = {1--2}, year = {2016}, url = {https://doi.org/10.1016/j.jpdc.2016.04.013}, doi = {10.1016/J.JPDC.2016.04.013}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/RahmaniLATV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HaghbayanRMFPLT16, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Antonio Miele and Mohammad Fattah and Juha Plosila and Pasi Liljeberg and Hannu Tenhunen}, title = {A Power-Aware Approach for Online Test Scheduling in Many-Core Architectures}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {3}, pages = {730--743}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2481411}, doi = {10.1109/TC.2015.2481411}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HaghbayanRMFPLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JafriTHPPET16, author = {Syed Mohammad Asad Hassan Jafri and Muhammad Adeel Tajammul and Ahmed Hemani and Kolin Paul and Juha Plosila and Peeter Ellervee and Hannu Tenhunen}, title = {Polymorphic Configuration Architecture for CGRAs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {1}, pages = {403--407}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2402392}, doi = {10.1109/TVLSI.2015.2402392}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JafriTHPPET16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/HosseinpourPT16, author = {Farhoud Hosseinpour and Juha Plosila and Hannu Tenhunen}, title = {An Approach for Smart Management of Big Data in the Fog Computing Context}, booktitle = {2016 {IEEE} International Conference on Cloud Computing Technology and Science, CloudCom 2016, Luxembourg, December 12-15, 2016}, pages = {468--471}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CloudCom.2016.0080}, doi = {10.1109/CLOUDCOM.2016.0080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudcom/HosseinpourPT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaghbayanMRLT16, author = {Mohammad Hashem Haghbayan and Antonio Miele and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {A lifetime-aware runtime mapping approach for many-core systems in the dark silicon era}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {854--857}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459428/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HaghbayanMRLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ehealth360/AzimiARLT16, author = {Iman Azimi and Arman Anzanpour and Amir M. Rahmani and Pasi Liljeberg and Hannu Tenhunen}, editor = {Kostas Giokas and L{\'{a}}szl{\'{o}} Bokor and Frank Hopfgartner}, title = {Self-aware Early Warning Score System for IoT-Based Personalized Healthcare}, booktitle = {eHealth 360{\textdegree} - International Summit on eHealth, Budapest, Hungary, June 14-16, 2016, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {181}, pages = {49--55}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49655-9\_8}, doi = {10.1007/978-3-319-49655-9\_8}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ehealth360/AzimiARLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eit/HabibAAT16, author = {Ayesha Habib and Muhammad Awais Azam and Yasar Amin and Hannu Tenhunen}, title = {Chipless slot resonators for IoT system identification}, booktitle = {2016 {IEEE} International Conference on Electro Information Technology, {EIT} 2016, Grand Forks, ND, USA, May 19-21, 2016}, pages = {341--344}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EIT.2016.7535262}, doi = {10.1109/EIT.2016.7535262}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eit/HabibAAT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KanduriHRLJDT16, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Nikil D. Dutt and Hannu Tenhunen}, editor = {Frank Liu}, title = {Approximation knob: power capping meets energy efficiency}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {122}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2967002}, doi = {10.1145/2966986.2967002}, timestamp = {Fri, 23 Jun 2023 22:29:48 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KanduriHRLJDT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/SahebiMEPKT16, author = {Golnaz Sahebi and Amin Majd and Masoumeh Ebrahimi and Juha Plosila and Jaber Karimpour and Hannu Tenhunen}, title = {{SEECC:} {A} secure and efficient elliptic curve cryptosystem for E-health applications}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2016, Innsbruck, Austria, July 18-22, 2016}, pages = {492--500}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HPCSim.2016.7568375}, doi = {10.1109/HPCSIM.2016.7568375}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeehpcs/SahebiMEPKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/MajdASADPT16, author = {Amin Majd and Mahdi Abdollahi and Golnaz Sahebi and Davoud Abdollahi and Masoud Daneshtalab and Juha Plosila and Hannu Tenhunen}, title = {Multi-population parallel imperialist competitive algorithm for solving systems of nonlinear equations}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2016, Innsbruck, Austria, July 18-22, 2016}, pages = {767--775}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HPCSim.2016.7568412}, doi = {10.1109/HPCSIM.2016.7568412}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/MajdASADPT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/GotzingerRPLJT16, author = {Maximilian Gotzinger and Amir M. Rahmani and Martin Pongratz and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, title = {The Role of Self-Awareness and Hierarchical Agents in Resource Management for Many-Core Systems}, booktitle = {10th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, {MCSOC} 2016, Lyon, France, September 21-23, 2016}, pages = {53--60}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MCSoC.2016.57}, doi = {10.1109/MCSOC.2016.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/GotzingerRPLJT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihealth/TcarenkoGRWLT16, author = {Igor Tcarenko and Tuan Nguyen Gia and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, editor = {Paolo Perego and Giuseppe Andreoni and Giovanna Rizzo}, title = {Energy-Efficient IoT-Enabled Fall Detection System with Messenger-Based Notification}, booktitle = {Wireless Mobile Communication and Healthcare - 6th International Conference, MobiHealth 2016, Milan, Italy, November 14-16, 2016, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {192}, pages = {19--26}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-58877-3\_3}, doi = {10.1007/978-3-319-58877-3\_3}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobihealth/TcarenkoGRWLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihealth/GotzingerTRLJT16, author = {Maximilian G{\"{o}}tzinger and Nima Taherinejad and Amir M. Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, editor = {Paolo Perego and Giuseppe Andreoni and Giovanna Rizzo}, title = {Enhancing the Early Warning Score System Using Data Confidence}, booktitle = {Wireless Mobile Communication and Healthcare - 6th International Conference, MobiHealth 2016, Milan, Italy, November 14-16, 2016, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {192}, pages = {91--99}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-58877-3\_12}, doi = {10.1007/978-3-319-58877-3\_12}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobihealth/GotzingerTRLJT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HabibASAT16, author = {Ayesha Habib and M. Ali Afzal and Haleema Sadia and Yasar Amin and Hannu Tenhunen}, title = {Chipless {RFID} tag for IoT applications}, booktitle = {{IEEE} 59th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2016, Abu Dhabi, United Arab Emirates, October 16-19, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MWSCAS.2016.7870033}, doi = {10.1109/MWSCAS.2016.7870033}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HabibASAT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/GiaTSRWLT16, author = {Tuan Nguyen Gia and Igor Tcarenko and Victor K. Sarker and Amir M. Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {IoT-based fall detection system with energy efficient sensor nodes}, booktitle = {{IEEE} Nordic Circuits and Systems Conference, {NORCAS} 2016, Copenhagen, Denmark, November 1-2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NORCHIP.2016.7792890}, doi = {10.1109/NORCHIP.2016.7792890}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norchip/GiaTSRWLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfidta/ZhaiZQMH00T16, author = {Chuanying Zhai and Zhuo Zou and Yifan Qin and Ning Ma and Yuxiang Huan and Qiang Chen and Lirong Zheng and Hannu Tenhunen}, title = {QoS based {RFID} system for smart assembly workshop}, booktitle = {{IEEE} International Conference on {RFID} Technology and Applications, {RFID-TA} 2016, Shunde, Foshan, China, September 21-23, 2016}, pages = {138--143}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/RFID-TA.2016.7750728}, doi = {10.1109/RFID-TA.2016.7750728}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/rfidta/ZhaiZQMH00T16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/JiangGARWSLT16, author = {Mingzhe Jiang and Tuan Nguyen Gia and Arman Anzanpour and Amir{-}Mohammad Rahmani and Tomi Westerlund and Sanna Salanter{\"{a}} and Pasi Liljeberg and Hannu Tenhunen}, title = {IoT-based remote facial expression monitoring system with sEMG signal}, booktitle = {{IEEE} Sensors Applications Symposium, {SAS} 2016, Catania, Italy, April 20-22, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SAS.2016.7479847}, doi = {10.1109/SAS.2016.7479847}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sas2/JiangGARWSLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/MajdSDPT16, author = {Amin Majd and Golnaz Sahebi and Masoud Daneshtalab and Juha Plosila and Hannu Tenhunen}, title = {Placement of Smart Mobile Access Points in Wireless Sensor Networks and Cyber-Physical Systems Using Fog Computing}, booktitle = {2016 Intl {IEEE} Conferences on Ubiquitous Intelligence {\&} Computing, Advanced and Trusted Computing, Scalable Computing and Communications, Cloud and Big Data Computing, Internet of People, and Smart World Congress (UIC/ATC/ScalCom/CBDCom/IoP/SmartWorld), Toulouse, France, July 18-21, 2016}, pages = {680--689}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/UIC-ATC-ScalCom-CBDCom-IoP-SmartWorld.2016.0112}, doi = {10.1109/UIC-ATC-SCALCOM-CBDCOM-IOP-SMARTWORLD.2016.0112}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/MajdSDPT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/XuLLPT15, author = {Thomas Canhao Xu and Ville Lepp{\"{a}}nen and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {{PDNOC:} Partially diagonal network-on-chip for high efficiency multicore systems}, journal = {Concurr. Comput. Pract. Exp.}, volume = {27}, number = {4}, pages = {1054--1067}, year = {2015}, url = {https://doi.org/10.1002/cpe.3364}, doi = {10.1002/CPE.3364}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/XuLLPT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AslamKHAT15, author = {Bilal Aslam and Umar Hasan Khan and Ayesha Habib and Yasar Amin and Hannu Tenhunen}, title = {Frequency signature chipless {RFID} tag with enhanced data capacity}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150623}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150623}, doi = {10.1587/ELEX.12.20150623}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AslamKHAT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/DaneshtalabMYT15, author = {Masoud Daneshtalab and Farhad Mehdipour and Zhiyi Yu and Hannu Tenhunen}, title = {Special Issue on Emerging Many-Core Systems for Exascale Computing}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {11}, number = {4}, pages = {39:1--39:2}, year = {2015}, url = {https://doi.org/10.1145/2717312}, doi = {10.1145/2717312}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/DaneshtalabMYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/JafriOFPHPT15, author = {Syed M. A. H. Jafri and Ozan Ozbag and Nasim Farahini and Kolin Paul and Ahmed Hemani and Juha Plosila and Hannu Tenhunen}, title = {Architecture and Implementation of Dynamic Parallelism, Voltage and Frequency Scaling {(PVFS)} on CGRAs}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {11}, number = {4}, pages = {40:1--40:29}, year = {2015}, url = {https://doi.org/10.1145/2700250}, doi = {10.1145/2700250}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/JafriOFPHPT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WeldezionGJTP15, author = {Awet Yemane Weldezion and Matt Grange and Axel Jantsch and Hannu Tenhunen and Dinesh Pamunuwa}, title = {Zero-load predictive model for performance analysis in deflection routing NoCs}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {634--647}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.09.002}, doi = {10.1016/J.MICPRO.2015.09.002}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/WeldezionGJTP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/FarahnakianAPLP15, author = {Fahimeh Farahnakian and Adnan Ashraf and Tapio Pahikkala and Pasi Liljeberg and Juha Plosila and Ivan Porres and Hannu Tenhunen}, title = {Using Ant Colony System to Consolidate VMs for Green Cloud Computing}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {8}, number = {2}, pages = {187--198}, year = {2015}, url = {https://doi.org/10.1109/TSC.2014.2382555}, doi = {10.1109/TSC.2014.2382555}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsc/FarahnakianAPLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/GiaJRWLT15, author = {Tuan Nguyen Gia and Mingzhe Jiang and Amir{-}Mohammad Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, editor = {Yulei Wu and Geyong Min and Nektarios Georgalas and Jia Hu and Luigi Atzori and Xiaolong Jin and Stephen A. Jarvis and Lei (Chris) Liu and Ram{\'{o}}n Ag{\"{u}}ero Calvo}, title = {Fog Computing in Healthcare Internet of Things: {A} Case Study on {ECG} Feature Extraction}, booktitle = {15th {IEEE} International Conference on Computer and Information Technology, {CIT} 2015; 14th {IEEE} International Conference on Ubiquitous Computing and Communications, {IUCC} 2015; 13th {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2015; 13th {IEEE} International Conference on Pervasive Intelligence and Computing, PICom 2015, Liverpool, United Kingdom, October 26-28, 2015}, pages = {356--363}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CIT/IUCC/DASC/PICOM.2015.51}, doi = {10.1109/CIT/IUCC/DASC/PICOM.2015.51}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/GiaJRWLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/MoosaviGNRVTI15, author = {Sanaz Rahimi Moosavi and Tuan Nguyen Gia and Ethiopia Nigussie and Amir{-}Mohammad Rahmani and Seppo Virtanen and Hannu Tenhunen and Jouni Isoaho}, editor = {Yulei Wu and Geyong Min and Nektarios Georgalas and Jia Hu and Luigi Atzori and Xiaolong Jin and Stephen A. Jarvis and Lei (Chris) Liu and Ram{\'{o}}n Ag{\"{u}}ero Calvo}, title = {Session Resumption-Based End-to-End Security for Healthcare Internet-of-Things}, booktitle = {15th {IEEE} International Conference on Computer and Information Technology, {CIT} 2015; 14th {IEEE} International Conference on Ubiquitous Computing and Communications, {IUCC} 2015; 13th {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2015; 13th {IEEE} International Conference on Pervasive Intelligence and Computing, PICom 2015, Liverpool, United Kingdom, October 26-28, 2015}, pages = {581--588}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CIT/IUCC/DASC/PICOM.2015.83}, doi = {10.1109/CIT/IUCC/DASC/PICOM.2015.83}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/MoosaviGNRVTI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/JiangRWLT15, author = {Mingzhe Jiang and Amir{-}Mohammad Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, editor = {Yulei Wu and Geyong Min and Nektarios Georgalas and Jia Hu and Luigi Atzori and Xiaolong Jin and Stephen A. Jarvis and Lei (Chris) Liu and Ram{\'{o}}n Ag{\"{u}}ero Calvo}, title = {Facial Expression Recognition with sEMG Method}, booktitle = {15th {IEEE} International Conference on Computer and Information Technology, {CIT} 2015; 14th {IEEE} International Conference on Ubiquitous Computing and Communications, {IUCC} 2015; 13th {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2015; 13th {IEEE} International Conference on Pervasive Intelligence and Computing, PICom 2015, Liverpool, United Kingdom, October 26-28, 2015}, pages = {981--988}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CIT/IUCC/DASC/PICOM.2015.148}, doi = {10.1109/CIT/IUCC/DASC/PICOM.2015.148}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/JiangRWLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/FarahnakianPLPT15, author = {Fahimeh Farahnakian and Tapio Pahikkala and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Calton Pu and Ajay Mohindra}, title = {Utilization Prediction Aware {VM} Consolidation Approach for Green Cloud Computing}, booktitle = {8th {IEEE} International Conference on Cloud Computing, {CLOUD} 2015, New York City, NY, USA, June 27 - July 2, 2015}, pages = {381--388}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CLOUD.2015.58}, doi = {10.1109/CLOUD.2015.58}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/FarahnakianPLPT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/NegashRWLT15, author = {Behailu Negash and Amir{-}Mohammad Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, editor = {Elhadi M. Shakshuki}, title = {{LISA:} Lightweight Internet of Things Service Bus Architecture}, booktitle = {Proceedings of the 6th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2015), the 5th International Conference on Sustainable Energy Information Technology (SEIT-2015), London, UK, June 2-5, 2015}, series = {Procedia Computer Science}, volume = {52}, pages = {436--443}, publisher = {Elsevier}, year = {2015}, url = {https://doi.org/10.1016/j.procs.2015.05.010}, doi = {10.1016/J.PROCS.2015.05.010}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ant/NegashRWLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/MoosaviGRNVIT15, author = {Sanaz Rahimi Moosavi and Tuan Nguyen Gia and Amir{-}Mohammad Rahmani and Ethiopia Nigussie and Seppo Virtanen and Jouni Isoaho and Hannu Tenhunen}, editor = {Elhadi M. Shakshuki}, title = {{SEA:} {A} Secure and Efficient Authentication and Authorization Architecture for IoT-Based Healthcare Using Smart Gateways}, booktitle = {Proceedings of the 6th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2015), the 5th International Conference on Sustainable Energy Information Technology (SEIT-2015), London, UK, June 2-5, 2015}, series = {Procedia Computer Science}, volume = {52}, pages = {452--459}, publisher = {Elsevier}, year = {2015}, url = {https://doi.org/10.1016/j.procs.2015.05.013}, doi = {10.1016/J.PROCS.2015.05.013}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ant/MoosaviGRNVIT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/BaoZHZBTKZ15, author = {Dongxuan Bao and Zhuo Zou and Yuxiang Huan and Chuanying Zhai and Tiberiu Bagaian and Hannu Tenhunen and Bengt K{\"{a}}llb{\"{a}}ck and Li{-}Rong Zheng}, editor = {Alberto Cliquet Junior and Ana L. N. Fred and Hugo Gamboa and Dirk Elias}, title = {A Smart Catheter System for Minimally Invasive Brain Monitoring}, booktitle = {{BIODEVICES} 2015 - Proceedings of the International Conference on Biomedical Electronics and Devices, Lisbon, Portugal, 12-15 January, 2015}, pages = {198--203}, publisher = {SciTePress}, year = {2015}, timestamp = {Mon, 01 Apr 2024 00:12:17 +0200}, biburl = {https://dblp.org/rec/conf/biostec/BaoZHZBTKZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/ShenMYXFNZTZ15, author = {Jue Shen and Jia Mao and Geng Yang and Li Xie and Yi Feng and Majid Baghaei Nejad and Zhuo Zou and Hannu Tenhunen and Li{-}Rong Zheng}, editor = {Alberto Cliquet Junior and Ana L. N. Fred and Hugo Gamboa and Dirk Elias}, title = {A 180 nm-CMOS Asymmetric {UWB-RFID} Tag with Real-time Remote-monitored ECG-sensing}, booktitle = {{BIODEVICES} 2015 - Proceedings of the International Conference on Biomedical Electronics and Devices, Lisbon, Portugal, 12-15 January, 2015}, pages = {210--215}, publisher = {SciTePress}, year = {2015}, timestamp = {Tue, 02 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/ShenMYXFNZTZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/RahmaniTGGNLT15, author = {Amir{-}Mohammad Rahmani and Nanda Kumar Thanigaivelan and Tuan Nguyen Gia and Jose Granados and Behailu Negash and Pasi Liljeberg and Hannu Tenhunen}, title = {Smart e-Health Gateway: Bringing intelligence to Internet-of-Things based ubiquitous healthcare systems}, booktitle = {12th Annual {IEEE} Consumer Communications and Networking Conference, {CCNC} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {826--834}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CCNC.2015.7158084}, doi = {10.1109/CCNC.2015.7158084}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/RahmaniTGGNLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaghbayanRFLPNT15, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Mohammad Fattah and Pasi Liljeberg and Juha Plosila and Zainalabedin Navabi and Hannu Tenhunen}, editor = {Wolfgang Nebel and David Atienza}, title = {Power-aware online testing of manycore systems in the dark silicon era}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {435--440}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755852}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HaghbayanRFLPNT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/TeravainenHRLT15, author = {Sami Teravainen and Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems}, booktitle = {2015 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2015, Amherst, MA, USA, October 12-14, 2015}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DFT.2015.7315132}, doi = {10.1109/DFT.2015.7315132}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/TeravainenHRLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/HaghbayanTRLT15, author = {Mohammad Hashem Haghbayan and Sami Teravainen and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {Adaptive fault simulation on many-core microprocessor systems}, booktitle = {2015 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2015, Amherst, MA, USA, October 12-14, 2015}, pages = {151--154}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DFT.2015.7315153}, doi = {10.1109/DFT.2015.7315153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/HaghbayanTRLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HamedTY15, author = {Zaid Hamed and Hannu Tenhunen and Geng Yang}, title = {A programmable low power current source for bioimpedance measurement: Towards a wearable personalized health assistant}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {2038--2042}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318787}, doi = {10.1109/EMBC.2015.7318787}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/HamedTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/XuLLPT15, author = {Thomas Canhao Xu and Ville Lepp{\"{a}}nen and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Trio: {A} Triple Class On-chip Network Design for Efficient Multicore Processors}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {951--956}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.44}, doi = {10.1109/HPCC-CSS-ICESS.2015.44}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/XuLLPT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KanduriHRLJT15, author = {Anil Kanduri and Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, title = {Dark silicon aware runtime mapping for many-core systems: {A} patterning approach}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {573--580}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357167}, doi = {10.1109/ICCD.2015.7357167}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KanduriHRLJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuwb/ZhaiZCZT15, author = {Chuanying Zhai and Zhuo Zou and Qiang Chen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {High-Throughput and High-Efficiency Multiple Access Scheme for {IEEE802.15.4} Based {RFID} Sensing}, booktitle = {{IEEE} International Conference on Ubiquitous Wireless Broadband, {ICUWB} 2015, Montreal, QC, Canada, October 4-7, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICUWB.2015.7324458}, doi = {10.1109/ICUWB.2015.7324458}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icuwb/ZhaiZCZT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuwb/ZhouZCTZ15, author = {Qin Zhou and Zhuo Zou and Qiang Chen and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Low Complexity Burst Packet Detection for Wireless-Powered {UWB} {RFID} Systems}, booktitle = {{IEEE} International Conference on Ubiquitous Wireless Broadband, {ICUWB} 2015, Montreal, QC, Canada, October 4-7, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICUWB.2015.7324469}, doi = {10.1109/ICUWB.2015.7324469}, timestamp = {Wed, 19 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuwb/ZhouZCTZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iot360/OdunmbakuRLT15, author = {Abdulakeem Odunmbaku and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen}, editor = {Benny Mandler and Johann M{\'{a}}rquez{-}Barja and Miguel Elias Mitre Campista and Dagmar Cag{\'{a}}nov{\'{a}} and Hakima Chaouchi and Sherali Zeadally and Mohamad Badra and Stefano Giordano and Maria Fazio and Andrey Somov and Radu{-}Laurentiu Vieriu}, title = {Elderly Monitoring System with Sleep and Fall Detector}, booktitle = {Internet of Things. IoT Infrastructures - Second International Summit, IoT 360{\textdegree} 2015, Rome, Italy, October 27-29, 2015, Revised Selected Papers, Part {I}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {169}, pages = {473--480}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-47063-4\_51}, doi = {10.1007/978-3-319-47063-4\_51}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iot360/OdunmbakuRLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iot360/AnzanpourRLT15, author = {Arman Anzanpour and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen}, editor = {Benny Mandler and Johann M{\'{a}}rquez{-}Barja and Miguel Elias Mitre Campista and Dagmar Cag{\'{a}}nov{\'{a}} and Hakima Chaouchi and Sherali Zeadally and Mohamad Badra and Stefano Giordano and Maria Fazio and Andrey Somov and Radu{-}Laurentiu Vieriu}, title = {Context-Aware Early Warning System for In-Home Healthcare Using Internet-of-Things}, booktitle = {Internet of Things. IoT Infrastructures - Second International Summit, IoT 360{\textdegree} 2015, Rome, Italy, October 27-29, 2015, Revised Selected Papers, Part {I}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {169}, pages = {517--522}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-47063-4\_56}, doi = {10.1007/978-3-319-47063-4\_56}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iot360/AnzanpourRLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RahmaniHKWLPJT15, author = {Amir{-}Mohammad Rahmani and Mohammad Hashem Haghbayan and Anil Kanduri and Awet Yemane Weldezion and Pasi Liljeberg and Juha Plosila and Axel Jantsch and Hannu Tenhunen}, title = {Dynamic power management for many-core platforms in the dark silicon era: {A} multi-objective control approach}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {219--224}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273517}, doi = {10.1109/ISLPED.2015.7273517}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/RahmaniHKWLPJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/KanduriRLT15, author = {Anil Kanduri and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {Predictable Application Mapping for Manycore Real-Time and Cyber-Physical Systems}, booktitle = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015}, pages = {135--142}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/MCSoC.2015.36}, doi = {10.1109/MCSOC.2015.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/KanduriRLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/WeldezionEDT15, author = {Awet Yemane Weldezion and Masoumeh Ebrahimi and Masoud Daneshtalab and Hannu Tenhunen}, editor = {Masoumeh Ebrahimi and Riccardo Locatelli}, title = {Automated Power and Latency Management in Heterogeneous 3D NoCs}, booktitle = {Proceedings of the 8th International Workshop on Network on Chip Architectures, NoCArc '15, Waikiki, HI, USA, December 5, 2015}, pages = {33--38}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2835512.2835517}, doi = {10.1145/2835512.2835517}, timestamp = {Tue, 06 Nov 2018 16:58:27 +0100}, biburl = {https://dblp.org/rec/conf/micro/WeldezionEDT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/FattahAAMLPMPMT15, author = {Mohammad Fattah and Antti Airola and Rachata Ausavarungnirun and Nima Mirzaei and Pasi Liljeberg and Juha Plosila and Siamak Mohammadi and Tapio Pahikkala and Onur Mutlu and Hannu Tenhunen}, editor = {Andr{\'{e}} Ivanov and Diana Marculescu and Partha Pratim Pande and Jos{\'{e}} Flich and Karthik Pattabiraman}, title = {A Low-Overhead, Fully-Distributed, Guaranteed-Delivery Routing Algorithm for Faulty Network-on-Chips}, booktitle = {Proceedings of the 9th International Symposium on Networks-on-Chip, {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015}, pages = {18:1--18:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786572.2786591}, doi = {10.1145/2786572.2786591}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/FattahAAMLPMPMT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/HaghbayanKRLJT15, author = {Mohammad Hashem Haghbayan and Anil Kanduri and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Axel Jantsch and Hannu Tenhunen}, editor = {Andr{\'{e}} Ivanov and Diana Marculescu and Partha Pratim Pande and Jos{\'{e}} Flich and Karthik Pattabiraman}, title = {MapPro: Proactive Runtime Mapping for Dynamic Workloads by Quantifying Ripple Effect of Applications on Networks-on-Chip}, booktitle = {Proceedings of the 9th International Symposium on Networks-on-Chip, {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015}, pages = {26:1--26:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786572.2786589}, doi = {10.1145/2786572.2786589}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/HaghbayanKRLJT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/NgyenJDHDPT15, author = {Tuan Ngyen and Syed M. A. H. Jafri and Masoud Daneshtalab and Ahmed Hemani and Sergei Dytckov and Juha Plosila and Hannu Tenhunen}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {{FIST:} {A} Framework to Interleave Spiking Neural Networks on CGRAs}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {751--758}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.60}, doi = {10.1109/PDP.2015.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/NgyenJDHDPT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfidta/ZhaiZ00T15, author = {Chuanying Zhai and Zhuo Zou and Qiang Chen and Lirong Zheng and Hannu Tenhunen}, title = {Optimization on guard time and synchronization cycle for TDMA-based deterministic {RFID} system}, booktitle = {{IEEE} International Conference on {RFID} Technology and Applications, {RFID-TA} 2015, Tokyo, Japan, September 16-18, 2015}, pages = {71--75}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/RFID-TA.2015.7379800}, doi = {10.1109/RFID-TA.2015.7379800}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/rfidta/ZhaiZ00T15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/GiaRWLT15, author = {Tuan Nguyen Gia and Amir{-}Mohammad Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {Fault tolerant and scalable IoT-based architecture for health monitoring}, booktitle = {{IEEE} Sensors Applications Symposium, {SAS} 2015, Zadar, Croatia, April 13-15, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SAS.2015.7133626}, doi = {10.1109/SAS.2015.7133626}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sas2/GiaRWLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/TajammulJEHTP15, author = {Muhammad Adeel Tajammul and Syed M. A. H. Jafri and Peeter Ellervee and Ahmed Hemani and Hannu Tenhunen and Juha Plosila}, title = {DyMeP: An Infrastructure to Support Dynamic Memory Binding for Runtime Mapping in CGRAs}, booktitle = {28th International Conference on {VLSI} Design, {VLSID} 2015, Bangalore, India, January 3-7, 2015}, pages = {547--552}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/VLSID.2015.98}, doi = {10.1109/VLSID.2015.98}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/TajammulJEHTP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/JafriPHPPT14, author = {Syed M. A. H. Jafri and Stanislaw J. Piestrak and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {Private reliability environments for efficient fault-tolerance in CGRAs}, journal = {Des. Autom. Embed. Syst.}, volume = {18}, number = {3-4}, pages = {295--327}, year = {2014}, url = {https://doi.org/10.1007/s10617-014-9129-6}, doi = {10.1007/S10617-014-9129-6}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/JafriPHPPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/EbrahimiDLPFT14, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Jos{\'{e}} Flich and Hannu Tenhunen}, title = {Path-Based Partitioning Methods for 3D Networks-on-Chip with Minimal Adaptive Routing}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {3}, pages = {718--733}, year = {2014}, url = {https://doi.org/10.1109/TC.2012.255}, doi = {10.1109/TC.2012.255}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/EbrahimiDLPFT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RahmaniV0LPT14, author = {Amir{-}Mohammad Rahmani and Kameswar Rao Vaddina and Khalid Latif and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {High-Performance and Fault-Tolerant 3D NoC-Bus Hybrid Architecture Using ARB-NET-Based Adaptive Monitoring Platform}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {3}, pages = {734--747}, year = {2014}, url = {https://doi.org/10.1109/TC.2012.278}, doi = {10.1109/TC.2012.278}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/RahmaniV0LPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/RahmaniLPT14, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Special section on advances in methods for adaptive multicore systems}, journal = {J. Supercomput.}, volume = {68}, number = {3}, pages = {1023--1026}, year = {2014}, url = {https://doi.org/10.1007/s11227-014-1217-7}, doi = {10.1007/S11227-014-1217-7}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/RahmaniLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/FarahnakianALPPPT14, author = {Fahimeh Farahnakian and Adnan Ashraf and Pasi Liljeberg and Tapio Pahikkala and Juha Plosila and Ivan Porres and Hannu Tenhunen}, title = {Energy-Aware Dynamic {VM} Consolidation in Cloud Data Centers Using Ant Colony System}, booktitle = {2014 {IEEE} 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27 - July 2, 2014}, pages = {104--111}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CLOUD.2014.24}, doi = {10.1109/CLOUD.2014.24}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/FarahnakianALPPPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/GuangNPT14, author = {Liang Guang and Ethiopia Nigussie and Juha Plosila and Hannu Tenhunen}, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar}, title = {Positioning Antifragility for Clouds on Public Infrastructures}, booktitle = {Proceedings of the 5th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2014), the 4th International Conference on Sustainable Energy Information Technology (SEIT-2014), Hasselt, Belgium, June 2-5, 2014}, series = {Procedia Computer Science}, volume = {32}, pages = {856--861}, publisher = {Elsevier}, year = {2014}, url = {https://doi.org/10.1016/j.procs.2014.05.502}, doi = {10.1016/J.PROCS.2014.05.502}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/GuangNPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FattahLPT14, author = {Mohammad Fattah and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Adjustable contiguity of run-time task allocation in networked many-core systems}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {349--354}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742914}, doi = {10.1109/ASPDAC.2014.6742914}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/FattahLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/FarahnakianLPPT14, author = {Fahimeh Farahnakian and Pasi Liljeberg and Tapio Pahikkala and Juha Plosila and Hannu Tenhunen}, title = {Hierarchical {VM} Management Architecture for Cloud Data Centers}, booktitle = {{IEEE} 6th International Conference on Cloud Computing Technology and Science, CloudCom 2014, Singapore, December 15-18, 2014}, pages = {306--311}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CloudCom.2014.136}, doi = {10.1109/CLOUDCOM.2014.136}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cloudcom/FarahnakianLPPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/GuangPT14, author = {Liang Guang and Juha Plosila and Hannu Tenhunen}, editor = {Radu Marculescu and Gabriela Nicolescu}, title = {From self-aware building blocks to self-organizing systems with hierarchical agent-based adaptation}, booktitle = {2014 International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17, 2014}, pages = {23:1--23:3}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656075.2661646}, doi = {10.1145/2656075.2661646}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/GuangPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FattahPLPT14, author = {Mohammad Fattah and Maurizio Palesi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of Many-Core Systems}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {101:1--101:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593214}, doi = {10.1145/2593069.2593214}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/FattahPLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/HaghbayanRLPT14, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Online testing of many-core systems in the Dark Silicon era}, booktitle = {17th International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2014, Warsaw, Poland, 23-25 April, 2014}, pages = {141--146}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DDECS.2014.6868778}, doi = {10.1109/DDECS.2014.6868778}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/HaghbayanRLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/HaghbayanARLT14, author = {Mohammad Hashem Haghbayan and Bijan Alizadeh and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen}, title = {Automated formal approach for debugging dividers using dynamic specification}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {264--269}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962068}, doi = {10.1109/DFT.2014.6962068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/HaghbayanARLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/HaghbayanRLPT14, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Energy-efficient concurrent testing approach for many-core systems in the dark silicon age}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {270--275}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962075}, doi = {10.1109/DFT.2014.6962075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/HaghbayanRLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/JafriTDHPEPT14, author = {Syed Mohammad Asad Hassan Jafri and Muhammad Adeel Tajammul and Masoud Daneshtalab and Ahmed Hemani and Kolin Paul and Peeter Ellervee and Juha Plosila and Hannu Tenhunen}, title = {Morphable Compression Architecture for Efficient Configuration in CGRAs}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {42--49}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.36}, doi = {10.1109/DSD.2014.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/JafriTDHPEPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AnwarDEPTDB14, author = {Hassan Anwar and Masoud Daneshtalab and Masoumeh Ebrahimi and Juha Plosila and Hannu Tenhunen and Sergei Dytckov and Giovanni Beltrame}, title = {Parameterized AES-Based Crypto Processor for FPGAs}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {465--472}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.90}, doi = {10.1109/DSD.2014.90}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AnwarDEPTDB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/DytckovDEAPT14, author = {Sergei Dytckov and Masoud Daneshtalab and Masoumeh Ebrahimi and Hassan Anwar and Juha Plosila and Hannu Tenhunen}, title = {Efficient {STDP} Micro-Architecture for Silicon Spiking Neural Networks}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {496--503}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.109}, doi = {10.1109/DSD.2014.109}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/DytckovDEAPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/FarahnakianPLPT14, author = {Fahimeh Farahnakian and Tapio Pahikkala and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Multi-agent Based Architecture for Dynamic {VM} Consolidation in Cloud Data Centers}, booktitle = {40th {EUROMICRO} Conference on Software Engineering and Advanced Applications, {EUROMICRO-SEAA} 2014, Verona, Italy, August 27-29, 2014}, pages = {111--118}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SEAA.2014.56}, doi = {10.1109/SEAA.2014.56}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euromicro/FarahnakianPLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/JafriTDHPEPT14, author = {Syed M. A. H. Jafri and Muhammad Adeel Tajammul and Masoud Daneshtalab and Ahmed Hemani and Kolin Paul and Peeter Ellervee and Juha Plosila and Hannu Tenhunen}, title = {Customizable Compression Architecture for Efficient Configuration in CGRAs}, booktitle = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014}, pages = {31}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FCCM.2014.18}, doi = {10.1109/FCCM.2014.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/JafriTDHPEPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/JafriSDAHPPT14, author = {Syed M. A. H. Jafri and Guilermo Serrano and Masoud Daneshtalab and Naeem Abbas and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {TransPar: Transformation based dynamic Parallelism for low power CGRAs}, booktitle = {24th International Conference on Field Programmable Logic and Applications, {FPL} 2014, Munich, Germany, 2-4 September, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPL.2014.6927485}, doi = {10.1109/FPL.2014.6927485}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/JafriSDAHPPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcs/RezaeiSDT14, author = {Amin Rezaei and Farshad Safaei and Masoud Daneshtalab and Hannu Tenhunen}, title = {HiWA: {A} hierarchical Wireless Network-on-Chip architecture}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2014, Bologna, Italy, 21-25 July, 2014}, pages = {499--505}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCSim.2014.6903726}, doi = {10.1109/HPCSIM.2014.6903726}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcs/RezaeiSDT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcs/JafriGDDHPT14, author = {Syed M. A. H. Jafri and Tuan Nguyen Gia and Sergei Dytckov and Masoud Daneshtalab and Ahmed Hemani and Juha Plosila and Hannu Tenhunen}, title = {NeuroCGRA: {A} {CGRA} with support for neural networks}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2014, Bologna, Italy, 21-25 July, 2014}, pages = {506--511}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCSim.2014.6903727}, doi = {10.1109/HPCSIM.2014.6903727}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcs/JafriGDDHPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcs/DytckovPDPT14, author = {Sergei Dytckov and Sushri Sunita Purohit and Masoud Daneshtalab and Juha Plosila and Hannu Tenhunen}, title = {Exploring NoC jitter effect on simulation of spiking neural networks}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2014, Bologna, Italy, 21-25 July, 2014}, pages = {693--696}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCSim.2014.6903756}, doi = {10.1109/HPCSIM.2014.6903756}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcs/DytckovPDPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaghbayanRWLPJT14, author = {Mohammad Hashem Haghbayan and Amir{-}Mohammad Rahmani and Awet Yemane Weldezion and Pasi Liljeberg and Juha Plosila and Axel Jantsch and Hannu Tenhunen}, title = {Dark silicon aware power management for manycore systems under dynamic workloads}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {509--512}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974729}, doi = {10.1109/ICCD.2014.6974729}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HaghbayanRWLPJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iot360/GranadosRNLT14, author = {Jose Granados and Amir{-}Mohammad Rahmani and Pekka Nikander and Pasi Liljeberg and Hannu Tenhunen}, editor = {Raffaele Giaffreda and Radu{-}Laurentiu Vieriu and Edna P{\'{a}}sher and Gabriel Bendersky and Antonio J. Jara and Joel J. P. C. Rodrigues and Eliezer Dekel and Benny Mandler}, title = {Web-Enabled Intelligent Gateways for eHealth Internet-of-Things}, booktitle = {Internet of Things. User-Centric IoT - First International Summit, IoT360 2014, Rome, Italy, October 27-28, 2014, Revised Selected Papers, Part {I}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {150}, pages = {248--254}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-19656-5\_36}, doi = {10.1007/978-3-319-19656-5\_36}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iot360/GranadosRNLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AnwarJDDEHPBT14, author = {Hassan Anwar and Syed M. A. H. Jafri and Sergei Dytckov and Masoud Daneshtalab and Masoumeh Ebrahimi and Ahmed Hemani and Juha Plosila and Giovanni Beltrame and Hannu Tenhunen}, editor = {Masoud Daneshtalab and Masoumeh Ebrahimi and Maurizio Palesi and Federico Angiolini and Juha Plosila}, title = {Exploring Spiking Neural Network on Coarse-Grain Reconfigurable Architectures}, booktitle = {Proceedings of the 2nd International Workshop on Many-core Embedded Systems, MES'2014, in conjunction with the 41st International Symposium on Computer Architecture, ISCA'2014, Minneapolis, MN, USA, June 15, 2014}, pages = {64--67}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2613908.2613916}, doi = {10.1145/2613908.2613916}, timestamp = {Tue, 23 May 2017 01:12:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/AnwarJDDEHPBT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihealth/AnuragMRWYLT14, author = {Anurag Anurag and Sanaz Rahimi Moosavi and Amir{-}Mohammad Rahmani and Tomi Westerlund and Geng Yang and Pasi Liljeberg and Hannu Tenhunen}, editor = {Konstantina S. Nikita and Nikolaos G. Bourbakis and Benny Lo and Dimitrios I. Fotiadis and Yang Hao and Asimina Kiourti}, title = {Pervasive health monitoring based on Internet of Things: Two case studies}, booktitle = {4th International Conference on Wireless Mobile Communication and Healthcare: "Transforming healthcare through innovations in mobile and wireless technologies", {MOBIHEALTH} 2014, Athens, Greece, November 3-5, 2014}, pages = {275--278}, publisher = {{ICST/IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MOBIHEALTH.2014.7015964}, doi = {10.1109/MOBIHEALTH.2014.7015964}, timestamp = {Tue, 25 Oct 2022 17:49:41 +0200}, biburl = {https://dblp.org/rec/conf/mobihealth/AnuragMRWYLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihealth/GranadosRNLT14, author = {Jose Granados and Amir{-}Mohammad Rahmani and Pekka Nikander and Pasi Liljeberg and Hannu Tenhunen}, editor = {Konstantina S. Nikita and Nikolaos G. Bourbakis and Benny Lo and Dimitrios I. Fotiadis and Yang Hao and Asimina Kiourti}, title = {Towards energy-efficient HealthCare: An Internet-of-Things architecture using intelligent gateways}, booktitle = {4th International Conference on Wireless Mobile Communication and Healthcare: "Transforming healthcare through innovations in mobile and wireless technologies", {MOBIHEALTH} 2014, Athens, Greece, November 3-5, 2014}, pages = {279--282}, publisher = {{ICST/IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MOBIHEALTH.2014.7015965}, doi = {10.1109/MOBIHEALTH.2014.7015965}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobihealth/GranadosRNLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/GiaTRWLT14, author = {Tuan Nguyen Gia and Nanda Kumar Thanigaivelan and Amir{-}Mohammad Rahmani and Tomi Westerlund and Pasi Liljeberg and Hannu Tenhunen}, title = {Customizing 6LoWPAN networks towards Internet-of-Things based ubiquitous healthcare systems}, booktitle = {2014 NORCHIP, Tampere, Finland, October 27-28, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NORCHIP.2014.7004716}, doi = {10.1109/NORCHIP.2014.7004716}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norchip/GiaTRWLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/PhongDDPT14, author = {Nguyen Duc Bui Phong and Masoud Daneshtalab and Sergei Dytckov and Juha Plosila and Hannu Tenhunen}, title = {Silicon synapse designs for {VLSI} neuromorphic platform}, booktitle = {2014 NORCHIP, Tampere, Finland, October 27-28, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NORCHIP.2014.7004745}, doi = {10.1109/NORCHIP.2014.7004745}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norchip/PhongDDPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/ShenJCTZ14, author = {Jue Shen and Fredrik Jonsson and Jian Chen and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Phase noise improvement and noise modeling of type-I {ADPLL} with non-linear quantization effects}, booktitle = {2014 NORCHIP, Tampere, Finland, October 27-28, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NORCHIP.2014.7004732}, doi = {10.1109/NORCHIP.2014.7004732}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norchip/ShenJCTZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/AnwarDERPT14, author = {Hassan Anwar and Masoud Daneshtalab and Masoumeh Ebrahimi and Marco Ram{\'{\i}}rez and Juha Plosila and Hannu Tenhunen}, title = {Integration of {AES} on Heterogeneous Many-Core System}, booktitle = {22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2014, Torino, Italy, February 12-14, 2014}, pages = {424--427}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDP.2014.86}, doi = {10.1109/PDP.2014.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/AnwarDERPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/TcarenkoFLPT14, author = {Igor Tcarenko and Mohammad Fattah and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Multi Rectangle Modeling Approach for Application Mapping on a Many-Core System}, booktitle = {22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2014, Torino, Italy, February 12-14, 2014}, pages = {452--457}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDP.2014.99}, doi = {10.1109/PDP.2014.99}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/TcarenkoFLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/FattahRXKLPT14, author = {Mohammad Fattah and Amir{-}Mohammad Rahmani and Thomas Canhao Xu and Anil Kanduri and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Mixed-Criticality Run-Time Task Mapping for NoC-Based Many-Core Systems}, booktitle = {22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2014, Torino, Italy, February 12-14, 2014}, pages = {458--465}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDP.2014.100}, doi = {10.1109/PDP.2014.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/FattahRXKLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/JafriSIDHPPT14, author = {Syed M. A. H. Jafri and Guilermo Serrano and Junaid Iqbal and Masoud Daneshtalab and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {RuRot: Run-time rotatable-expandable partitions for efficient mapping in CGRAs}, booktitle = {XIVth International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2014, Agios Konstantinos, Samos, Greece, July 14-17, 2014}, pages = {233--241}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SAMOS.2014.6893216}, doi = {10.1109/SAMOS.2014.6893216}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/JafriSIDHPPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/0002RNSRT13, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Ethiopia Nigussie and Tiberiu Seceleanu and Martin Radetzki and Hannu Tenhunen}, title = {Partial Virtual Channel Sharing: {A} Generic Methodology to Enhance Resource Management and Fault Tolerance in Networks-on-Chip}, journal = {J. Electron. Test.}, volume = {29}, number = {3}, pages = {431--452}, year = {2013}, url = {https://doi.org/10.1007/s10836-013-5389-5}, doi = {10.1007/S10836-013-5389-5}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/0002RNSRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AminFCZT13, author = {Yasar Amin and Yi Feng and Qiang Chen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {{RFID} antenna humidity sensor co-design for {USN} applications}, journal = {{IEICE} Electron. Express}, volume = {10}, number = {4}, pages = {20130003}, year = {2013}, url = {https://doi.org/10.1587/elex.10.20130003}, doi = {10.1587/ELEX.10.20130003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AminFCZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaras/0002RST13, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Tiberiu Seceleanu and Hannu Tenhunen}, title = {Cluster Based Networks-on-Chip: An Efficient and Fault-Tolerant Architecture using Network Interface Assisted Routing}, journal = {Int. J. Adapt. Resilient Auton. Syst.}, volume = {4}, number = {3}, pages = {25--41}, year = {2013}, url = {https://doi.org/10.4018/jaras.2013070102}, doi = {10.4018/JARAS.2013070102}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaras/0002RST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/RahmaniLPT13, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Developing a power-efficient and low-cost 3D NoC using smart GALS-based vertical channels}, journal = {J. Comput. Syst. Sci.}, volume = {79}, number = {4}, pages = {440--456}, year = {2013}, url = {https://doi.org/10.1016/j.jcss.2012.09.004}, doi = {10.1016/J.JCSS.2012.09.004}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcss/RahmaniLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/EbrahimiDLPT13, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Cluster-based topologies for 3D Networks-on-Chip using advanced inter-layer bus architecture}, journal = {J. Comput. Syst. Sci.}, volume = {79}, number = {4}, pages = {475--491}, year = {2013}, url = {https://doi.org/10.1016/j.jcss.2012.09.005}, doi = {10.1016/J.JCSS.2012.09.005}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcss/EbrahimiDLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/DaneshtalabELPT13, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {A systematic reordering mechanism for on-chip networks using efficient congestion-aware method}, journal = {J. Syst. Archit.}, volume = {59}, number = {4-5}, pages = {213--222}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2012.01.002}, doi = {10.1016/J.SYSARC.2012.01.002}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/DaneshtalabELPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/XuSLRPT13, author = {Thomas Canhao Xu and Gert Schley and Pasi Liljeberg and Martin Radetzki and Juha Plosila and Hannu Tenhunen}, title = {Optimal placement of vertical connections in 3D Network-on-Chip}, journal = {J. Syst. Archit.}, volume = {59}, number = {7}, pages = {441--454}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.05.002}, doi = {10.1016/J.SYSARC.2013.05.002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/XuSLRPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/EbrahimiTD13, author = {Masoumeh Ebrahimi and Hannu Tenhunen and Masoud Dehyadegari}, title = {Fuzzy-based Adaptive Routing Algorithm for Networks-on-Chip}, journal = {J. Syst. Archit.}, volume = {59}, number = {7}, pages = {516--527}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.03.006}, doi = {10.1016/J.SYSARC.2013.03.006}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/EbrahimiTD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/NiaziST13, author = {Moazzam Fareed Niazi and Tiberiu Seceleanu and Hannu Tenhunen}, title = {A development and verification framework for the SegBus platform}, journal = {J. Syst. Archit.}, volume = {59}, number = {10-C}, pages = {1015--1031}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.07.005}, doi = {10.1016/J.SYSARC.2013.07.005}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/NiaziST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/RahmaniLPT13, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Design and implementation of reconfigurable FIFOs for Voltage/Frequency Island-based Networks-on-Chip}, journal = {Microprocess. Microsystems}, volume = {37}, number = {4-5}, pages = {432--445}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2012.07.003}, doi = {10.1016/J.MICPRO.2012.07.003}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/RahmaniLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/JafriGHPPT13, author = {Syed M. A. H. Jafri and Liang Guang and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {Energy-aware fault-tolerant network-on-chips for addressing multiple traffic classes}, journal = {Microprocess. Microsystems}, volume = {37}, number = {8-A}, pages = {811--822}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2013.04.005}, doi = {10.1016/J.MICPRO.2013.04.005}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/JafriGHPPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scpe/NikanderKLT13, author = {Pekka Nikander and Kameswar Rao Vaddina and Petri Liuha and Hannu Tenhunen}, title = {ELL-i: An inexpensive platform for fixed things}, journal = {Scalable Comput. Pract. Exp.}, volume = {14}, number = {3}, year = {2013}, url = {https://doi.org/10.12694/scpe.v14i3.857}, doi = {10.12694/SCPE.V14I3.857}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scpe/NikanderKLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/YangCXMTZ13, author = {Geng Yang and Jian Chen and Li Xie and Jia Mao and Hannu Tenhunen and Li{-}Rong Zheng}, title = {A Hybrid Low Power Biopatch for Body Surface Potential Measurement}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {17}, number = {3}, pages = {591--599}, year = {2013}, url = {https://doi.org/10.1109/JBHI.2013.2252017}, doi = {10.1109/JBHI.2013.2252017}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/YangCXMTZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/WeldezionGPJT13, author = {Awet Yemane Weldezion and Matt Grange and Dinesh Pamunuwa and Axel Jantsch and Hannu Tenhunen}, title = {A scalable multi-dimensional NoC simulation model for diverse spatio-temporal traffic patterns}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/3DIC.2013.6702365}, doi = {10.1109/3DIC.2013.6702365}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dic/WeldezionGPJT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/TajammulJHPT13, author = {Muhammad Adeel Tajammul and Syed M. A. H. Jafri and Ahmed Hemani and Juha Plosila and Hannu Tenhunen}, title = {Private configuration environments {(PCE)} for efficient reconfiguration, in CGRAs}, booktitle = {24th International Conference on Application-Specific Systems, Architectures and Processors, {ASAP} 2013, Washington, DC, USA, June 5-7, 2013}, pages = {227--236}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ASAP.2013.6567579}, doi = {10.1109/ASAP.2013.6567579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/TajammulJHPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsystech/XuLPT13, author = {Thomas Canhao Xu and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Boris Rachev and Angel Smrikarov}, title = {MMSoC: a multi-layer multi-core storage-on-chip design for systems with high integration}, booktitle = {Computer Systems and Technologies, CompSysTech '13, Ruse, Bulgaria, June 28-29, 2013}, pages = {67--74}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2516775.2516800}, doi = {10.1145/2516775.2516800}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsystech/XuLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsystech/XuPLPT13, author = {Thomas Canhao Xu and Tapio Pahikkala and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Boris Rachev and Angel Smrikarov}, title = {Optimized multicore architectures for data parallel fast Fourier transform}, booktitle = {Computer Systems and Technologies, CompSysTech '13, Ruse, Bulgaria, June 28-29, 2013}, pages = {75--82}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2516775.2516808}, doi = {10.1145/2516775.2516808}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsystech/XuPLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DaneshtalabEPT13, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Juha Plosila and Hannu Tenhunen}, editor = {Enrico Macii}, title = {{CARS:} congestion-aware request scheduler for network interfaces in NoC-based manycore systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1048--1051}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.218}, doi = {10.7873/DATE.2013.218}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DaneshtalabEPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/JafriPPHPT13, author = {Syed M. A. H. Jafri and Stanislaw J. Piestrak and Kolin Paul and Ahmed Hemani and Juha Plosila and Hannu Tenhunen}, title = {Energy-Aware Fault-Tolerant CGRAs Addressing Application with Different Reliability Needs}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {525--534}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.62}, doi = {10.1109/DSD.2013.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/JafriPPHPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AnwarDEPT13, author = {Hassan Anwar and Masoud Daneshtalab and Masoumeh Ebrahimi and Juha Plosila and Hannu Tenhunen}, title = {{FPGA} implementation of AES-based crypto processor}, booktitle = {20th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2013, Abu Dhabi, UAE, December 8-11, 2013}, pages = {369--372}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICECS.2013.6815431}, doi = {10.1109/ICECS.2013.6815431}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/AnwarDEPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/XuLPT13, author = {Thomas Canhao Xu and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Evaluate and optimize parallel Barnes-Hut algorithm for emerging many-core architectures}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2013, Helsinki, Finland, July 1-5, 2013}, pages = {421--428}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HPCSim.2013.6641449}, doi = {10.1109/HPCSIM.2013.6641449}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/XuLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/JafriBHFPPT13, author = {Syed M. A. H. Jafri and Ozan Bag and Ahmed Hemani and Nasim Farahini and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {Energy-aware coarse-grained reconfigurable architectures using dynamically reconfigurable isolation cells}, booktitle = {International Symposium on Quality Electronic Design, {ISQED} 2013, Santa Clara, CA, USA, March 4-6, 2013}, pages = {104--111}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISQED.2013.6523597}, doi = {10.1109/ISQED.2013.6523597}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/JafriBHFPPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/JafriPHPPT13, author = {Syed M. A. H. Jafri and Stanislaw J. Piestrak and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, editor = {Jari Nurmi and Peeter Ellervee and Leandro Soares Indrusiak and Olli Vainio and Sarang Thombre and Jussi Raasakka}, title = {Implementation and evaluation of configuration scrubbing on CGRAs: {A} case study}, booktitle = {2013 International Symposium on System on Chip, ISSoC 2013, Tampere, Finland, October 23-24, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSoC.2013.6675262}, doi = {10.1109/ISSOC.2013.6675262}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issoc/JafriPHPPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/WesterlundLPT13, author = {Tomi Westerlund and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {From traditional {VLSI} education to embedded electronics}, booktitle = {2013 {IEEE} International Conference on Microelectronic Systems Education, {MSE} 2013, Austin, TX, USA, June 2-3, 2013}, pages = {32--35}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MSE.2013.6566698}, doi = {10.1109/MSE.2013.6566698}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/WesterlundLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/EbrahimiDPT13, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Juha Plosila and Hannu Tenhunen}, title = {Minimal-path fault-tolerant approach using connection-retaining structure in Networks-on-Chip}, booktitle = {2013 Seventh {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Tempe, AZ, USA, April 21-24, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NoCS.2013.6558401}, doi = {10.1109/NOCS.2013.6558401}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/EbrahimiDPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pact/XuLPT13, author = {Thomas Canhao Xu and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Victor Malyshkin}, title = {{OPTNOC:} An Optimized 3D Network-on-Chip Design for Fast Memory Access}, booktitle = {Parallel Computing Technologies - 12th International Conference, PaCT 2013, St. Petersburg, Russia, September 30 - October 4, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7979}, pages = {436--441}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39958-9\_41}, doi = {10.1007/978-3-642-39958-9\_41}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pact/XuLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MoosaviRLPT13, author = {Sanaz Rahimi Moosavi and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Enhancing Performance of 3D Interconnection Networks using Efficient Multicast Communication Protocol}, booktitle = {21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2013, Belfast, United Kingdom, February 27 - March 1, 2013}, pages = {294--301}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PDP.2013.50}, doi = {10.1109/PDP.2013.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MoosaviRLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/EbrahimiCDPLT13, author = {Masoumeh Ebrahimi and Xin Chang and Masoud Daneshtalab and Juha Plosila and Pasi Liljeberg and Hannu Tenhunen}, title = {DyXYZ: Fully Adaptive Routing Algorithm for 3D NoCs}, booktitle = {21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2013, Belfast, United Kingdom, February 27 - March 1, 2013}, pages = {499--503}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PDP.2013.80}, doi = {10.1109/PDP.2013.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/EbrahimiCDPLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/peccs/GuangJYPT13, author = {Liang Guang and Syed M. A. H. Jafri and Bo Yang and Juha Plosila and Hannu Tenhunen}, editor = {C{\'{e}}sar Benavente{-}Peces and Joaquim Filipe}, title = {Hierarchical Supporting Structure for Dynamic Organization in Many-core Computing Systems}, booktitle = {{PECCS} 2013 - Proceedings of the 3rd International Conference on Pervasive Embedded Computing and Communication Systems, Barcelona, Spain, 19-21 February, 2013}, pages = {252--261}, publisher = {SciTePress}, year = {2013}, timestamp = {Fri, 16 Aug 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/peccs/GuangJYPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppam/XuLLPT13, author = {Thomas Canhao Xu and Ville Lepp{\"{a}}nen and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Roman Wyrzykowski and Jack J. Dongarra and Konrad Karczewski and Jerzy Wasniewski}, title = {{PDNOC:} An Efficient Partially Diagonal Network-on-Chip Design}, booktitle = {Parallel Processing and Applied Mathematics - 10th International Conference, {PPAM} 2013, Warsaw, Poland, September 8-11, 2013, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8384}, pages = {513--522}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-55224-3\_48}, doi = {10.1007/978-3-642-55224-3\_48}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppam/XuLLPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/JafriTHPPT13, author = {Syed M. A. H. Jafri and Muhammad Adeel Tajammul and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {Energy-aware-task-parallelism for efficient dynamic voltage, and frequency scaling, in CGRAs}, booktitle = {2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2013, Agios Konstantinos, Samos Island, Greece, July 15-18, 2013}, pages = {104--112}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SAMOS.2013.6621112}, doi = {10.1109/SAMOS.2013.6621112}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/JafriTHPPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AminKLCZT12, author = {Yasar Amin and Rajeev Kumar Kanth and Pasi Liljeberg and Qiang Chen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Green wideband {RFID} tag antenna for supply chain applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1861--1866}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1861}, doi = {10.1587/ELEX.9.1861}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AminKLCZT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/RahmaniV0LPT12, author = {Amir{-}Mohammad Rahmani and Kameswar Rao Vaddina and Khalid Latif and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Design and management of high-performance, reliable and thermal-aware 3D networks-on-chip}, journal = {{IET} Circuits Devices Syst.}, volume = {6}, number = {5}, pages = {308--321}, year = {2012}, url = {https://doi.org/10.1049/iet-cds.2011.0349}, doi = {10.1049/IET-CDS.2011.0349}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/RahmaniV0LPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/TuunaIT12, author = {Sampo Tuuna and Jouni Isoaho and Hannu Tenhunen}, title = {Skewing-based method for reduction of functional crosstalk and power supply noise caused by on-chip buses}, journal = {{IET} Comput. Digit. Tech.}, volume = {6}, number = {2}, pages = {114--124}, year = {2012}, url = {https://doi.org/10.1049/iet-cdt.2010.0060}, doi = {10.1049/IET-CDT.2010.0060}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/TuunaIT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaras/GuangNPT12, author = {Liang Guang and Ethiopia Nigussie and Juha Plosila and Hannu Tenhunen}, title = {Dual Monitoring Communication for Self-Aware Network-on-Chip: Architecture and Case Study}, journal = {Int. J. Adapt. Resilient Auton. Syst.}, volume = {3}, number = {3}, pages = {72--91}, year = {2012}, url = {https://doi.org/10.4018/jaras.2012070105}, doi = {10.4018/JARAS.2012070105}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaras/GuangNPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/GuangNPIT12, author = {Liang Guang and Ethiopia Nigussie and Juha Plosila and Jouni Isoaho and Hannu Tenhunen}, title = {Survey of Self-Adaptive NoCs with Energy-Efficiency and Dependability}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {3}, number = {2}, pages = {1--22}, year = {2012}, url = {https://doi.org/10.4018/jertcs.2012040101}, doi = {10.4018/JERTCS.2012040101}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/GuangNPIT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/PahikkalaAXLTS12, author = {Tapio Pahikkala and Antti Airola and Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen and Tapio Salakoski}, title = {Parallelized Online Regularized Least-Squares for Adaptive Embedded Systems}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {3}, number = {2}, pages = {73--91}, year = {2012}, url = {https://doi.org/10.4018/jertcs.2012040104}, doi = {10.4018/JERTCS.2012040104}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/PahikkalaAXLTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/RahmaniLPT12, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Exploring a Low-Cost and Power-Efficient Hybridization Technique for 3D NoC-Bus Hybrid Architecture Using LastZ-Based Routing Algorithms}, journal = {J. Low Power Electron.}, volume = {8}, number = {4}, pages = {403--414}, year = {2012}, url = {https://doi.org/10.1166/jolpe.2012.1202}, doi = {10.1166/JOLPE.2012.1202}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/RahmaniLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DaneshtalabELPT12, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Memory-Efficient On-Chip Network With Adaptive Interfaces}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {31}, number = {1}, pages = {146--159}, year = {2012}, url = {https://doi.org/10.1109/TCAD.2011.2160348}, doi = {10.1109/TCAD.2011.2160348}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DaneshtalabELPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/YangXMCTZ12, author = {Geng Yang and Li Xie and Matti M{\"{a}}ntysalo and Jian Chen and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Bio-Patch Design and Implementation Based on a Low-Power System-on-Chip and Paper-Based Inkjet Printing Technology}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {16}, number = {6}, pages = {1043--1050}, year = {2012}, url = {https://doi.org/10.1109/TITB.2012.2204437}, doi = {10.1109/TITB.2012.2204437}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/YangXMCTZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/TuunaNIT12, author = {Sampo Tuuna and Ethiopia Nigussie and Jouni Isoaho and Hannu Tenhunen}, title = {Modeling of Energy Dissipation in {RLC} Current-Mode Signaling}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {6}, pages = {1146--1151}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2140345}, doi = {10.1109/TVLSI.2011.2140345}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/TuunaNIT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/NigussieTPIT12, author = {Ethiopia Nigussie and Sampo Tuuna and Juha Plosila and Jouni Isoaho and Hannu Tenhunen}, title = {Semi-Serial On-Chip Link Implementation for Energy Efficiency and High Throughput}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {12}, pages = {2265--2277}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2170228}, doi = {10.1109/TVLSI.2011.2170228}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/NigussieTPIT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/RahmaniLVLPT12, author = {Amir{-}Mohammad Rahmani and Khalid Latif and Kameswar Rao Vaddina and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {{ARB-NET:} {A} novel adaptive monitoring platform for stacked mesh 3D NoC architectures}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {413--418}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6164984}, doi = {10.1109/ASPDAC.2012.6164984}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/RahmaniLVLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/NiaziST12, author = {Moazzam Fareed Niazi and Tiberiu Seceleanu and Hannu Tenhunen}, editor = {Xiaoying Bai and Fevzi Belli and Elisa Bertino and Carl K. Chang and Atilla El{\c{c}}i and Cristina Cerschi Seceleanu and Haihua Xie and Mohammad Zulkernine}, title = {Towards Reuse-Based Development for the On-chip Distributed SoC Architecture}, booktitle = {36th Annual {IEEE} Computer Software and Applications Conference Workshops, {COMPSAC} 2012, Izmir, Turkey, July 16-20, 2012}, pages = {278--283}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/COMPSACW.2012.58}, doi = {10.1109/COMPSACW.2012.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/NiaziST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/0002RLTS12, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Pasi Liljeberg and Hannu Tenhunen and Tiberiu Seceleanu}, editor = {Xiaoying Bai and Fevzi Belli and Elisa Bertino and Carl K. Chang and Atilla El{\c{c}}i and Cristina Cerschi Seceleanu and Haihua Xie and Mohammad Zulkernine}, title = {A Cluster-Based Core Protection Technique for Networks-on-Chip}, booktitle = {36th Annual {IEEE} Computer Software and Applications Conference, {COMPSAC} 2012, Izmir, Turkey, July 16-20, 2012}, pages = {360--361}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/COMPSAC.2012.55}, doi = {10.1109/COMPSAC.2012.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/0002RLTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbrahimiDLPT12, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{CATRA-} congestion aware trapezoid-based routing algorithm for on-chip networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {320--325}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176488}, doi = {10.1109/DATE.2012.6176488}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EbrahimiDLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangCJTZ12, author = {Geng Yang and Jian Chen and Fredrik Jonsson and Hannu Tenhunen and Li{-}Rong Zheng}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A multi-parameter bio-electric {ASIC} sensor with integrated 2-wire data transmission protocol for wearable healthcare system}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {443--448}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176512}, doi = {10.1109/DATE.2012.6176512}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangCJTZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/GuangNPIT12, author = {Liang Guang and Ethiopia Nigussie and Juha Plosila and Jouni Isoaho and Hannu Tenhunen}, editor = {Jaan Raik and Viera Stopjakov{\'{a}} and Heinrich Theodor Vierhaus and Witold A. Pleskacz and Raimund Ubar and Helena Kruus and Maksim Jenihhin}, title = {HLS-DoNoC: High-level simulator for dynamically organizational NoCs}, booktitle = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20, 2012}, pages = {89--94}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DDECS.2012.6219031}, doi = {10.1109/DDECS.2012.6219031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/GuangNPIT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/0002RST12, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Tiberiu Seceleanu and Hannu Tenhunen}, title = {Designing a High Performance and Reliable Networks-on-Chip Using Network Interface Assisted Routing Strategy}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {34--41}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.43}, doi = {10.1109/DSD.2012.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/0002RST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/EbrahimiDPT12, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Juha Plosila and Hannu Tenhunen}, title = {{MAFA:} Adaptive Fault-Tolerant Routing Algorithm for Networks-on-Chip}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {201--207}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.82}, doi = {10.1109/DSD.2012.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/EbrahimiDPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RahmaniVLPT12, author = {Amir{-}Mohammad Rahmani and Kameswar Rao Vaddina and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Power and Thermal Analysis of Stacked Mesh 3D NoC Using AdaptiveXYZ Routing Algorithm}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {208--215}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.104}, doi = {10.1109/DSD.2012.104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/RahmaniVLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/JafriGHPPT12, author = {Syed M. A. H. Jafri and Liang Guang and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {Energy-Aware Fault-Tolerant Network-on-Chips for Addressing Multiple Traffic Classes}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {242--249}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.49}, doi = {10.1109/DSD.2012.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/JafriGHPPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/XuPALPST12, author = {Thomas Canhao Xu and Tapio Pahikkala and Antti Airola and Pasi Liljeberg and Juha Plosila and Tapio Salakoski and Hannu Tenhunen}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {Implementation and Analysis of Block Dense Matrix Decomposition on Network-on-Chips}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {516--523}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.76}, doi = {10.1109/HPCC.2012.76}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/XuPALPST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/GuangNPT12, author = {Liang Guang and Ethiopia Nigussie and Juha Plosila and Hannu Tenhunen}, editor = {Anind K. Dey and Hao{-}Hua Chu and Gillian R. Hayes}, title = {Vertical and horizontal integration towards collective adaptive system: a visionary approach}, booktitle = {The 2012 {ACM} Conference on Ubiquitous Computing, Ubicomp '12, Pittsburgh, PA, USA, September 5-8, 2012}, pages = {762--765}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2370216.2370385}, doi = {10.1145/2370216.2370385}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/GuangNPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuwb/ZhouZTZ12, author = {Qin Zhou and Zhuo Zou and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Adaptive synchronization and integration region optimization for energy detection {IR-UWB} receivers}, booktitle = {{IEEE} International Conference on Ultra-Wideband, {ICUWB} 2012, Syracuse, NY, USA, September 17-20, 2012}, pages = {62--66}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICUWB.2012.6340502}, doi = {10.1109/ICUWB.2012.6340502}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icuwb/ZhouZTZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/RahmaniLPMKT12, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Ka Lok Man and Youngmin Kim and Hannu Tenhunen}, title = {Partial-LastZ: An optimized hybridization technique for 3D NoC architecture enabling adaptive inter-layer communication}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {281--284}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407095}, doi = {10.1109/ISOCC.2012.6407095}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/RahmaniLPMKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/GuangNPIT12, author = {Liang Guang and Ethiopia Nigussie and Juha Plosila and Jouni Isoaho and Hannu Tenhunen}, title = {Coarse and fine-grained monitoring and reconfiguration for energy-efficient NoCs}, booktitle = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere, Finland, October 10-12, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSoC.2012.6376351}, doi = {10.1109/ISSOC.2012.6376351}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/issoc/GuangNPIT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/XuLPT12, author = {Thomas Canhao Xu and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Maurizio Palesi and Terrence S. T. Mak}, title = {A high-efficiency low-cost heterogeneous 3D network-on-chip design}, booktitle = {Fifth International Workshop on Network on Chip Architectures, NoCArc '12, Vancouver, BC, Canada, December 1, 2012}, pages = {37--42}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2401716.2401725}, doi = {10.1145/2401716.2401725}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/XuLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nesea/EbrahimiDPT12, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Juha Plosila and Hannu Tenhunen}, title = {Dual Congestion Awareness scheme in On-Chip Networks}, booktitle = {3rd {IEEE} International Conference on Networked Embedded Systems for Every Application, {NESEA} 2013, Liverpool, United Kingdom, December 13-14, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NESEA.2012.6474020}, doi = {10.1109/NESEA.2012.6474020}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nesea/EbrahimiDPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/EbrahimiDFPLPT12, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Fahimeh Farahnakian and Juha Plosila and Pasi Liljeberg and Maurizio Palesi and Hannu Tenhunen}, title = {{HARAQ:} Congestion-Aware Learning Model for Highly Adaptive Routing Algorithm in On-Chip Networks}, booktitle = {2012 Sixth {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012}, pages = {19--26}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NOCS.2012.10}, doi = {10.1109/NOCS.2012.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/EbrahimiDFPLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/RahmaniV0LPT12, author = {Amir{-}Mohammad Rahmani and Kameswar Rao Vaddina and Khalid Latif and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Generic Monitoring and Management Infrastructure for 3D NoC-Bus Hybrid Architectures}, booktitle = {2012 Sixth {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012}, pages = {177--184}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NOCS.2012.28}, doi = {10.1109/NOCS.2012.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/RahmaniV0LPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/RahmaniLPT12, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Rainer Stotzka and Michael Schiffers and Yannis Cotronis}, title = {An Efficient Hybridization Scheme for Stacked Mesh 3D NoC Architecture}, booktitle = {Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2012, Munich, Germany, February 15-17, 2012}, pages = {507--514}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PDP.2012.70}, doi = {10.1109/PDP.2012.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/RahmaniLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/EbrahimiDLPT12, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Rainer Stotzka and Michael Schiffers and Yannis Cotronis}, title = {{LEAR} - {A} Low-Weight and Highly Adaptive Routing Method for Distributing Congestions in On-chip Networks}, booktitle = {Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2012, Munich, Germany, February 15-17, 2012}, pages = {520--524}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PDP.2012.52}, doi = {10.1109/PDP.2012.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/EbrahimiDLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/peccs/JafriGJPHT12, author = {Syed M. A. H. Jafri and Liang Guang and Axel Jantsch and Kolin Paul and Ahmed Hemani and Hannu Tenhunen}, editor = {C{\'{e}}sar Benavente{-}Peces and Falah H. Ali and Joaquim Filipe}, title = {Self-adaptive Noc Power Management with Dual-level Agents - Architecture and Implementation}, booktitle = {{PECCS} 2012 - Proceedings of the 2nd International Conference on Pervasive Embedded Computing and Communication Systems, Rome, Italy, 24-26 February, 2012}, pages = {450--458}, publisher = {SciTePress}, year = {2012}, timestamp = {Sun, 06 May 2012 14:26:10 +0200}, biburl = {https://dblp.org/rec/conf/peccs/JafriGJPHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/XuLPT12, author = {Thomas Canhao Xu and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Henk Corporaal and Sander Stuijk}, title = {Exploration of heuristic scheduling algorithms for 3D multicore processors}, booktitle = {Workshop on Software and Compilers for Embedded Systems, Map2MPSoC/SCOPES 2012, Sankt Goar, Germany, May 15-16, 2012}, pages = {22--31}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2236576.2236579}, doi = {10.1145/2236576.2236579}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/XuLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/NigussieTPLIT11, author = {Ethiopia Nigussie and Sampo Tuuna and Juha Plosila and Pasi Liljeberg and Jouni Isoaho and Hannu Tenhunen}, title = {Boosting performance of self-timed delay-insensitive bit parallel on-chip interconnects}, journal = {{IET} Circuits Devices Syst.}, volume = {5}, number = {6}, pages = {505--517}, year = {2011}, url = {https://doi.org/10.1049/iet-cds.2010.0300}, doi = {10.1049/IET-CDS.2010.0300}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/NigussieTPLIT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/DaneshtalabEXLT11, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, title = {A generic adaptive path-based routing method for MPSoCs}, journal = {J. Syst. Archit.}, volume = {57}, number = {1}, pages = {109--120}, year = {2011}, url = {https://doi.org/10.1016/j.sysarc.2010.08.002}, doi = {10.1016/J.SYSARC.2010.08.002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/DaneshtalabEXLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/XuYLT11, author = {Thomas Canhao Xu and Alexander Wei Yin and Pasi Liljeberg and Hannu Tenhunen}, title = {A study of 3D Network-on-Chip design for data parallel {H.264} coding}, journal = {Microprocess. Microsystems}, volume = {35}, number = {7}, pages = {603--612}, year = {2011}, url = {https://doi.org/10.1016/j.micpro.2011.06.009}, doi = {10.1016/J.MICPRO.2011.06.009}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/XuYLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LatifSST11, author = {Khalid Latif and Tiberiu Seceleanu and Cristina Cerschi Seceleanu and Hannu Tenhunen}, title = {Service based communication for MPSoC platform-SegBus}, journal = {Microprocess. Microsystems}, volume = {35}, number = {7}, pages = {643--655}, year = {2011}, url = {https://doi.org/10.1016/j.micpro.2011.06.006}, doi = {10.1016/J.MICPRO.2011.06.006}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LatifSST11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ZouMWZMJTZ11, author = {Zhuo Zou and David S. Mendoza and Peng Wang and Qin Zhou and Jia Mao and Fredrik Jonsson and Hannu Tenhunen and Li{-}Rong Zheng}, title = {A Low-Power and Flexible Energy Detection {IR-UWB} Receiver for {RFID} and Wireless Sensor Networks}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {7}, pages = {1470--1482}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2011.2142930}, doi = {10.1109/TCSI.2011.2142930}, timestamp = {Thu, 19 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ZouMWZMJTZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/DaneshtalabELPT11, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {Memory-efficient logic layer communication platform for 3D-stacked memory-on-processor architectures}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6263024}, doi = {10.1109/3DIC.2012.6263024}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/DaneshtalabELPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/YinXYLT11, author = {Alexander Wei Yin and Thomas Canhao Xu and Bo Yang and Pasi Liljeberg and Hannu Tenhunen}, title = {Change Function of 2D/3D Network-on-Chip}, booktitle = {11th {IEEE} International Conference on Computer and Information Technology, {CIT} 2011, Pafos, Cyprus, 31 August-2 September 2011}, pages = {181--188}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CIT.2011.38}, doi = {10.1109/CIT.2011.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/YinXYLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/DaneshtalabELPT11, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Calin Cascaval and Pedro Trancoso and Viktor K. Prasanna}, title = {Cluster-based topologies for 3D stacked architectures}, booktitle = {Proceedings of the 8th Conference on Computing Frontiers, 2011, Ischia, Italy, May 3-5, 2011}, pages = {14}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2016604.2016621}, doi = {10.1145/2016604.2016621}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/DaneshtalabELPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/XuLT11, author = {Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, editor = {Robert P. Dick and Jan Madsen}, title = {Optimal memory controller placement for chip multiprocessor}, booktitle = {Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011}, pages = {217--226}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2039370.2039405}, doi = {10.1145/2039370.2039405}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/XuLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LatifRVSLT11, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Kameswar Rao Vaddina and Tiberiu Seceleanu and Pasi Liljeberg and Hannu Tenhunen}, title = {Enhancing Performance of NoC-Based Architectures Using Heuristic Virtual-Channel Sharing Approach}, booktitle = {Proceedings of the 35th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2011, Munich, Germany, 18-22 July 2011}, pages = {442--447}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/COMPSAC.2011.65}, doi = {10.1109/COMPSAC.2011.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LatifRVSLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/KanthLTWASCZK11, author = {Rajeev Kumar Kanth and Pasi Liljeberg and Hannu Tenhunen and Qiansu Wan and Yasar Amin and Botao Shao and Qiang Chen and Li{-}Rong Zheng and Harish Kumar}, title = {Evaluating Sustainability, Environmental Assessment and Toxic Emissions during Manufacturing Process of {RFID} Based Systems}, booktitle = {{IEEE} Ninth International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2011, 12-14 December 2011, Sydney, Australia}, pages = {1066--1071}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DASC.2011.175}, doi = {10.1109/DASC.2011.175}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/KanthLTWASCZK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/XuLT11, author = {Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, editor = {Rolf Kraemer and Adam Pawlak and Andreas Steininger and Mario Sch{\"{o}}lzel and Jaan Raik and Heinrich Theodor Vierhaus}, title = {Optimal number and placement of Through Silicon Vias in 3D Network-on-Chip}, booktitle = {14th {IEEE} International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2011, Cottbus, Germany, April 13-15, 2011}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DDECS.2011.5783057}, doi = {10.1109/DDECS.2011.5783057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/XuLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/LatifRNTS11, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Ethiopia Nigussie and Hannu Tenhunen and Tiberiu Seceleanu}, title = {A Novel Topology-Independent Router Architecture to Enhance Reliability and Performance of Networks-on-Chip}, booktitle = {2011 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2011, Vancouver, BC, Canada, October 3-5, 2011}, pages = {454--462}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DFT.2011.16}, doi = {10.1109/DFT.2011.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/LatifRNTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RahmaniLPT11, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {LastZ: An Ultra Optimized 3D Networks-on-Chip Architecture}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {173--180}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.26}, doi = {10.1109/DSD.2011.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/RahmaniLPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LatifRVSLT11, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Kameswar Rao Vaddina and Tiberiu Seceleanu and Pasi Liljeberg and Hannu Tenhunen}, title = {Enhancing Performance Sustainability of Fault Tolerant Routing Algorithms in NoC-Based Architectures}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {626--633}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.85}, doi = {10.1109/DSD.2011.85}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LatifRVSLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/XuLT11, author = {Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, editor = {Michael Alexander and Pasqua D'Ambra and Adam Belloum and George Bosilca and Mario Cannataro and Marco Danelutto and Beniamino Di Martino and Michael Gerndt and Emmanuel Jeannot and Raymond Namyst and Jean Roman and Stephen L. Scott and Jesper Larsson Tr{\"{a}}ff and Geoffroy Vall{\'{e}}e and Josef Weidendorfer}, title = {Study of Hierarchical N-Body Methods for Network-on-Chip Architectures}, booktitle = {Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29 - September 2, 2011, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7156}, pages = {365--374}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-29740-3\_41}, doi = {10.1007/978-3-642-29740-3\_41}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/XuLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JafriHPPT11, author = {Syed M. A. H. Jafri and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, editor = {Russell Tessier}, title = {Compact generic intermediate representation {(CGIR)} to enable late binding in coarse grained reconfigurable architectures}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132719}, doi = {10.1109/FPT.2011.6132719}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JafriHPPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/XuLT11, author = {Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, editor = {Jos{\'{e}} Flich and Davide Bertozzi and Tor Skeie and Daniele Ludovici}, title = {Process scheduling for future multicore processors}, booktitle = {Proceedings of the Fifth International Workshop on Interconnection Network Architecture - On-Chip, Multi-Chip, {INA-OCMC} '11, Heraklion, Greece, January 23, 2011}, pages = {15--18}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1930037.1930042}, doi = {10.1145/1930037.1930042}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/XuLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/XuLT11, author = {Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, editor = {Yang Xiang and Alfredo Cuzzocrea and Michael Hobbs and Wanlei Zhou}, title = {A Minimal Average Accessing Time Scheduler for Multicore Processors}, booktitle = {Algorithms and Architectures for Parallel Processing - 11th International Conference, ICA3PP, Melbourne, Australia, October 24-26, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7017}, pages = {287--299}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24669-2\_28}, doi = {10.1007/978-3-642-24669-2\_28}, timestamp = {Thu, 21 Apr 2022 14:42:07 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/XuLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/JafriHPPT11, author = {Syed M. A. H. Jafri and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, title = {Compression Based Efficient and Agile Configuration Mechanism for Coarse Grained Reconfigurable Architectures}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings}, pages = {290--293}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.166}, doi = {10.1109/IPDPS.2011.166}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/JafriHPPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RahmaniLVLPT11, author = {Amir{-}Mohammad Rahmani and Khalid Latif and Kameswar Rao Vaddina and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Power-Efficient Inter-Layer Communication Architectures for 3D NoC}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2011, 4-6 July 2011, Chennai, India}, pages = {355--356}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISVLSI.2011.12}, doi = {10.1109/ISVLSI.2011.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/RahmaniLVLPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/RahmaniLLPVT11, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Khalid Latif and Juha Plosila and Kameswar Rao Vaddina and Hannu Tenhunen}, editor = {Radu Marculescu and Michael Kishinevsky and Ran Ginosar and Karam S. Chatha}, title = {Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures}, booktitle = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011}, pages = {65--72}, publisher = {{ACM/IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1145/1999946.1999957}, doi = {10.1145/1999946.1999957}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/RahmaniLLPVT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/EbrahimiDLPT11, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Radu Marculescu and Michael Kishinevsky and Ran Ginosar and Karam S. Chatha}, title = {Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model}, booktitle = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011}, pages = {73--80}, publisher = {{ACM/IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1145/1999946.1999958}, doi = {10.1145/1999946.1999958}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/EbrahimiDLPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/0002RST11, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Tiberiu Seceleanu and Hannu Tenhunen}, title = {A low-cost processing element recovery mechanism for fault tolerant Networks-on-Chip}, booktitle = {2011 NORCHIP, Lund, Sweden, November 14-15, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/NORCHP.2011.6126734}, doi = {10.1109/NORCHP.2011.6126734}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/norchip/0002RST11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/XuLT11, author = {Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, title = {Explorations of optimal core and cache placements for Chip Multiprocessor}, booktitle = {2011 NORCHIP, Lund, Sweden, November 14-15, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/NORCHP.2011.6126728}, doi = {10.1109/NORCHP.2011.6126728}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norchip/XuLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/RahmaniVLPT11, author = {Amir{-}Mohammad Rahmani and Kameswar Rao Vaddina and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Jos{\'{e}} L. Ayala and Braulio Garc{\'{\i}}a{-}C{\'{a}}mara and Manuel Prieto and Martino Ruggiero and Gilles Sicard}, title = {Power and Area Optimization of 3D Networks-on-Chip Using Smart and Efficient Vertical Channels}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, {PATMOS} 2011, Madrid, Spain, September 26-29, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6951}, pages = {278--287}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24154-3\_28}, doi = {10.1007/978-3-642-24154-3\_28}, timestamp = {Sun, 02 Oct 2022 16:13:24 +0200}, biburl = {https://dblp.org/rec/conf/patmos/RahmaniVLPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/RahmaniLLPT11, author = {Amir{-}Mohammad Rahmani and Khalid Latif and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Yiannis Cotronis and Marco Danelutto and George Angelos Papadopoulos}, title = {A Stacked Mesh 3D NoC Architecture Enabling Congestion-Aware and Reliable Inter-layer Communication}, booktitle = {Proceedings of the 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus, 9-11 February 2011}, pages = {423--430}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PDP.2011.39}, doi = {10.1109/PDP.2011.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/RahmaniLLPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/LatifRGST11, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Liang Guang and Tiberiu Seceleanu and Hannu Tenhunen}, editor = {Yiannis Cotronis and Marco Danelutto and George Angelos Papadopoulos}, title = {PVS-NoC: Partial Virtual Channel Sharing NoC Architecture}, booktitle = {Proceedings of the 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus, 9-11 February 2011}, pages = {470--477}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PDP.2011.87}, doi = {10.1109/PDP.2011.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/LatifRGST11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/peccs/GuangYPIT11, author = {Liang Guang and Bo Yang and Juha Plosila and Jouni Isoaho and Hannu Tenhunen}, editor = {C{\'{e}}sar Benavente{-}Peces and Joaquim Filipe}, title = {Hierarchical Agent Monitoring Design Platform - Towards Self-aware and Adaptive Embedded Systems}, booktitle = {{PECCS} 2011 - Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems, Vilamoura, Algarve, Portugal, 5-7 March, 2011}, pages = {573--581}, publisher = {SciTePress}, year = {2011}, timestamp = {Fri, 16 Aug 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/peccs/GuangYPIT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/peccs/PahikkalaAXLTS11, author = {Tapio Pahikkala and Antti Airola and Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen and Tapio Salakoski}, editor = {C{\'{e}}sar Benavente{-}Peces and Joaquim Filipe}, title = {A Parallel Online Regularized Least-squares Machine Learning Algorithm for Future Multi-core Processors}, booktitle = {{PECCS} 2011 - Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems, Vilamoura, Algarve, Portugal, 5-7 March, 2011}, pages = {590--599}, publisher = {SciTePress}, year = {2011}, timestamp = {Thu, 29 Sep 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/peccs/PahikkalaAXLTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/peccs/KanthLTWAZK11, author = {Rajeev Kumar Kanth and Pasi Liljeberg and Hannu Tenhunen and Qiansu Wan and Waqar Ahmad and Li{-}Rong Zheng and Harish Kumar}, editor = {C{\'{e}}sar Benavente{-}Peces and Joaquim Filipe}, title = {Insight into the Requirements of Self-aware, Adaptive and Reliable Embedded Sub-systems of Satellite Spacecraft}, booktitle = {{PECCS} 2011 - Proceedings of the 1st International Conference on Pervasive and Embedded Computing and Communication Systems, Vilamoura, Algarve, Portugal, 5-7 March, 2011}, pages = {603--608}, publisher = {SciTePress}, year = {2011}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/peccs/KanthLTWAZK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/DaneshtalabELPT11, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {High-performance on-chip network platform for memory-on-processor architectures}, booktitle = {Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2011, Montpellier, France, 20-22 June, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ReCoSoC.2011.5981509}, doi = {10.1109/RECOSOC.2011.5981509}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/recosoc/DaneshtalabELPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/EbrahimiDLPT11, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Efficient congestion-aware selection method for on-chip networks}, booktitle = {Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2011, Montpellier, France, 20-22 June, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ReCoSoC.2011.5981543}, doi = {10.1109/RECOSOC.2011.5981543}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/recosoc/EbrahimiDLPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/EbrahimiDLPT11, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Agent-based on-chip network using efficient selection method}, booktitle = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011}, pages = {284--289}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VLSISoC.2011.6081593}, doi = {10.1109/VLSISOC.2011.6081593}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/EbrahimiDLPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/GuangPIT10, author = {Liang Guang and Juha Plosila and Jouni Isoaho and Hannu Tenhunen}, title = {Hierarchical Agent Monitored Parallel On-Chip System: {A} Novel Design Paradigm and its Formal Specification}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {1}, number = {2}, pages = {86--105}, year = {2010}, url = {https://doi.org/10.4018/jertcs.2010040105}, doi = {10.4018/JERTCS.2010040105}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/GuangPIT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/GuangNIRT10, author = {Liang Guang and Ethiopia Nigussie and Jouni Isoaho and Pekka Rantala and Hannu Tenhunen}, title = {Interconnection alternatives for hierarchical monitoring communication in parallel SoCs}, journal = {Microprocess. Microsystems}, volume = {34}, number = {5}, pages = {118--128}, year = {2010}, url = {https://doi.org/10.1016/j.micpro.2009.12.002}, doi = {10.1016/J.MICPRO.2009.12.002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/GuangNIRT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/GuangNRIT10, author = {Liang Guang and Ethiopia Nigussie and Pekka Rantala and Jouni Isoaho and Hannu Tenhunen}, title = {Hierarchical agent monitoring design approach towards self-aware parallel systems-on-chip}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {9}, number = {3}, pages = {25:1--25:24}, year = {2010}, url = {https://doi.org/10.1145/1698772.1698783}, doi = {10.1145/1698772.1698783}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/GuangNRIT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/DaneshtalabELPT10, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {{CMIT} - {A} novel cluster-based topology for 3D stacked architectures}, booktitle = {{IEEE} International Conference on 3D System Integration, 3DIC 2010, Munich, Germany, 16-18 November 2010}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/3DIC.2010.5751452}, doi = {10.1109/3DIC.2010.5751452}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/DaneshtalabELPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/XuYLT10, author = {Thomas Canhao Xu and Alexander Wei Yin and Pasi Liljeberg and Hannu Tenhunen}, editor = {Michael Beigl and Francisco Javier Cazorla{-}Almeida}, title = {Operating System Processor Scheduler Design for Future Chip Multiprocessor}, booktitle = {{ARCS} '10 - 23th International Conference on Architecture of Computing Systens 2010, Workshop Proceedings, February 22-23, 2010, Hannover, Germany}, pages = {69--76}, publisher = {{VDE} Verlag}, year = {2010}, url = {https://ieeexplore.ieee.org/document/5758988/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arcs/XuYLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/RahmaniLPT10, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {267--276}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787335}, doi = {10.1145/1787275.1787335}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/RahmaniLPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeerasekeraGPT10, author = {Roshan Weerasekera and Matt Grange and Dinesh Pamunuwa and Hannu Tenhunen}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {On signalling over Through-Silicon Via {(TSV)} interconnects in 3-D Integrated Circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1325--1328}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457013}, doi = {10.1109/DATE.2010.5457013}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeerasekeraGPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/RahmaniLPT10, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Elena Gramatov{\'{a}} and Zdenek Kot{\'{a}}sek and Andreas Steininger and Heinrich Theodor Vierhaus and Horst Zimmermann}, title = {Developing reconfigurable FIFOs to optimize power/performance of Voltage/Frequency Island-based networks-on-chip}, booktitle = {13th {IEEE} International Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2010, Vienna, Austria, April 14-16, 2010}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DDECS.2010.5491809}, doi = {10.1109/DDECS.2010.5491809}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/RahmaniLPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/EbrahimiDLT10, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Hannu Tenhunen}, editor = {Elena Gramatov{\'{a}} and Zdenek Kot{\'{a}}sek and Andreas Steininger and Heinrich Theodor Vierhaus and Horst Zimmermann}, title = {Partitioning methods for unicast/multicast traffic in 3D NoC architecture}, booktitle = {13th {IEEE} International Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2010, Vienna, Austria, April 14-16, 2010}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DDECS.2010.5491800}, doi = {10.1109/DDECS.2010.5491800}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/EbrahimiDLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecbs/LatifST10, author = {Khalid Latif and Tiberiu Seceleanu and Hannu Tenhunen}, editor = {Roy Sterritt and Brandon Eames and Jonathan Sprinkle}, title = {Power and Area Efficient Design of Network-on-Chip Router through Utilization of Idle Buffers}, booktitle = {17th {IEEE} International Conference and Workshops on the Engineering of Computer-Based Systems, {ECBS} 2010, Oxford, England, UK, 22-26 March 2010}, pages = {131--138}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ECBS.2010.21}, doi = {10.1109/ECBS.2010.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecbs/LatifST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecbs/NiaziTS10, author = {Moazzam Fareed Niazi and Hannu Tenhunen and Tiberiu Seceleanu}, editor = {Roy Sterritt and Brandon Eames and Jonathan Sprinkle}, title = {An Emulation Solution for the SegBus Platform}, booktitle = {17th {IEEE} International Conference and Workshops on the Engineering of Computer-Based Systems, {ECBS} 2010, Oxford, England, UK, 22-26 March 2010}, pages = {268--275}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ECBS.2010.37}, doi = {10.1109/ECBS.2010.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecbs/NiaziTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/XuLT10, author = {Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, editor = {Michael Alexander and Pasqua D'Ambra and Adam Belloum and George Bosilca and Mario Cannataro and Marco Danelutto and Beniamino Di Martino and Michael Gerndt and Emmanuel Jeannot and Raymond Namyst and Jean Roman and Stephen L. Scott and Jesper Larsson Tr{\"{a}}ff and Geoffroy Vall{\'{e}}e and Josef Weidendorfer}, title = {A Greedy Heuristic Approximation Scheduling Algorithm for 3D Multicore Processors}, booktitle = {Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29 - September 2, 2011, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7155}, pages = {281--291}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-29737-3\_32}, doi = {10.1007/978-3-642-29737-3\_32}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/XuLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/LatifSST10, author = {Khalid Latif and Tiberiu Seceleanu and Cristina Cerschi Seceleanu and Hannu Tenhunen}, title = {Resource-aware task allocation and scheduling for segbus platform}, booktitle = {17th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2010, Athens, Greece, 12-15 December, 2010}, pages = {523--526}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICECS.2010.5724564}, doi = {10.1109/ICECS.2010.5724564}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/LatifSST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/LatifRST10, author = {Khalid Latif and Amir{-}Mohammad Rahmani and Tiberiu Seceleanu and Hannu Tenhunen}, title = {Power- and performance-aware {IP} mapping for NoC-based MPSoC platforms}, booktitle = {17th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2010, Athens, Greece, 12-15 December, 2010}, pages = {758--761}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICECS.2010.5724623}, doi = {10.1109/ICECS.2010.5724623}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/LatifRST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/NiaziST10, author = {Moazzam Fareed Niazi and Tiberiu Seceleanu and Hannu Tenhunen}, editor = {Wang{-}Chien Lee and Xin Yuan}, title = {A Performance Estimation Technique for the SegBus Distributed Architecture}, booktitle = {39th International Conference on Parallel Processing, {ICPP} Workshops 2010, San Diego, California, USA, 13-16 September 2010}, pages = {89--98}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPPW.2010.24}, doi = {10.1109/ICPPW.2010.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/NiaziST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahmaniDLT10, author = {Amir{-}Mohammad Rahmani and Masoud Daneshtalab and Pasi Liljeberg and Hannu Tenhunen}, title = {Power-aware NoC router using central forecasting-based dynamic virtual channel allocation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3224--3227}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537935}, doi = {10.1109/ISCAS.2010.5537935}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RahmaniDLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/DaneshtalabELPT10, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Input-Output Selection Based Router for Networks-on-Chip}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {92--97}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.76}, doi = {10.1109/ISVLSI.2010.76}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/DaneshtalabELPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RahmaniLPT10, author = {Amir{-}Mohammad Rahmani and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {BBVC-3D-NoC: An Efficient 3D NoC Architecture Using Bidirectional Bisynchronous Vertical Channels}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {452--453}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.21}, doi = {10.1109/ISVLSI.2010.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/RahmaniLPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/DaneshtalabELPT10a, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {High-Performance {TSV} Architecture for 3-D ICs}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {467--468}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.24}, doi = {10.1109/ISVLSI.2010.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/DaneshtalabELPT10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/EbrahimiDLT10, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Hannu Tenhunen}, title = {Performance Analysis of 3D NoCs Partitioning Methods}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}, pages = {479--480}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISVLSI.2010.26}, doi = {10.1109/ISVLSI.2010.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/EbrahimiDLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/DaneshtalabELPT10, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {A Low-Latency and Memory-Efficient On-chip Network}, booktitle = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010}, pages = {99--106}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NOCS.2010.19}, doi = {10.1109/NOCS.2010.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/DaneshtalabELPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/EbrahimiDLT10, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Hannu Tenhunen}, editor = {Marco Danelutto and Julien Bourgeois and Tom Gross}, title = {{HAMUM} - {A} Novel Routing Protocol for Unicast and Multicast Traffic in MPSoCs}, booktitle = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19, 2010}, pages = {525--532}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDP.2010.81}, doi = {10.1109/PDP.2010.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/EbrahimiDLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/EbrahimiDLPT10, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Marco Danelutto and Julien Bourgeois and Tom Gross}, title = {A High-Performance Network Interface Architecture for NoCs Using Reorder Buffer Sharing}, booktitle = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19, 2010}, pages = {546--550}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDP.2010.77}, doi = {10.1109/PDP.2010.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/EbrahimiDLPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NiaziST10, author = {Moazzam Fareed Niazi and Tiberiu Seceleanu and Hannu Tenhunen}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {An automated control code generation approach for the SegBus platform}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {199--204}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784752}, doi = {10.1109/SOCC.2010.5784752}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NiaziST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GuangNT10, author = {Liang Guang and Ethiopia Nigussie and Hannu Tenhunen}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {Run-time communication bypassing for energy-efficient, low-latency per-core {DVFS} on Network-on-Chip}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {481--486}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784674}, doi = {10.1109/SOCC.2010.5784674}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GuangNT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WeerasekeraPZT09, author = {Roshan Weerasekera and Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Two-Dimensional and Three-Dimensional Integration of Heterogeneous Electronic Systems Under Cost, Performance, and Technological Constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {8}, pages = {1237--1250}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2021734}, doi = {10.1109/TCAD.2009.2021734}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WeerasekeraPZT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/WeerasekeraGPTZ09, author = {Roshan Weerasekera and Matt Grange and Dinesh Pamunuwa and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Compact modelling of Through-Silicon Vias (TSVs) in three-dimensional {(3-D)} integrated circuits}, booktitle = {{IEEE} International Conference on 3D System Integration, 3DIC 2009, San Francisco, California, USA, 28-30 September 2009}, pages = {1--8}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/3DIC.2009.5306541}, doi = {10.1109/3DIC.2009.5306541}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/WeerasekeraGPTZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/WeldezionLWT09, author = {Awet Yemane Weldezion and Zhonghai Lu and Roshan Weerasekera and Hannu Tenhunen}, title = {3-D memory organization and performance analysis for multi-processor network-on-chip architecture}, booktitle = {{IEEE} International Conference on 3D System Integration, 3DIC 2009, San Francisco, California, USA, 28-30 September 2009}, pages = {1--7}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/3DIC.2009.5306593}, doi = {10.1109/3DIC.2009.5306593}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/WeldezionLWT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/TurnquistLMTK09, author = {Matthew J. Turnquist and Erkka Laulainen and Jani M{\"{a}}kip{\"{a}}{\"{a}} and Hannu Tenhunen and Lauri Koskinen}, editor = {Martin Suess and Tughrul Arslan and Didier Keymeulen and Adrian Stoica and Ahmet T. Erdogan and David Merodio}, title = {Adaptive Sub-Threshold Test Circuit}, booktitle = {{NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2009, San Francisco, California, USA, July 29 - August 1, 2009}, pages = {197--203}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/AHS.2009.20}, doi = {10.1109/AHS.2009.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahs/TurnquistLMTK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/GuangNKT09, author = {Liang Guang and Ethiopia Nigussie and Lauri Koskinen and Hannu Tenhunen}, editor = {Mladen Berekovic and Christian M{\"{u}}ller{-}Schloer and Christian Hochberger and Stephan Wong}, title = {Autonomous {DVFS} on Supply Islands for Energy-Constrained NoC Communication}, booktitle = {Architecture of Computing Systems - {ARCS} 2009, 22nd International Conference, Delft, The Netherlands, March 10-13, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5455}, pages = {183--194}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00454-4\_19}, doi = {10.1007/978-3-642-00454-4\_19}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arcs/GuangNKT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/YangCJTZ09, author = {Geng Yang and Jian Chen and Fredrik Jonsson and Hannu Tenhunen and Li{-}Rong Zheng}, editor = {Teodiano Freire Bastos Filho and Hugo Gamboa}, title = {An {ASIC} Solution for Intelligent Electrodes and Active-Cable used in a Wearable {ECG} Monitoring System}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {209--213}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/YangCJTZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbrahimiDNMAPT09, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Mohammad Hossein Neishaburi and Siamak Mohammadi and Ali Afzali{-}Kusha and Juha Plosila and Hannu Tenhunen}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {An efficent dynamic multicast routing protocol for distributing traffic in NOCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1064--1069}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090822}, doi = {10.1109/DATE.2009.5090822}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/EbrahimiDNMAPT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/YinGNLIT09, author = {Alexander Wei Yin and Liang Guang and Ethiopia Nigussie and Pasi Liljeberg and Jouni Isoaho and Hannu Tenhunen}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {Architectural Exploration of Per-Core {DVFS} for Energy-Constrained On-Chip Networks}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {141--146}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.197}, doi = {10.1109/DSD.2009.197}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/YinGNLIT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/EbrahimiDLT09, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Hannu Tenhunen}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {An Adaptive Unicast/Multicast Routing Algorithm for MPSoCs}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {203--206}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.201}, doi = {10.1109/DSD.2009.201}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/EbrahimiDLT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NejadMZRGZT09, author = {Majid Baghaei Nejad and David S. Mendoza and Zhuo Zou and Soheil Radiom and Georges G. E. Gielen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {A remote-powered {RFID} tag with 10Mb/s {UWB} uplink and -18.5dBm sensitivity {UHF} downlink in 0.18{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {198--199}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977376}, doi = {10.1109/ISSCC.2009.4977376}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/NejadMZRGZT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/GuangNT09, author = {Liang Guang and Ethiopia Nigussie and Hannu Tenhunen}, editor = {Maurizio Palesi and Shashi Kumar}, title = {System-level exploration of run-time clusterization for energy-efficient on-chip communication}, booktitle = {Second International Workshop on Network on Chip Architectures, NoCArc 2009, In conjunction with the 42nd Annual {IEEE/ACM} International Symposium on Microarchitecture (MICRO-42), December 12, 2009, New York, NY, {USA}}, pages = {63--68}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645213.1645228}, doi = {10.1145/1645213.1645228}, timestamp = {Wed, 13 Feb 2019 11:42:26 +0100}, biburl = {https://dblp.org/rec/conf/micro/GuangNT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/WeldezionGPLJWT09, author = {Awet Yemane Weldezion and Matt Grange and Dinesh Pamunuwa and Zhonghai Lu and Axel Jantsch and Roshan Weerasekera and Hannu Tenhunen}, title = {Scalability of network-on-chip communication architecture for 3-D meshes}, booktitle = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May 10-13 2009, La Jolla, CA, {USA.} Proceedings}, pages = {114--123}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NOCS.2009.5071459}, doi = {10.1109/NOCS.2009.5071459}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/WeldezionGPLJWT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/YinXLT09, author = {Alexander Wei Yin and Thomas Canhao Xu and Pasi Liljeberg and Hannu Tenhunen}, title = {Explorations of Honeycomb Topologies for Network-on-Chip}, booktitle = {{NPC} 2009, Sixth {IFIP} International Conference on Network and Parallel Computing, Gold Coast, Australia, October 19-21, 2009}, pages = {73--79}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NPC.2009.34}, doi = {10.1109/NPC.2009.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/npc/YinXLT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ph/YangCTZ09, author = {Geng Yang and Jian Chen and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Intelligent electrode design for long-term {ECG} monitoring at home: Prototype design using {FPAA} and {FPGA}}, booktitle = {3rd International Conference on Pervasive Computing Technologies for Healthcare, PervasiveHealth 2009, London, UK, April 1-3, 2009}, pages = {1--4}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.4108/ICST.PERVASIVEHEALTH2009.6005}, doi = {10.4108/ICST.PERVASIVEHEALTH2009.6005}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ph/YangCTZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NiaziLTS09, author = {Moazzam Fareed Niazi and Khalid Latif and Hannu Tenhunen and Tiberiu Seceleanu}, title = {A {DSL} for the SegBus platform}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2009, September 9-11, 2009, Belfast, Northern Ireland, UK, Proceedings}, pages = {393--398}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCCON.2009.5398012}, doi = {10.1109/SOCCON.2009.5398012}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/NiaziLTS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/StrakGT08, author = {Adam Strak and Andreas Gothenberg and Hannu Tenhunen}, title = {Power-Supply and Substrate-Noise-Induced Timing Jitter in Nonoverlapping Clock Generation Circuits}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {55-I}, number = {4}, pages = {1041--1054}, year = {2008}, url = {https://doi.org/10.1109/TCSI.2008.916565}, doi = {10.1109/TCSI.2008.916565}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/StrakGT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsb/DubrovaTT08, author = {Elena Dubrova and Maxim Teslenko and Hannu Tenhunen}, title = {A Computational Scheme Based on Random Boolean Networks}, journal = {Trans. Comp. Sys. Biology}, volume = {10}, pages = {41--58}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-92273-5\_3}, doi = {10.1007/978-3-540-92273-5\_3}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsb/DubrovaTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WeerasekeraPZT08, author = {Roshan Weerasekera and Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Minimal-Power, Delay-Balanced Smart Repeaters for Global Interconnects in the Nanometer Regime}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {5}, pages = {589--593}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.917555}, doi = {10.1109/TVLSI.2008.917555}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/WeerasekeraPZT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/TuunaZIT08, author = {Sampo Tuuna and Li{-}Rong Zheng and Jouni Isoaho and Hannu Tenhunen}, title = {Modeling of On-Chip Bus Switching Current and Its Impact on Noise in Power Supply Grid}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {6}, pages = {766--770}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000258}, doi = {10.1109/TVLSI.2008.2000258}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/TuunaZIT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DubrovaTT08, author = {Elena Dubrova and Maxim Teslenko and Hannu Tenhunen}, editor = {Donatella Sciuto}, title = {On Analysis and Synthesis of (n, k)-Non-Linear Feedback Shift Registers}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {1286--1291}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484856}, doi = {10.1109/DATE.2008.4484856}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DubrovaTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecbs/TruscanSLT08, author = {Dragos Truscan and Tiberiu Seceleanu and Johan Lilius and Hannu Tenhunen}, title = {A Model-Based Design Process for the SegBus Distributed Architecture}, booktitle = {15th Annual {IEEE} International Conference and Workshop on Engineering of Computer Based Systems {(ECBS} 2008), 31 March - 4 April 2008, Belfast, Northern Ireland}, pages = {307--316}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ECBS.2008.44}, doi = {10.1109/ECBS.2008.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecbs/TruscanSLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/BuiuZDISSPCITDS08, author = {Catalin Buiu and Mario Zagar and Radu Dobrin and Sylvia Ilieva and Adnan Salihbegovic and Tiberiu Seceleanu and Sasikumar Punnekkat and Ivica Crnkovic and Damir Isovic and Hannu Tenhunen and Ioan Dumitrache and Stefan Stancescu and Vasile Lazarescu and Zeljka Car and Igor Cavrak and Ignac Lovrek}, editor = {Ivica Crnkovic and Jerzy R. Nawrocki}, title = {{GENESIS:} a framework for global engineering of embedded systems}, booktitle = {Proceedings of the 2008 International Workshop on Software Engineering in East and South Europe, {SEESE} '08, Leipzig, Germany, May 13, 2008}, pages = {87--94}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1370868.1370884}, doi = {10.1145/1370868.1370884}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/BuiuZDISSPCITDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/MaPTZ08, author = {Ning Ma and Zhibo Pang and Hannu Tenhunen and Lirong Zheng}, title = {An ASIC-design-based configurable {SOC} architecture for networked media}, booktitle = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008, Tampere, Finland, November 5-6, 2008}, pages = {1--4}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSOC.2008.4694877}, doi = {10.1109/ISSOC.2008.4694877}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/MaPTZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/WangTZZ08, author = {Peng Wang and Hannu Tenhunen and Dian Zhou and Lirong Zheng}, title = {{PER} performance enhancement through antenna and transceiver co-design for multi-band {OFDM} {UWB} communication}, booktitle = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008, Tampere, Finland, November 5-6, 2008}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSOC.2008.4694875}, doi = {10.1109/ISSOC.2008.4694875}, timestamp = {Thu, 19 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issoc/WangTZZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LatifNTSS08, author = {Khalid Latif and Moazzam Fareed Niazi and Hannu Tenhunen and Tiberiu Seceleanu and Sakir Sezer}, title = {Application development flow for on-chip distributed architectures}, booktitle = {21st Annual {IEEE} International SoC Conference, SoCC 2008, September 17-20, 2008, Radisson Hotel, Newport Beach, CA, USA, Proceedings}, pages = {163--168}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/SOCC.2008.4641503}, doi = {10.1109/SOCC.2008.4641503}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LatifNTSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/TuunaIT08, author = {Sampo Tuuna and Jouni Isoaho and Hannu Tenhunen}, title = {Analysis of Delay Variation in Encoded On-Chip Bus Signaling under Process Variation}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {228--234}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.73}, doi = {10.1109/VLSI.2008.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/TuunaIT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ei/ZouBTZ07, author = {Zhuo Zou and Majid Baghaei Nejad and Hannu Tenhunen and Li{-}Rong Zheng}, title = {An efficient passive {RFID} system for ubiquitous identification and sensing using impulse {UWB} radio}, journal = {Elektrotech. Informationstechnik}, volume = {124}, number = {11}, pages = {397--403}, year = {2007}, url = {https://doi.org/10.1007/s00502-007-0483-y}, doi = {10.1007/S00502-007-0483-Y}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ei/ZouBTZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/NiuNTZ07, author = {Yuechao Niu and Majid Baghaei Nejad and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Design of a Digital Baseband Processor for {UWB} Transceiver on {RFID} Tag}, booktitle = {21st International Conference on Advanced Information Networking and Applications {(AINA} 2007), Workshops Proceedings, Volume 2, May 21-23, 2007, Niagara Falls, Canada}, pages = {358--361}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/AINAW.2007.147}, doi = {10.1109/AINAW.2007.147}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/NiuNTZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bionetics/DubrovaTT07, author = {Elena Dubrova and Maxim Teslenko and Hannu Tenhunen}, editor = {Falko Dressler}, title = {A computational model based on Random Boolean Networks}, booktitle = {2nd International {ICST} Conference on Bio-Inspired Models of Network, Information, and Computing Systems, {BIONETICS} 2007, Budapest, Hungary, December 10-13, 2007}, pages = {24--31}, publisher = {{ICST} / {IEEE}}, year = {2007}, url = {https://doi.org/10.4108/ICST.BIONETICS2007.2388}, doi = {10.4108/ICST.BIONETICS2007.2388}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/bionetics/DubrovaTT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/TruscanSTL07, author = {Dragos Truscan and Tiberiu Seceleanu and Hannu Tenhunen and Johan Lilius}, title = {Towards a Design Methodology for Multiprocessor Platforms}, booktitle = {31st Annual International Computer Software and Applications Conference, {COMPSAC} 2007, Beijing, China, July 24-27, 2007. Volume 1}, pages = {575--578}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/COMPSAC.2007.215}, doi = {10.1109/COMPSAC.2007.215}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/TruscanSTL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RantalaIT07, author = {Pekka Rantala and Jouni Isoaho and Hannu Tenhunen}, title = {Novel Agent-Based Management for Fault-Tolerance in Network-on-Chip}, booktitle = {Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck, Germany}, pages = {551--555}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DSD.2007.4341523}, doi = {10.1109/DSD.2007.4341523}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/dsd/RantalaIT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/RantalaIT07, author = {Pekka Rantala and Jouni Isoaho and Hannu Tenhunen}, editor = {Toomas P. Plaks}, title = {Agent-Based Reconfigurability for Fault-Tolerance in Network-on-Chip}, booktitle = {Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems {\&} Algorithms, {ERSA} 2007, Las Vegas, Nevada, USA, June 25-28, 2007}, pages = {207--210}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Fri, 14 Dec 2007 20:45:54 +0100}, biburl = {https://dblp.org/rec/conf/ersa/RantalaIT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WeerasekeraZPT07, author = {Roshan Weerasekera and Li{-}Rong Zheng and Dinesh Pamunuwa and Hannu Tenhunen}, editor = {Georges G. E. Gielen}, title = {Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {212--219}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397268}, doi = {10.1109/ICCAD.2007.4397268}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WeerasekeraZPT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NejadZTZ07, author = {Majid Baghaei Nejad and Zhuo Zou and Hannu Tenhunen and Li{-}Rong Zheng}, title = {A Novel Passive Tag with Asymmetric Wireless Link for {RFID} and {WSN} Applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {1593--1596}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378822}, doi = {10.1109/ISCAS.2007.378822}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NejadZTZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/NejadTZ07, author = {Majid Baghaei Nejad and Hannu Tenhunen and Lirong Zheng}, title = {Power Management and Clock Generator for a Novel Passive {UWB} Tag}, booktitle = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland, November 20-21, 2007}, pages = {1--4}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSOC.2007.4427448}, doi = {10.1109/ISSOC.2007.4427448}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/NejadTZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WeerasekeraZPT07, author = {Roshan Weerasekera and Li{-}Rong Zheng and Dinesh Pamunuwa and Hannu Tenhunen}, title = {Early selection of system implementation choice among SoC, SoP and 3-D Integration}, booktitle = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November 19-21, 2007}, pages = {187--190}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SOCC.2007.4545455}, doi = {10.1109/SOCC.2007.4545455}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/WeerasekeraZPT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZouNTZ07, author = {Zhuo Zou and Majid Baghaei Nejad and Hannu Tenhunen and Li{-}Rong Zheng}, title = {Baseband design for passive semi-UWB wireless sensor and identification systems}, booktitle = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November 19-21, 2007}, pages = {313--316}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SOCC.2007.4545482}, doi = {10.1109/SOCC.2007.4545482}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZouNTZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/WeerasekeraPZT07, author = {Roshan Weerasekera and Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Delay-Balanced Smart Repeaters for On-Chip Global Signaling}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {308--313}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.62}, doi = {10.1109/VLSID.2007.62}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/WeerasekeraPZT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TuunaIT06, author = {Sampo Tuuna and Jouni Isoaho and Hannu Tenhunen}, title = {Analytical model for crosstalk and intersymbol interference in point-to-point buses}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {7}, pages = {1400--1410}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.855973}, doi = {10.1109/TCAD.2005.855973}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TuunaIT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/StrakT06, author = {Adam Strak and Hannu Tenhunen}, title = {Investigation of Timing Jitter in {NAND} and {NOR} Gates Induced by Power-Supply Noise}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {1160--1163}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379646}, doi = {10.1109/ICECS.2006.379646}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/StrakT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/WeerasekeraPZT06, author = {Roshan Weerasekera and Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, editor = {Mike Hutton and Joni Dambre}, title = {Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime}, booktitle = {The Eigth International Workshop on System-Level Interconnect Prediction {(SLIP} 2006), Munich, Germany, March 4-5, 2006, Proceedings}, pages = {113--120}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1117278.1117301}, doi = {10.1145/1117278.1117301}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slip/WeerasekeraPZT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SeceleanuJT06, author = {Tiberiu Seceleanu and Axel Jantsch and Hannu Tenhunen}, title = {On-Chip Distributed Architectures}, booktitle = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September 24-27, 2006}, pages = {329--330}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SOCC.2006.283911}, doi = {10.1109/SOCC.2006.283911}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/SeceleanuJT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/JerrayaTW05, author = {Ahmed Amine Jerraya and Hannu Tenhunen and Wayne H. Wolf}, title = {Guest Editors' Introduction: Multiprocessor Systems-on-Chips}, journal = {Computer}, volume = {38}, number = {7}, pages = {36--40}, year = {2005}, url = {https://doi.org/10.1109/MC.2005.231}, doi = {10.1109/MC.2005.231}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/JerrayaTW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ShenLZTT05, author = {Meigen Shen and Jian Liu and Li{-}Rong Zheng and Esa Tjukanoff and Hannu Tenhunen}, title = {Robustness enhancement through chip-package co-design for high-speed electronics}, journal = {Microelectron. J.}, volume = {36}, number = {9}, pages = {846--855}, year = {2005}, url = {https://doi.org/10.1016/j.mejo.2005.03.007}, doi = {10.1016/J.MEJO.2005.03.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/ShenLZTT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PamunuwaET05, author = {Dinesh Pamunuwa and Shauki Elassaad and Hannu Tenhunen}, title = {Modeling delay and noise in arbitrarily coupled {RC} trees}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {11}, pages = {1725--1739}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.852279}, doi = {10.1109/TCAD.2005.852279}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/PamunuwaET05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/DubrovaTT05, author = {Elena Dubrova and Maxim Teslenko and Hannu Tenhunen}, editor = {Nuno Guimar{\~{a}}es and Pedro T. Isa{\'{\i}}as}, title = {Computing attractors in dynamic networks}, booktitle = {{AC} 2005, Proceedings of the {IADIS} International Conference on Applied Computing, Algarve, Portugal, February 22-25, 2005, Volume 1}, pages = {535--542}, publisher = {{IADIS}}, year = {2005}, timestamp = {Thu, 16 Nov 2017 18:21:03 +0100}, biburl = {https://dblp.org/rec/conf/iadis/DubrovaTT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenZTIT05, author = {Meigen Shen and Li{-}Rong Zheng and Esa Tjukanoff and Jouni Isoaho and Hannu Tenhunen}, title = {Case study of interconnect analysis for standing wave oscillator design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {456--459}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464623}, doi = {10.1109/ISCAS.2005.1464623}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenZTIT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuoZIT05, author = {Xinzhong Duo and Li{-}Rong Zheng and Mohammed Ismail and Hannu Tenhunen}, title = {A concurrent multi-band {LNA} for multi-standard radios}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {3982--3985}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465503}, doi = {10.1109/ISCAS.2005.1465503}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DuoZIT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ShenZTIT05, author = {Meigen Shen and Li{-}Rong Zheng and Esa Tjukanoff and Jouni Isoaho and Hannu Tenhunen}, title = {Concurrent Chip Package Design for Global Clock Distribution Network Using Standing Wave Approach}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {573--578}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.33}, doi = {10.1109/ISQED.2005.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ShenZTIT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RusuIT05, author = {Ana Rusu and Mohammed Ismail and Hannu Tenhunen}, title = {A Modified Cascaded Sigma-Delta Modulator with Improved Linearity}, booktitle = {2005 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2005), New Frontiers in {VLSI} Design, 11-12 May 2005, Tampa, FL, {USA}}, pages = {77--82}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISVLSI.2005.10}, doi = {10.1109/ISVLSI.2005.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/RusuIT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/NurmiMOIT05, author = {Jari Nurmi and Jan Madsen and Erwin Ofner and Jouni Isoaho and Hannu Tenhunen}, title = {The SoC-Mobinet Model in System-on-Chip Education}, booktitle = {2005 International Conference on Microelectronics Systems Education, {MSE} 2005, Anaheim, CA, USA, June 12-13, 2005}, pages = {71--72}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MSE.2005.61}, doi = {10.1109/MSE.2005.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/NurmiMOIT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/WeerasekeraZPT05, author = {Roshan Weerasekera and Li{-}Rong Zheng and Dinesh Pamunuwa and Hannu Tenhunen}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Switching Sensitive Driver Circuit to Combat Dynamic Delay in On-Chip Buses}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {277--285}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_29}, doi = {10.1007/11556930\_29}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/WeerasekeraZPT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/PamunuwaOZMJT04, author = {Dinesh Pamunuwa and Johnny {\"{O}}berg and Li{-}Rong Zheng and Mikael Millberg and Axel Jantsch and Hannu Tenhunen}, title = {A study on the implementation of 2-D mesh-based networks-on-chip in the nanometre regime}, journal = {Integr.}, volume = {38}, number = {1}, pages = {3--17}, year = {2004}, url = {https://doi.org/10.1016/j.vlsi.2004.03.005}, doi = {10.1016/J.VLSI.2004.03.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/PamunuwaOZMJT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/JantschOT04, author = {Axel Jantsch and Johnny {\"{O}}berg and Hannu Tenhunen}, title = {Special issue on networks on chip}, journal = {J. Syst. Archit.}, volume = {50}, number = {2-3}, pages = {61--63}, year = {2004}, url = {https://doi.org/10.1016/j.sysarc.2003.10.003}, doi = {10.1016/J.SYSARC.2003.10.003}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/JantschOT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LiuZT04, author = {Jian Liu and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Interconnect intellectual property for Network-on-Chip (NoC)}, journal = {J. Syst. Archit.}, volume = {50}, number = {2-3}, pages = {65--79}, year = {2004}, url = {https://doi.org/10.1016/j.sysarc.2003.07.003}, doi = {10.1016/J.SYSARC.2003.07.003}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/LiuZT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/DhaouT04, author = {Imed Ben Dhaou and Hannu Tenhunen}, title = {Efficient library characterization for high-level power estimation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {6}, pages = {657--661}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2004.827601}, doi = {10.1109/TVLSI.2004.827601}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/DhaouT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/DuoTZIT04, author = {Xinzhong Duo and Tommi Torikka and Li{-}Rong Zheng and Mohammed Ismail and Hannu Tenhunen}, editor = {Michiel Steyaert and C. L. Claeys}, title = {On-chip versus off-chip passives in multi-band radio design}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2004, Leuven, Belgium, September 21-23, 2004}, pages = {327--330}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ESSCIR.2004.1356684}, doi = {10.1109/ESSCIR.2004.1356684}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/DuoTZIT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GothenbergT04, author = {Andreas Gothenberg and Hannu Tenhunen}, title = {Performance analysis of sampling switches in voltage and frequency domains using Volterra series}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {765--768}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISCAS.2004.1328307}, doi = {10.1109/ISCAS.2004.1328307}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GothenbergT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuoZT04, author = {Xinzhong Duo and Li{-}Rong Zheng and Hannu Tenhunen}, title = {{RF} robustness enhancement through statistical analysis of chip package co-design}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {988--991}, publisher = {{IEEE}}, year = {2004}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuoZT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ShenZT04, author = {Meigen Shen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Robustness Enhancement through Chip-Package Co-Design for High-Speed Electronics}, booktitle = {5th International Symposium on Quality of Electronic Design {(ISQED} 2004), 22-24 March 2004, San Jose, CA, {USA}}, pages = {184--189}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISQED.2004.1283671}, doi = {10.1109/ISQED.2004.1283671}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ShenZT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/LiuZT04, author = {Jian Liu and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Global routing for multicast-supporting {TDM} network-on-chip}, booktitle = {Proceedings of the 2004 International Symposium on System-on-Chip, Tampere, Finland, November 16-18, 2004}, pages = {17--20}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISSOC.2004.1411135}, doi = {10.1109/ISSOC.2004.1411135}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/LiuZT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/OfnerNMIT04, author = {Erwin Ofner and Jari Nurmi and Jan Madsen and Jouni Isoaho and Hannu Tenhunen}, title = {SoC-Mobinet, R{\&}D and education in system-on-chip design}, booktitle = {Proceedings of the 2004 International Symposium on System-on-Chip, Tampere, Finland, November 16-18, 2004}, pages = {77--80}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISSOC.2004.1411153}, doi = {10.1109/ISSOC.2004.1411153}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issoc/OfnerNMIT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/WeerasekeraZPT04, author = {Roshan Weerasekera and Li{-}Rong Zheng and Dinesh Pamunuwa and Hannu Tenhunen}, title = {Crosstalk immune interconnect driver design}, booktitle = {Proceedings of the 2004 International Symposium on System-on-Chip, Tampere, Finland, November 16-18, 2004}, pages = {139--142}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISSOC.2004.1411168}, doi = {10.1109/ISSOC.2004.1411168}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issoc/WeerasekeraZPT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/StrakT04, author = {Adam Strak and Hannu Tenhunen}, title = {Suppression of Jitter Effects in {A/D} Converters through Sigma-Delta Sampling}, booktitle = {2004 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2004), Emerging Trends in {VLSI} Systems Design, 19-20 February 2004, Lafayette, LA, {USA}}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISVLSI.2004.1339518}, doi = {10.1109/ISVLSI.2004.1339518}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/StrakT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/RusuBIT04, author = {Ana Rusu and Alexei Borodenkov and Mohammed Ismail and Hannu Tenhunen}, editor = {Enrico Macii and Odysseas G. Koufopavlou and Vassilis Paliouras}, title = {Design of a Power/Performance Efficient Single-Loop Sigma-Delta Modulator for Wireless Receivers}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, {PATMOS} 2004, Santorini, Greece, September 15-17, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3254}, pages = {564--573}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30205-6\_58}, doi = {10.1007/978-3-540-30205-6\_58}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/patmos/RusuBIT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiuZT04, author = {Jian Liu and Li{-}Rong Zheng and Hannu Tenhunen}, title = {A circuit-switched network architecture for network-on-chip}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {55--58}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362349}, doi = {10.1109/SOCC.2004.1362349}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiuZT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DielacherT03, author = {Franz Dielacher and Hannu Tenhunen}, title = {Guest Editorial}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {7}, pages = {1095--1097}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.813012}, doi = {10.1109/JSSC.2003.813012}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DielacherT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PamunuwaZT03, author = {Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Maximizing throughput over parallel wire structures in the deep submicrometer regime}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {2}, pages = {224--243}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.810800}, doi = {10.1109/TVLSI.2003.810800}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/PamunuwaZT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PamunuwaET03, author = {Dinesh Pamunuwa and Shauki Elassaad and Hannu Tenhunen}, title = {Analytic Modeling of Interconnects for Deep Sub-Micron Circuits}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {835--842}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257905}, doi = {10.1109/ICCAD.2003.1257905}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PamunuwaET03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenZT03, author = {Meigen Shen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Case study of cost and performance trade-off analysis for mixed-signal integration in system-on-chip}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {585--588}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206380}, doi = {10.1109/ISCAS.2003.1206380}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenZT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MichielsenZT03, author = {Wim Michielsen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Analysis and design of a double tuned Clapp oscillator for multi-band multi-standard radio}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {681--684}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205655}, doi = {10.1109/ISCAS.2003.1205655}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MichielsenZT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZPT03, author = {Jian Liu and Li{-}Rong Zheng and Dinesh Pamunuwa and Hannu Tenhunen}, title = {A global wire planning scheme for Network-on-Chip}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {892--895}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206364}, doi = {10.1109/ISCAS.2003.1206364}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuZPT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/LiuZT03, author = {Jian Liu and Li{-}Rong Zheng and Hannu Tenhunen}, title = {A guaranteed-throughput switch for network-on-chip}, booktitle = {Proceedings of the 2003 International Symposium on System-on-Chip, Tampere, Finland, November 19-21, 2003}, pages = {31--34}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISSOC.2003.1267710}, doi = {10.1109/ISSOC.2003.1267710}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/LiuZT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/LiuSZT03, author = {Jian Liu and Meigen Shen and Li{-}Rong Zheng and Hannu Tenhunen}, editor = {Dennis Sylvester and Dirk Stroobandt and Louis Scheffer and Payman Zarkesh{-}Ha}, title = {System level interconnect design for network-on-chip using interconnect IPs}, booktitle = {The 5th International Workshop on System-Level Interconnect Prediction {(SLIP} 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings}, pages = {117--124}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/639929.639953}, doi = {10.1145/639929.639953}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slip/LiuSZT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/JantschT03, author = {Axel Jantsch and Hannu Tenhunen}, editor = {Axel Jantsch and Hannu Tenhunen}, title = {Will Networks on Chip Close the Productivity Gap?}, booktitle = {Networks on Chip}, pages = {3--18}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/0-306-48727-6\_1}, doi = {10.1007/0-306-48727-6\_1}, timestamp = {Thu, 18 Jul 2019 19:46:19 +0200}, biburl = {https://dblp.org/rec/books/sp/03/JantschT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/03/JT2003, editor = {Axel Jantsch and Hannu Tenhunen}, title = {Networks on Chip}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/b105353}, doi = {10.1007/B105353}, isbn = {978-1-4020-7392-2}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/03/JT2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/DhaouPT02, author = {Imed Ben Dhaou and Keshab K. Parhi and Hannu Tenhunen}, title = {Energy Efficient Signaling in Deep-submicron Technology}, journal = {{VLSI} Design}, volume = {15}, number = {3}, pages = {563--586}, year = {2002}, url = {https://doi.org/10.1080/1065514021000012192}, doi = {10.1080/1065514021000012192}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/DhaouPT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/ValtonenIT02, author = {Tuomas Valtonen and Jouni Isoaho and Hannu Tenhunen}, editor = {Manfred Glesner and Peter Zipf and Michel Renovell}, title = {The Case for Fine-Grained Re-configurable Architectures: An Analysis of Conceived Performance}, booktitle = {Field-Programmable Logic and Applications, Reconfigurable Computing Is Going Mainstream, 12th International Conference, {FPL} 2002, Montpellier, France, September 2-4, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2438}, pages = {816--825}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-46117-5\_84}, doi = {10.1007/3-540-46117-5\_84}, timestamp = {Sat, 30 Sep 2023 09:41:27 +0200}, biburl = {https://dblp.org/rec/conf/fpl/ValtonenIT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/RusuT02, author = {Ana Rusu and Hannu Tenhunen}, title = {A multi-bit sigma-delta modulator for wideband applications}, booktitle = {Proceedings of the 2002 9th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2002, Dubrovnik, Croatia, September 15-18, 2002}, pages = {335--338}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICECS.2002.1045402}, doi = {10.1109/ICECS.2002.1045402}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/RusuT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/StrakGT02, author = {Adam Strak and Andreas Gothenberg and Hannu Tenhunen}, title = {Analysis of clock jitter effects in wideband sigma-delta modulators for rf-applications}, booktitle = {Proceedings of the 2002 9th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2002, Dubrovnik, Croatia, September 15-18, 2002}, pages = {339--342}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICECS.2002.1045403}, doi = {10.1109/ICECS.2002.1045403}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/StrakGT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/DhaouT02, author = {Imed Ben Dhaou and Hannu Tenhunen}, title = {{HIPED:} a tool for high-level power estimation of digital signal processing algorithms}, booktitle = {Proceedings of the 2002 9th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2002, Dubrovnik, Croatia, September 15-18, 2002}, pages = {729--732}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICECS.2002.1046272}, doi = {10.1109/ICECS.2002.1046272}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/DhaouT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TenhunenP02, author = {Hannu Tenhunen and Dinesh Pamunuwa}, title = {On dynamic delay and repeater insertion}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {97--100}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1009786}, doi = {10.1109/ISCAS.2002.1009786}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TenhunenP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PamunuwaZT02, author = {Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Optimising bandwidth over deep sub-micron interconnect}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {193--196}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010422}, doi = {10.1109/ISCAS.2002.1010422}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PamunuwaZT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ValtonenNIT02, author = {Tuomas Valtonen and Tero Nurmi and Jouni Isoaho and Hannu Tenhunen}, title = {Interconnection of autonomous error-tolerant cells}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {473--476}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010495}, doi = {10.1109/ISCAS.2002.1010495}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ValtonenNIT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiljebergDPIT02, author = {Pasi Liljeberg and Imed Ben Dhaou and Juha Plosila and Jouni Isoaho and Hannu Tenhunen}, title = {Interconnect peak current reduction for wavelet array processor using self-timed signaling}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {485--488}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010498}, doi = {10.1109/ISCAS.2002.1010498}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiljebergDPIT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiT02, author = {Bingxin Li and Hannu Tenhunen}, title = {A structure of cascading multi-bit modulators without dynamic element matching or digital correction}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {711--714}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010323}, doi = {10.1109/ISCAS.2002.1010323}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/PamunuwaT02, author = {Dinesh Pamunuwa and Hannu Tenhunen}, title = {On Dynamic Delay and Repeater Insertion in Distributed Capacitively Coupled Interconnects}, booktitle = {3rd International Symposium on Quality of Electronic Design, {ISQED} 2002, San Jose, CA, USA, March 18-21, 2002}, pages = {240--245}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISQED.2002.996740}, doi = {10.1109/ISQED.2002.996740}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/PamunuwaT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DhaouTSP01, author = {Imed Ben Dhaou and Hannu Tenhunen and Vijay Sundararajan and Keshab K. Parhi}, title = {Energy efficient signaling in {DSM} {CMOS} technology}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {411--414}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922072}, doi = {10.1109/ISCAS.2001.922072}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DhaouTSP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiT01, author = {Bingxin Li and Hannu Tenhunen}, title = {Sigma delta modulators using semi-uniform quantizers}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {456--459}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.921891}, doi = {10.1109/ISCAS.2001.921891}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DhaouMT01, author = {Imed Ben Dhaou and N. Money and Hannu Tenhunen}, title = {Fast low-power characterization of arithmetic units in {DSM} {CMOS}}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {531--534}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922102}, doi = {10.1109/ISCAS.2001.922102}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DhaouMT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuutariIT01, author = {Teemu Suutari and Jouni Isoaho and Hannu Tenhunen}, title = {High-speed serial communication with error correction using 0.25 um {CMOS} technology}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {618--621}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922313}, doi = {10.1109/ISCAS.2001.922313}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuutariIT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/DhaouDT01, author = {Imed Ben Dhaou and Elena Dubrova and Hannu Tenhunen}, title = {Power Efficient Inter-Module Communication for Digit-Serial {DSP} Architectures in Deep-Submicron Technology}, booktitle = {31st {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL} 2001, Warsaw, Poland, May 22-24, 2001, Proceedings}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISMVL.2001.924555}, doi = {10.1109/ISMVL.2001.924555}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismvl/DhaouDT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DhaouTSP01, author = {Imed Ben Dhaou and Hannu Tenhunen and Vijay Sundararajan and Keshab K. Parhi}, title = {Energy Efficient Signaling in Deep Submicron {CMOS} Technology}, booktitle = {2nd International Symposium on Quality of Electronic Design {(ISQED} 2001), 26-28 March 2001, San Jose, CA, {USA}}, pages = {319--324}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISQED.2001.915250}, doi = {10.1109/ISQED.2001.915250}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/DhaouTSP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/EllerveeT01, author = {Peeter Ellervee and Hannu Tenhunen}, title = {Digital Hardware Organization Course for SoC Program}, booktitle = {2001 International Conference on Microelectronics Systems Education, {MSE} 2001, Las Vegas, NV, USA, July 17-18, 2001}, pages = {26--27}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/MSE.2001.932402}, doi = {10.1109/MSE.2001.932402}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/EllerveeT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/NilssonET01, author = {Peter Nilsson and Petru Eles and Hannu Tenhunen}, title = {{SOCWARE:} {A} New Swedish Design Cluster for System-on-Chip}, booktitle = {2001 International Conference on Microelectronics Systems Education, {MSE} 2001, Las Vegas, NV, USA, July 17-18, 2001}, pages = {44--45}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/MSE.2001.932409}, doi = {10.1109/MSE.2001.932409}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/NilssonET01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/TenhunenD01, author = {Hannu Tenhunen and Elena Dubrova}, title = {SoC Masters: An International M.Sc. Program in System-on-Chip Design at {KTH}}, booktitle = {2001 International Conference on Microelectronics Systems Education, {MSE} 2001, Las Vegas, NV, USA, July 17-18, 2001}, pages = {64--66}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/MSE.2001.932416}, doi = {10.1109/MSE.2001.932416}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/TenhunenD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PamunuwaT01, author = {Dinesh Pamunuwa and Hannu Tenhunen}, title = {Repeater Insertion To Minimise Delay In Coupled Interconnects}, booktitle = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, pages = {513--517}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICVD.2001.902709}, doi = {10.1109/ICVD.2001.902709}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PamunuwaT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/DhaouT00, author = {Imed Ben Dhaou and Hannu Tenhunen}, title = {Comparison of {OFDM} and {WPM} for fourth generation broadband {WLAN}}, booktitle = {10th European Signal Processing Conference, {EUSIPCO} 2000, Tampere, Finland, September 4-8, 2000}, pages = {1--4}, publisher = {{IEEE}}, year = {2000}, url = {https://ieeexplore.ieee.org/document/7075740/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/DhaouT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PamunuwaZT00, author = {Dinesh Pamunuwa and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Combating digital noise in high speed {ULSI} circuits using binary {BCH} encoding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {13--16}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.858676}, doi = {10.1109/ISCAS.2000.858676}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PamunuwaZT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoJT00, author = {Yonghong Gao and Lihong Jia and Hannu Tenhunen}, title = {A fifth-order comb decimation filter for multi-standard transceiver applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {89--92}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856003}, doi = {10.1109/ISCAS.2000.856003}, timestamp = {Mon, 23 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoJT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengLT00, author = {Li{-}Rong Zheng and Bingxin Li and Hannu Tenhunen}, title = {Efficient and accurate modeling of power supply noise on distributed on-chip power networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {513--516}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856378}, doi = {10.1109/ISCAS.2000.856378}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengLT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaGT00, author = {Lihong Jia and Yonghong Gao and Hannu Tenhunen}, title = {New metrics for architectural level power performance evaluation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {549--552}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856387}, doi = {10.1109/ISCAS.2000.856387}, timestamp = {Sun, 22 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaGT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/DhaouT00, author = {Imed Ben Dhaou and Hannu Tenhunen}, title = {Energy efficient high-speed on-chip signaling in deep-submicron {CMOS} technology}, booktitle = {The Second {IEEE/ACM} International Workshop on System-Level Interconnect Prediction {(SLIP} 2000), April 8-9, 2000, San Diego, California, USA, Proceedings}, pages = {69--76}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/333032.333035}, doi = {10.1145/333032.333035}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/DhaouT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arvlsi/ZhengT99, author = {Li{-}Rong Zheng and Hannu Tenhunen}, title = {Noise Margin Constraints for Interconnectivity in Deep Submicron Low Power and Mixed-Signal {VLSI} Circuits}, booktitle = {18th Conference on Advanced Research in {VLSI} {(ARVLSI} '99), 21-24 March 1999, Atlanta, GA, {USA}}, pages = {123--136}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ARVLSI.1999.756043}, doi = {10.1109/ARVLSI.1999.756043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arvlsi/ZhengT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ErikssonT99, author = {Patrik Eriksson and Hannu Tenhunen}, title = {Phase noise in sampling and its importance to wideband multicarrier base station receivers}, booktitle = {Proceedings of the 1999 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '99, Phoenix, Arizona, USA, March 15-19, 1999}, pages = {2737--2740}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICASSP.1999.761310}, doi = {10.1109/ICASSP.1999.761310}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ErikssonT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/ErikssonT99, author = {Patrik Eriksson and Hannu Tenhunen}, title = {The noise figure of a sampling mixer: theory and measurement}, booktitle = {6th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 1999, Pafos, Cyprus, September 5-8, 1999}, pages = {899--902}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ICECS.1999.813259}, doi = {10.1109/ICECS.1999.813259}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/ErikssonT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/GaoT99, author = {Yonghong Gao and Hannu Tenhunen}, title = {Implementation aspects of an oversampling {D/A} converter for {DMT-ADSL} systems}, booktitle = {6th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 1999, Pafos, Cyprus, September 5-8, 1999}, pages = {1397--1400}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ICECS.1999.814430}, doi = {10.1109/ICECS.1999.814430}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/GaoT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-5/LiT99, author = {Bingxin Li and Hannu Tenhunen}, editor = {L. Miguel Silveira and Srinivas Devadas and Ricardo Augusto da Luz Reis}, title = {A Design of Operational Amplifier for Sigma Delta Modulators Using 0.35um {CMOS} Process}, booktitle = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International Conference on Very Large Scale Integration {(VLSI} '99), December 1-4, 1999, Lisbon, Portugal}, series = {{IFIP} Conference Proceedings}, volume = {162}, pages = {23--34}, publisher = {Kluwer}, year = {1999}, timestamp = {Mon, 14 Oct 2002 13:30:59 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-5/LiT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ErikssonT99, author = {Patrik Eriksson and Hannu Tenhunen}, title = {A model for predicting sampler {RF} bandwidth and conversion loss}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {18--21}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780083}, doi = {10.1109/ISCAS.1999.780083}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ErikssonT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DhaouT99, author = {Imed Ben Dhaou and Hannu Tenhunen}, title = {Combinatorial architectural level power optimization for a class of orthogonal transforms}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {70--75}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.777808}, doi = {10.1109/ISCAS.1999.777808}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DhaouT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaGIT99, author = {Lihong Jia and Yonghong Gao and Jouni Isoaho and Hannu Tenhunen}, title = {Design of a super-pipelined Viterbi decoder}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {133--136}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.777822}, doi = {10.1109/ISCAS.1999.777822}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaGIT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JonssonT99, author = {B. E. Jonsson and Hannu Tenhunen}, title = {A dual 3-V 32-MS/s {CMOS} switched-current {ADC} for telecommunication applications}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {343--346}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780729}, doi = {10.1109/ISCAS.1999.780729}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JonssonT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JonssonT99a, author = {B. E. Jonsson and Hannu Tenhunen}, title = {A 3 {V} switched-current pipelined analog-to-digital converter in a 5 {V} {CMOS} process}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {351--354}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780731}, doi = {10.1109/ISCAS.1999.780731}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JonssonT99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HorvathDTI99, author = {L{\'{a}}szl{\'{o}} Horv{\'{a}}th and Imed Ben Dhaou and Hannu Tenhunen and Jouni Isoaho}, title = {A novel, high-speed, reconfigurable demapper-symbol deinterleaver architecture for {DVB-T}}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {382--385}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780022}, doi = {10.1109/ISCAS.1999.780022}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HorvathDTI99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeinckeHKEOONLT99, author = {Thomas Meincke and Ahmed Hemani and Shashi Kumar and Peeter Ellervee and Johnny {\"{O}}berg and Thomas Olsson and Peter Nilsson and Dan Lindqvist and Hannu Tenhunen}, title = {Globally asynchronous locally synchronous architecture for large high-performance ASICs}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {512--515}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780794}, doi = {10.1109/ISCAS.1999.780794}, timestamp = {Thu, 21 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MeinckeHKEOONLT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengT99, author = {Li{-}Rong Zheng and Hannu Tenhunen}, title = {Effective power and ground distribution scheme for deep submicron high speed {VLSI} circuits}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {537--540}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.777947}, doi = {10.1109/ISCAS.1999.777947}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/CijvatETT98, author = {Ellie Cijvat and Patrik Eriksson and N. Tan and Hannu Tenhunen}, title = {A 1.8 GHz subsampling {CMOS} downconversion circuit for integrated radio applications}, booktitle = {5th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 1998, Surfing the Waves of Science and Technology, Lisbon, Portugal, September 7-10, 1998}, pages = {149--152}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICECS.1998.813955}, doi = {10.1109/ICECS.1998.813955}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/CijvatETT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/OlsonT98, author = {Henrik Olson and Hannu Tenhunen}, title = {Implementation aspects for noncoherent tracking based on a time-discrete delay-locked loop}, booktitle = {The 9th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1998, Boston, MA, USA, September 8-11, 1998}, pages = {375--380}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/PIMRC.1998.733582}, doi = {10.1109/PIMRC.1998.733582}, timestamp = {Tue, 19 May 2020 15:57:12 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/OlsonT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MokhtariSWSKJST97, author = {Mehran Mokhtari and Thomas Swahn and Robert H. Walden and William E. Stanchina and Michael Kardos and Tarja Juhola and Gerd Schuppener and Hannu Tenhunen and Thomas Lewin}, title = {InP-HBT chip-set for 40-Gb/s fiber optical communication systems operational at 3 {V}}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {9}, pages = {1371--1383}, year = {1997}, url = {https://doi.org/10.1109/4.628743}, doi = {10.1109/4.628743}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MokhtariSWSKJST97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/HellbergHIJMT97, author = {Lars Hellberg and Ahmed Hemani and Jouni Isoaho and Axel Jantsch and Mehran Mokhtari and Hannu Tenhunen}, title = {System oriented {VLSI} curriculum at {KTH}}, booktitle = {1997 {IEEE} International Conference on Microelectronic Systems Education, {MSE} '97, Arlington, VA, USA, July 21-23, 1997}, pages = {57--59}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/MSE.1997.612546}, doi = {10.1109/MSE.1997.612546}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/HellbergHIJMT97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esm/LazraqOT96, author = {Tawfik Lazraq and Fredrk {\"{O}}stman and Hannu Tenhunen}, editor = {Andr{\'{a}}s J{\'{a}}vor and Axel Lehmann and Istvan Moln{\'{a}}r}, title = {Parallel Simulation of 1K{\texttimes}1K {ATM} Switch Fabric}, booktitle = {Modelling and Simulation, ESM96, June 2-6, 1996, Budapest University of Economic Sciences}, pages = {497--501}, publisher = {SCS, The Society for Computer Simulation International}, year = {1996}, timestamp = {Mon, 27 Feb 2023 10:45:50 +0100}, biburl = {https://dblp.org/rec/conf/esm/LazraqOT96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/OelmannT96, author = {Bengt Oelmann and Hannu Tenhunen}, title = {A system level performance model for asynchronous micropipeline circuits}, booktitle = {Proceedings of Third International Conference on Electronics, Circuits, and Systems, {ICECS} 1996, Rodos, Greece, October 13-16, 1996}, pages = {952--955}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/ICECS.1996.584543}, doi = {10.1109/ICECS.1996.584543}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/OelmannT96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SvantessonhEPOJT96, author = {Bengt Svantesson and Ahmed Hemani and Peeter Ellervee and Adam Postula and Johnny {\"{O}}berg and Axel Jantsch and Hannu Tenhunen}, title = {A Novell Allocation Strategy for Control and Memory Intensive Telecommunication Circiuts}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {23--28}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICVD.1996.489448}, doi = {10.1109/ICVD.1996.489448}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SvantessonhEPOJT96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RinneJTVN95, author = {M. Rinne and Tiina Jarske and Hannu Tenhunen and Olli Vainio and Yrj{\"{o}} Neuvo}, title = {Noise Suppression System Integration Using an Analog Allpass Filter Bank}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {1207--1210}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.520361}, doi = {10.1109/ISCAS.1995.520361}, timestamp = {Thu, 19 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RinneJTVN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/OelmannMT95, author = {Bengt Oelmann and Henk Martijn and Hannu Tenhunen}, title = {{VLSI} implementation of {DS-CDMA} receiver using asynchronous design techniques}, booktitle = {The 6th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1995, Toronto, ON, Canada, September 27-29, 1995}, pages = {1252}, year = {1995}, url = {https://doi.org/10.1109/PIMRC.1995.477363}, doi = {10.1109/PIMRC.1995.477363}, timestamp = {Thu, 04 Jun 2020 15:17:53 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/OelmannMT95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/JantschEHOT94, author = {Axel Jantsch and Peeter Ellervee and Ahmed Hemani and Johnny {\"{O}}berg and Hannu Tenhunen}, editor = {Jean Mermet}, title = {Hardware/software partitioning and minimizing memory interface traffic}, booktitle = {Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994}, pages = {226--231}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=198249}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/JantschEHOT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SaluvereKT94, author = {T. Saluvere and Daniel Kerek and Hannu Tenhunen}, editor = {Reiner W. Hartenstein and Michal Serv{\'{\i}}t}, title = {Direct Sequence Spread Spectrum Digital Radio {DSP} Prototyping Using Xilinx FPGAs}, booktitle = {Field-Programmable Logic, Architectures, Synthesis and Applications, 4th International Workshop on Field-Programmable Logic and Applications, {FPL} '94, Prague, Czech Republic, September 7-9, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {849}, pages = {138--140}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58419-6\_83}, doi = {10.1007/3-540-58419-6\_83}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/SaluvereKT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/IsoahoJT94, author = {Jouni Isoaho and Axel Jantsch and Hannu Tenhunen}, editor = {Reiner W. Hartenstein and Michal Serv{\'{\i}}t}, title = {{DSP} Development with Full-Speed Prototyping Based on {HW/SW} Codesign Techniques}, booktitle = {Field-Programmable Logic, Architectures, Synthesis and Applications, 4th International Workshop on Field-Programmable Logic and Applications, {FPL} '94, Prague, Czech Republic, September 7-9, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {849}, pages = {318--320}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58419-6\_112}, doi = {10.1007/3-540-58419-6\_112}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/IsoahoJT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KerekTMR94, author = {Daniel Kerek and Hannu Tenhunen and Gerald Q. Maguire Jr. and Frank Reichert}, title = {The Walkstation transceiver design}, booktitle = {Proceedings of 44th {IEEE} Vehicular Technology Conference: Creating Tomorrow's Mobile Systems, {VTC} 1994, 8-10 June 1994, Stockholm, Sweden}, pages = {462--466}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/VETEC.1994.345085}, doi = {10.1109/VETEC.1994.345085}, timestamp = {Mon, 20 Dec 2021 11:29:29 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KerekTMR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/IsoahoPVT93, author = {Jouni Isoaho and Jari Pasanen and Olli Vainio and Hannu Tenhunen}, title = {{DSP} system integration and prototyping with {FPGAS}}, journal = {J. {VLSI} Signal Process.}, volume = {6}, number = {2}, pages = {155--172}, year = {1993}, url = {https://doi.org/10.1007/BF01607879}, doi = {10.1007/BF01607879}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/IsoahoPVT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/IsoahoNT92, author = {Jouni Isoaho and Arto Nummela and Hannu Tenhunen}, editor = {Herbert Gr{\"{u}}nbacher and Reiner W. Hartenstein}, title = {Technologies and Utilization fo Field Programmable Gate Arrays}, booktitle = {Field-Programmable Gate Arrays: Architectures and Tools for Rapid Prototyping, Second International Workshop on Field-Programmable Logic and Applications, Vienna, Austria, August 31 - September 2, 1992, Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {705}, pages = {11--25}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-57091-8\_25}, doi = {10.1007/3-540-57091-8\_25}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/fpga/IsoahoNT92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PajarreRT91, author = {Eero Pajarre and Tapani Ritoniemi and Hannu Tenhunen}, title = {Methods and Algorithms for Converting {IC} Designs Between Incompatible Design Systems}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {34--37}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139838}, doi = {10.1109/ICCD.1991.139838}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PajarreRT91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.