BibTeX records: Mark M. Tehranipoor

download as .bib file

@article{DBLP:journals/access/SamiZSHCAKRFT24,
  author       = {Md Sami Ul Islam Sami and
                  Tao Zhang and
                  Amit Mazumder Shuvo and
                  Md. Saad Ul Haque and
                  Paul Calzada and
                  Kimia Zamiri Azar and
                  Hadi Mardani Kamali and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Advancing Trustworthiness in System-in-Package: {A} Novel Root-of-Trust
                  Hardware Security Module for Heterogeneous Integration},
  journal      = {{IEEE} Access},
  volume       = {12},
  pages        = {48081--48107},
  year         = {2024},
  url          = {https://doi.org/10.1109/ACCESS.2024.3375874},
  doi          = {10.1109/ACCESS.2024.3375874},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/SamiZSHCAKRFT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/DipuATF24,
  author       = {Nusrat Farzana Dipu and
                  Avinash Ayalasomayajula and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {{AGILE:} Automated Assertion Generation to Detect Information Leakage
                  Vulnerabilities},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {19},
  pages        = {1794--1809},
  year         = {2024},
  url          = {https://doi.org/10.1109/TIFS.2023.3343970},
  doi          = {10.1109/TIFS.2023.3343970},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tifs/DipuATF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/AzarKFT24,
  author       = {Kimia Zamiri Azar and
                  Hadi Mardani Kamali and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Improving Bounded Model Checkers Scalability for Circuit De-Obfuscation:
                  An Exploration},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {19},
  pages        = {2771--2785},
  year         = {2024},
  url          = {https://doi.org/10.1109/TIFS.2024.3357286},
  doi          = {10.1109/TIFS.2024.3357286},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tifs/AzarKFT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/CalzadaSARFT24,
  author       = {Paul Calzada and
                  Md Sami Ul Islam Sami and
                  Kimia Zamiri Azar and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Heterogeneous Integration Supply Chain Integrity Through Blockchain
                  and {CHSM}},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {29},
  number       = {1},
  pages        = {9:1--9:25},
  year         = {2024},
  url          = {https://doi.org/10.1145/3625823},
  doi          = {10.1145/3625823},
  timestamp    = {Sat, 10 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/CalzadaSARFT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZhangTF24,
  author       = {Tao Zhang and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {TrustGuard: Standalone FPGA-Based Security Monitoring Through Power
                  Side-Channel},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {32},
  number       = {2},
  pages        = {319--332},
  year         = {2024},
  url          = {https://doi.org/10.1109/TVLSI.2023.3335876},
  doi          = {10.1109/TVLSI.2023.3335876},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZhangTF24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/RajendranFT24,
  author       = {Sree Ranjani Rajendran and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{CAD} Tools Pathway in Hardware Security},
  booktitle    = {37th International Conference on {VLSI} Design and 23rd International
                  Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January
                  6-10, 2024},
  pages        = {342--347},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/VLSID60093.2024.00063},
  doi          = {10.1109/VLSID60093.2024.00063},
  timestamp    = {Mon, 08 Apr 2024 20:48:39 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsid/RajendranFT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ZhangSRVKFT24,
  author       = {Tao Zhang and
                  Shang Shi and
                  Md Habibur Rahman and
                  Nitin Varshney and
                  Akshay Kulkarni and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{INSPECT:} Investigating Supply Chain and Cyber-Physical Security
                  of Battery Systems},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {211},
  year         = {2024},
  url          = {https://eprint.iacr.org/2024/211},
  timestamp    = {Mon, 18 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ZhangSRVKFT24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/RahmanGKRFT23,
  author       = {M. Sazadur Rahman and
                  Rui Guo and
                  Hadi Mardani Kamali and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {ReTrustFSM: Toward {RTL} Hardware Obfuscation-A Hybrid {FSM} Approach},
  journal      = {{IEEE} Access},
  volume       = {11},
  pages        = {19741--19761},
  year         = {2023},
  url          = {https://doi.org/10.1109/ACCESS.2023.3244902},
  doi          = {10.1109/ACCESS.2023.3244902},
  timestamp    = {Sat, 11 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/RahmanGKRFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ZhangRTF23,
  author       = {Tao Zhang and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {FPGA-Chain: Enabling Holistic Protection of {FPGA} Supply Chain With
                  Blockchain Technology},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {2},
  pages        = {127--136},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2022.3213998},
  doi          = {10.1109/MDAT.2022.3213998},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/ZhangRTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/SamiKFRT23,
  author       = {Md Sami Ul Islam Sami and
                  Hadi Mardani Kamali and
                  Farimah Farahmandi and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {Enabling Security of Heterogeneous Integration: From Supply Chain
                  to In-Field Operations},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {5},
  pages        = {86--95},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3270234},
  doi          = {10.1109/MDAT.2023.3270234},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/SamiKFRT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/FarahmandiSNT23,
  author       = {Farimah Farahmandi and
                  Ankur Srivastava and
                  Giorgio Di Natale and
                  Mark M. Tehranipoor},
  title        = {Introduction to the Special Issue on {CAD} for Security: Pre-silicon
                  Security Sign-off Solutions Through Design Cycle},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {19},
  number       = {1},
  pages        = {4:1--4:4},
  year         = {2023},
  url          = {https://doi.org/10.1145/3584317},
  doi          = {10.1145/3584317},
  timestamp    = {Fri, 02 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/FarahmandiSNT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/JessurunDHGTWA23,
  author       = {Nathan Jessurun and
                  Olivia P. Dizon{-}Paradis and
                  Jacob Harrison and
                  Shajib Ghosh and
                  Mark M. Tehranipoor and
                  Damon L. Woodard and
                  Navid Asadizanjani},
  title        = {{FPIC:} {A} Novel Semantic Dataset for Optical {PCB} Assurance},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {19},
  number       = {2},
  pages        = {17:1--17:21},
  year         = {2023},
  url          = {https://doi.org/10.1145/3588032},
  doi          = {10.1145/3588032},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/JessurunDHGTWA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MuttakiMKTF23,
  author       = {Md Rafid Muttaki and
                  Roshanak Mohammadivojdan and
                  Hadi Mardani Kamali and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {HLock+: {A} Robust and Low-Overhead Logic Locking at the High-Level
                  Language},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {7},
  pages        = {2149--2162},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3215796},
  doi          = {10.1109/TCAD.2022.3215796},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/MuttakiMKTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KibriaFT23,
  author       = {Rasheed Kibria and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {FSMx-Ultra: Finite State Machine Extraction From Gate-Level Netlist
                  for Security Assessment},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {11},
  pages        = {3613--3627},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2023.3266368},
  doi          = {10.1109/TCAD.2023.3266368},
  timestamp    = {Sat, 28 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KibriaFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GaoRVTF23,
  author       = {Minyan Gao and
                  M. Sazadur Rahman and
                  Nitin Varshney and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {iPROBE: Internal Shielding Approach for Protecting Against Front-Side
                  and Back-Side Probing Attacks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {12},
  pages        = {4541--4554},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2023.3276525},
  doi          = {10.1109/TCAD.2023.3276525},
  timestamp    = {Sun, 10 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/GaoRVTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShaikhVRARFT23,
  author       = {Hasan Al Shaikh and
                  Arash Vafaei and
                  Mridha Md Mashahedur Rahman and
                  Kimia Zamiri Azar and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  editor       = {Atsushi Takahashi},
  title        = {SHarPen: SoC Security Verification by Hardware Penetration Test},
  booktitle    = {Proceedings of the 28th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023},
  pages        = {579--584},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3566097.3567918},
  doi          = {10.1145/3566097.3567918},
  timestamp    = {Mon, 26 Jun 2023 20:46:40 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShaikhVRARFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShiPKTF23,
  author       = {Shang Shi and
                  Nitin Pundir and
                  Hadi Mardani Kamali and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  editor       = {Atsushi Takahashi},
  title        = {SecHLS: Enabling Security Awareness in High-Level Synthesis},
  booktitle    = {Proceedings of the 28th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023},
  pages        = {585--590},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3566097.3567926},
  doi          = {10.1145/3566097.3567926},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShiPKTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/IbnatRRKTF23,
  author       = {Zahin Ibnat and
                  M. Sazadur Rahman and
                  Mridha Md Mashahedur Rahman and
                  Hadi Mardani Kamali and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {ActiWate: Adaptive and Design-agnostic Active Watermarking for {IP}
                  Ownership in Modern SoCs},
  booktitle    = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco,
                  CA, USA, July 9-13, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DAC56929.2023.10247688},
  doi          = {10.1109/DAC56929.2023.10247688},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/IbnatRRKTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuoRKRFT23,
  author       = {Rui Guo and
                  M. Sazadur Rahman and
                  Hadi Mardani Kamali and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {EvoLUTe: Evaluation of Look-Up-Table-based Fine-Grained {IP} Redaction},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137322},
  doi          = {10.23919/DATE56975.2023.10137322},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GuoRKRFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HossainVARFT23,
  author       = {Muhammad Monir Hossain and
                  Arash Vafaei and
                  Kimia Zamiri Azar and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {SoCFuzzer: SoC Vulnerability Detection using Cost Function enabled
                  Fuzz Testing},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137024},
  doi          = {10.23919/DATE56975.2023.10137024},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/HossainVARFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KamaliAFT23,
  author       = {Hadi Mardani Kamali and
                  Kimia Zamiri Azar and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {SheLL: Shrinking eFPGA Fabrics for Logic Locking},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137211},
  doi          = {10.23919/DATE56975.2023.10137211},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KamaliAFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MuttakiSKRTF23,
  author       = {Md Rafid Muttaki and
                  Shyvagata Saha and
                  Hadi Mardani Kamali and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {RTLock: {IP} Protection using Scan-Aware Logic Locking at {RTL}},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137136},
  doi          = {10.23919/DATE56975.2023.10137136},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MuttakiSKRTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RajendranTHKFT23,
  author       = {Sree Ranjani Rajendran and
                  Shams Tarek and
                  Benjamin M. Hicks and
                  Hadi Mardani Kamali and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {HUnTer: Hardware Underneath Trigger for Exploiting SoC-level Vulnerabilities},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137139},
  doi          = {10.23919/DATE56975.2023.10137139},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/RajendranTHKFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ShaikhMAFTR23,
  author       = {Hasan Al Shaikh and
                  Mohammad Bin Monjil and
                  Kimia Zamiri Azar and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor and
                  Fahim Rahman},
  editor       = {Luca Cassano and
                  Mihalis Psarakis and
                  Marcello Traiola and
                  Alberto Bosio},
  title        = {QuardTropy: Detecting and Quantifying Unauthorized Information Leakage
                  in Hardware Designs using g-entropy},
  booktitle    = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI}
                  and Nanotechnology Systems, {DFT} 2023, Juan-Les-Pins, France, October
                  3-5, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DFT59622.2023.10313574},
  doi          = {10.1109/DFT59622.2023.10313574},
  timestamp    = {Tue, 21 Nov 2023 12:38:06 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ShaikhMAFTR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/DasRAARTF23,
  author       = {Upoma Das and
                  M. Sazadur Rahman and
                  N. Nalla Anandakumar and
                  Kimia Zamiri Azar and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {PSC-Watermark: Power Side Channel Based {IP} Watermarking Using Clock
                  Gates},
  booktitle    = {{IEEE} European Test Symposium, {ETS} 2023, Venezia, Italy, May 22-26,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ETS56758.2023.10174052},
  doi          = {10.1109/ETS56758.2023.10174052},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/DasRAARTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/ZhangTF23,
  author       = {Tao Zhang and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {BitFREE: On Significant Speedup and Security Applications of {FPGA}
                  Bitstream Format Reverse Engineering},
  booktitle    = {{IEEE} European Test Symposium, {ETS} 2023, Venezia, Italy, May 22-26,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ETS56758.2023.10174155},
  doi          = {10.1109/ETS56758.2023.10174155},
  timestamp    = {Fri, 14 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/ZhangTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Tehranipoor23,
  author       = {Mark M. Tehranipoor},
  editor       = {Himanshu Thapliyal and
                  Ronald F. DeMara and
                  Inna Partin{-}Vaisband and
                  Srinivas Katkoori},
  title        = {Microelectronics Security in {CHIPS} Era},
  booktitle    = {Proceedings of the Great Lakes Symposium on {VLSI} 2023, {GLSVLSI}
                  2023, Knoxville, TN, USA, June 5-7, 2023},
  pages        = {229},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3583781.3592464},
  doi          = {10.1145/3583781.3592464},
  timestamp    = {Thu, 15 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Tehranipoor23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/BaiPTF23,
  author       = {Yunkai Bai and
                  Jungmin Park and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Dual Channel EM/Power Attack Using Mutual Information and its Real-time
                  Implementation},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2023, San Jose, CA, USA, May 1-4, 2023},
  pages        = {133--143},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/HOST55118.2023.10133261},
  doi          = {10.1109/HOST55118.2023.10133261},
  timestamp    = {Mon, 11 Sep 2023 17:53:23 +0200},
  biburl       = {https://dblp.org/rec/conf/host/BaiPTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/VolyaZAT023,
  author       = {Daniel Volya and
                  Tao Zhang and
                  Nashmin Alam and
                  Mark M. Tehranipoor and
                  Prabhat Mishra},
  title        = {Towards Secure Classical-Quantum Systems},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2023, San Jose, CA, USA, May 1-4, 2023},
  pages        = {283--292},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/HOST55118.2023.10133344},
  doi          = {10.1109/HOST55118.2023.10133344},
  timestamp    = {Mon, 11 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/VolyaZAT023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HossainDARFT23,
  author       = {Muhammad Monir Hossain and
                  Nusrat Farzana Dipu and
                  Kimia Zamiri Azar and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {TaintFuzzer: SoC Security Verification using Taint Inference-enabled
                  Fuzzing},
  booktitle    = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD}
                  2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCAD57390.2023.10323726},
  doi          = {10.1109/ICCAD57390.2023.10323726},
  timestamp    = {Wed, 03 Jan 2024 08:34:26 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HossainDARFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KibriaFT23,
  author       = {Rasheed Kibria and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{ARC-FSM-G:} Automatic Security Rule Checking for Finite State Machine
                  at the Netlist Abstraction},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA,
                  October 7-15, 2023},
  pages        = {320--329},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ITC51656.2023.00049},
  doi          = {10.1109/ITC51656.2023.00049},
  timestamp    = {Tue, 09 Jan 2024 17:03:11 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KibriaFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AftabjahaniTFAKRMRFWVBSD23,
  author       = {Sohrab Aftabjahani and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi and
                  Bulbul Ahmed and
                  Ryan Kastner and
                  Francesco Restuccia and
                  Andres Meza and
                  Kaki Ryan and
                  Nicole Fern and
                  Jasper Van Woudenberg and
                  Rajesh Velegalati and
                  Cees{-}Bart Breunesse and
                  Cynthia Sturton and
                  Calvin Deutschbein},
  title        = {Special Session: {CAD} for Hardware Security - Promising Directions
                  for Automation of Security Assurance},
  booktitle    = {41st {IEEE} {VLSI} Test Symposium, {VTS} 2023, San Diego, CA, USA,
                  April 24-26, 2023},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VTS56346.2023.10140100},
  doi          = {10.1109/VTS56346.2023.10140100},
  timestamp    = {Mon, 08 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/AftabjahaniTFAKRMRFWVBSD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/RahmanRKBRCRTF23,
  author       = {Mridha Md Mashahedur Rahman and
                  M. Sazadur Rahman and
                  Rasheed Kibria and
                  Mike Borza and
                  Bandy Reddy and
                  Adam Cron and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {{CAPEC:} {A} Cellular Automata Guided FSM-based {IP} Authentication
                  Scheme},
  booktitle    = {41st {IEEE} {VLSI} Test Symposium, {VTS} 2023, San Diego, CA, USA,
                  April 24-26, 2023},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VTS56346.2023.10140093},
  doi          = {10.1109/VTS56346.2023.10140093},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/RahmanRKBRCRTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2310-06046,
  author       = {Dipayan Saha and
                  Shams Tarek and
                  Katayoon Yahyaei and
                  Sujan Kumar Saha and
                  Jingbo Zhou and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {{LLM} for SoC Security: {A} Paradigm Shift},
  journal      = {CoRR},
  volume       = {abs/2310.06046},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2310.06046},
  doi          = {10.48550/ARXIV.2310.06046},
  eprinttype    = {arXiv},
  eprint       = {2310.06046},
  timestamp    = {Tue, 24 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-06046.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KibriaFT23,
  author       = {Rasheed Kibria and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{ARC-FSM-G:} Automatic Security Rule Checking for Finite State Machine
                  at the Netlist Abstraction},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1037},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/1037},
  timestamp    = {Fri, 04 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/KibriaFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/SahaTYSZTF23,
  author       = {Dipayan Saha and
                  Shams Tarek and
                  Katayoon Yahyaei and
                  Sujan Kumar Saha and
                  Jingbo Zhou and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {{LLM} for SoC Security: {A} Paradigm Shift},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1561},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/1561},
  timestamp    = {Thu, 09 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/SahaTYSZTF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ShuvoZFT23,
  author       = {Amit Mazumder Shuvo and
                  Tao Zhang and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {A Comprehensive Survey on Non-Invasive Fault Injection Attacks},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1769},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/1769},
  timestamp    = {Fri, 08 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ShuvoZFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/diot/BaiPTF22,
  author       = {Yunkai Bai and
                  Jungmin Park and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Real-time instruction-level verification of remote IoT/CPS devices
                  via side channels},
  journal      = {Discov. Internet Things},
  volume       = {2},
  number       = {1},
  year         = {2022},
  url          = {https://doi.org/10.1007/s43926-022-00021-2},
  doi          = {10.1007/S43926-022-00021-2},
  timestamp    = {Wed, 23 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/diot/BaiPTF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/HeGTVJ22,
  author       = {Jiaji He and
                  Xiaolong Guo and
                  Mark M. Tehranipoor and
                  Apostol Vassilev and
                  Yier Jin},
  title        = {{EM} Side Channels in Hardware Security: Attacks and Defenses},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {2},
  pages        = {100--111},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2021.3135324},
  doi          = {10.1109/MDAT.2021.3135324},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/HeGTVJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/KhanXKRTA22,
  author       = {M. Shafkat M. Khan and
                  Chengjie Xi and
                  Aslam A. Khan and
                  M. Tanjidur Rahman and
                  Mark M. Tehranipoor and
                  Navid Asadizanjani},
  title        = {Secure Interposer-Based Heterogeneous Integration},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {6},
  pages        = {156--164},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3151020},
  doi          = {10.1109/MDAT.2022.3151020},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/KhanXKRTA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BiswasLRTA22,
  author       = {Liton Kumar Biswas and
                  Leonidas Lavdas and
                  M. Tanjidur Rahman and
                  Mark M. Tehranipoor and
                  Navid Asadizanjani},
  title        = {On Backside Probing Techniques and Their Emerging Security Threats},
  journal      = {{IEEE} Des. Test},
  volume       = {39},
  number       = {6},
  pages        = {172--179},
  year         = {2022},
  url          = {https://doi.org/10.1109/MDAT.2022.3185797},
  doi          = {10.1109/MDAT.2022.3185797},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/BiswasLRTA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/PundirACTF22,
  author       = {Nitin Pundir and
                  Sohrab Aftabjahani and
                  Rosario Cammarota and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {Analyzing Security Vulnerabilities Induced by High-level Synthesis},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {18},
  number       = {3},
  pages        = {47:1--47:22},
  year         = {2022},
  url          = {https://doi.org/10.1145/3492345},
  doi          = {10.1145/3492345},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/PundirACTF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangLRTF22,
  author       = {Huanyu Wang and
                  Henian Li and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {SoFI: Security Property-Driven Vulnerability Assessments of ICs Against
                  Fault-Injection Attacks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {3},
  pages        = {452--465},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3063998},
  doi          = {10.1109/TCAD.2021.3063998},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangLRTF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/VashisthaLSWAT22,
  author       = {Nidish Vashistha and
                  Hangwei Lu and
                  Qihang Shi and
                  Damon L. Woodard and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor},
  title        = {Detecting Hardware Trojans Using Combined Self-Testing and Imaging},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {6},
  pages        = {1730--1743},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3098740},
  doi          = {10.1109/TCAD.2021.3098740},
  timestamp    = {Thu, 02 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/VashisthaLSWAT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SternWRFT22,
  author       = {Andrew Stern and
                  Huanyu Wang and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{ACED-IT:} Assuring Confidential Electronic Design Against Insider
                  Threats in a Zero-Trust Environment},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {10},
  pages        = {3202--3215},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3127864},
  doi          = {10.1109/TCAD.2021.3127864},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/SternWRFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tce/VashisthaHSFRT22,
  author       = {Nidish Vashistha and
                  Muhammad Monir Hossain and
                  Md Rakib Shahriar and
                  Farimah Farahmandi and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {eChain: {A} Blockchain-Enabled Ecosystem for Electronic Device Authenticity
                  Verification},
  journal      = {{IEEE} Trans. Consumer Electron.},
  volume       = {68},
  number       = {1},
  pages        = {23--37},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCE.2021.3139090},
  doi          = {10.1109/TCE.2021.3139090},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tce/VashisthaHSFRT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/BaiSPTF22,
  author       = {Yunkai Bai and
                  Andrew Stern and
                  Jungmin Park and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {RASCv2: Enabling Remote Access to Side-Channels for Mission Critical
                  and IoT Systems},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {27},
  number       = {6},
  pages        = {65:1--65:25},
  year         = {2022},
  url          = {https://doi.org/10.1145/3524123},
  doi          = {10.1145/3524123},
  timestamp    = {Sun, 15 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/BaiSPTF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PundirPFT22,
  author       = {Nitin Pundir and
                  Jungmin Park and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Power Side-Channel Leakage Assessment Framework at Register-Transfer
                  Level},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {30},
  number       = {9},
  pages        = {1207--1218},
  year         = {2022},
  url          = {https://doi.org/10.1109/TVLSI.2022.3175067},
  doi          = {10.1109/TVLSI.2022.3175067},
  timestamp    = {Thu, 22 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PundirPFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RahmanGKRFAT22,
  author       = {M. Sazadur Rahman and
                  Rui Guo and
                  Hadi Mardani Kamali and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mohamed Abdel{-}Moneum and
                  Mark M. Tehranipoor},
  editor       = {Rob Oshana},
  title        = {O'clock: lock the clock via clock-gating for SoC {IP} protection},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {775--780},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530542},
  doi          = {10.1145/3489517.3530542},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RahmanGKRFAT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MehtaMFT22,
  author       = {Dhwani Mehta and
                  Nurun N. Mondol and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {{AIME:} Watermarking {AI} Models by Leveraging Errors},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {304--309},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774656},
  doi          = {10.23919/DATE54114.2022.9774656},
  timestamp    = {Wed, 25 May 2022 22:56:19 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MehtaMFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/PundirLLCFT22,
  author       = {Nitin Pundir and
                  Henian Li and
                  Lang Lin and
                  Norman Chang and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Security Properties Driven Pre-Silicon Laser Fault Injection Assessment},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2022, McLean, VA, USA, June 27-30, 2022},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HOST54066.2022.9840109},
  doi          = {10.1109/HOST54066.2022.9840109},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/PundirLLCFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/AzarKFT22,
  author       = {Kimia Zamiri Azar and
                  Hadi Mardani Kamali and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Warm Up before Circuit De-obfuscation? An Exploration through Bounded-Model-Checkers},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2022, McLean, VA, USA, June 27-30, 2022},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HOST54066.2022.9840134},
  doi          = {10.1109/HOST54066.2022.9840134},
  timestamp    = {Mon, 15 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/AzarKFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/MuttakiZTF22,
  author       = {Md Rafid Muttaki and
                  Tao Zhang and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {{FTC:} {A} Universal Sensor for Fault Injection Attack Detection},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2022, McLean, VA, USA, June 27-30, 2022},
  pages        = {117--120},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HOST54066.2022.9840177},
  doi          = {10.1109/HOST54066.2022.9840177},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/MuttakiZTF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ShuvoPPFT22,
  author       = {Amit Mazumder Shuvo and
                  Nitin Pundir and
                  Jungmin Park and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{LDTFI:} Layout-aware Timing Fault-Injection Attack Assessment Against
                  Differential Fault Analysis},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2022, Nicosia,
                  Cyprus, July 4-6, 2022},
  pages        = {134--139},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISVLSI54635.2022.00036},
  doi          = {10.1109/ISVLSI54635.2022.00036},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ShuvoPPFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DasMTF22,
  author       = {Upoma Das and
                  Md Rafid Muttaki and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {{ADWIL:} {A} Zero-Overhead Analog Device Watermarking Using Inherent
                  {IP} Features},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA,
                  September 23-30, 2022},
  pages        = {155--164},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITC50671.2022.00023},
  doi          = {10.1109/ITC50671.2022.00023},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/DasMTF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KibriaRFT22,
  author       = {Rasheed Kibria and
                  M. Sazadur Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {RTL-FSMx: Fast and Accurate Finite State Machine Extraction at the
                  {RTL} for Security Applications},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA,
                  September 23-30, 2022},
  pages        = {165--174},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITC50671.2022.00024},
  doi          = {10.1109/ITC50671.2022.00024},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KibriaRFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KibriaFFT22,
  author       = {Rasheed Kibria and
                  Nusrat Farzana and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {FSMx: Finite State Machine Extraction from Flattened Netlist With
                  Application to Security},
  booktitle    = {40th {IEEE} {VLSI} Test Symposium, {VTS} 2022, San Diego, CA, USA,
                  April 25-27, 2022},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VTS52500.2021.9794151},
  doi          = {10.1109/VTS52500.2021.9794151},
  timestamp    = {Wed, 22 Jun 2022 15:24:48 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/KibriaFFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@misc{DBLP:data/10/SalmaniTSW22,
  author       = {Hassan Salmani and
                  Mark M. Tehranipoor and
                  Sarwono Sutikno and
                  Fajar Wijitrisnanto},
  title        = {Trust-Hub Trojan Benchmark for Hardware Trojan Detection Model Creation
                  using Machine Learning},
  publisher    = {{IEEE} DataPort},
  year         = {2022},
  month        = sep,
  howpublished = {\url{https://doi.org/10.21227/px6s-sm21}},
  note         = {Accessed on YYYY-MM-DD.},
  url          = {https://doi.org/10.21227/px6s-sm21},
  doi          = {10.21227/PX6S-SM21},
  timestamp    = {Wed, 15 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/data/10/SalmaniTSW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2202-08414,
  author       = {Nathan Jessurun and
                  Olivia P. Dizon{-}Paradis and
                  Jacob Harrison and
                  Shajib Ghosh and
                  Mark M. Tehranipoor and
                  Damon L. Woodard and
                  Navid Asadizanjani},
  title        = {{FPIC:} {A} Novel Semantic Dataset for Optical {PCB} Assurance},
  journal      = {CoRR},
  volume       = {abs/2202.08414},
  year         = {2022},
  url          = {https://arxiv.org/abs/2202.08414},
  eprinttype    = {arXiv},
  eprint       = {2202.08414},
  timestamp    = {Tue, 01 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2202-08414.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2204-07909,
  author       = {Bulbul Ahmed and
                  Md Kawser Bepary and
                  Nitin Pundir and
                  Mike Borza and
                  Oleg Raikhman and
                  Amit Garg and
                  Dale R. Donchin and
                  Adam Cron and
                  Mohamed Abdel{-}Moneum and
                  Farimah Farahmandi and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {Quantifiable Assurance: From IPs to Platforms},
  journal      = {CoRR},
  volume       = {abs/2204.07909},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2204.07909},
  doi          = {10.48550/ARXIV.2204.07909},
  eprinttype    = {arXiv},
  eprint       = {2204.07909},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2204-07909.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2205-10962,
  author       = {Hasan Al Shaikh and
                  Mohammad Bin Monjil and
                  Shigang Chen and
                  Navid Asadizanjani and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor and
                  Fahim Rahman},
  title        = {Digital Twin for Secure Semiconductor Lifecycle Management: Prospects
                  and Applications},
  journal      = {CoRR},
  volume       = {abs/2205.10962},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2205.10962},
  doi          = {10.48550/ARXIV.2205.10962},
  eprinttype    = {arXiv},
  eprint       = {2205.10962},
  timestamp    = {Mon, 30 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2205-10962.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/AnandakumarRRKD22,
  author       = {N. Nalla Anandakumar and
                  M. Sazadur Rahman and
                  Mridha Md Mashahedur Rahman and
                  Rasheed Kibria and
                  Upoma Das and
                  Farimah Farahmandi and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {Rethinking Watermark: Providing Proof of {IP} Ownership in Modern
                  SoCs},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {92},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/092},
  timestamp    = {Fri, 18 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/AnandakumarRRKD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ShaikhMCFATR22,
  author       = {Hasan Al Shaikh and
                  Mohammad Bin Monjil and
                  Shigang Chen and
                  Farimah Farahmandi and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor and
                  Fahim Rahman},
  title        = {Digital Twin for Secure Semiconductor Lifecycle Management: Prospects
                  and Applications},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {258},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/258},
  timestamp    = {Tue, 22 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ShaikhMCFATR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KamaliAFT22,
  author       = {Hadi Mardani Kamali and
                  Kimia Zamiri Azar and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Advances in Logic Locking: Past, Present, and Prospects},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {260},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/260},
  timestamp    = {Tue, 22 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/KamaliAFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/AzarHVSMRTF22,
  author       = {Kimia Zamiri Azar and
                  Muhammad Monir Hossain and
                  Arash Vafaei and
                  Hasan Al Shaikh and
                  Nurun N. Mondol and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {Fuzz, Penetration, and {AI} Testing for SoC Security Verification:
                  Challenges and Solutions},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {394},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/394},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/AzarHVSMRTF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ParkASMPRFT22,
  author       = {Jungmin Park and
                  N. Nalla Anandakumar and
                  Dipayan Saha and
                  Dhwani Mehta and
                  Nitin Pundir and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{PQC-SEP:} Power Side-channel Evaluation Platform for Post-Quantum
                  Cryptography Algorithms},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {527},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/527},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ParkASMPRFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/DeyPPSSMARFT22,
  author       = {Sukanta Dey and
                  Jungmin Park and
                  Nitin Pundir and
                  Dipayan Saha and
                  Amit Mazumder Shuvo and
                  Dhwani Mehta and
                  Navid Asadi and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Secure Physical Design},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {891},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/891},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/DeyPPSSMARFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/MehtaTDJWAT22,
  author       = {Dhwani Mehta and
                  John True and
                  Olivia P. Dizon{-}Paradis and
                  Nathan Jessurun and
                  Damon L. Woodard and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor},
  title        = {{FICS} {PCB} X-ray: {A} dataset for automated printed circuit board
                  inter-layers inspection},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {924},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/924},
  timestamp    = {Tue, 27 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/MehtaTDJWAT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/VashisthaRHUSSC22,
  author       = {Nidish Vashistha and
                  Md Latifur Rahman and
                  Md. Saad Ul Haque and
                  Azim Uddin and
                  Md Sami Ul Islam Sami and
                  Amit Mazumder Shuo and
                  Paul Calzada and
                  Farimah Farahmandi and
                  Navid Asadizanjani and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {ToSHI - Towards Secure Heterogeneous Integration: Security Risks,
                  Threat Assessment, and Assurance},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {984},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/984},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/VashisthaRHUSSC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/KibriaFT22,
  author       = {Rasheed Kibria and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {FSMx-Ultra: Finite State Machine Extraction from Gate-Level Netlist
                  for Security Assessment},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1582},
  year         = {2022},
  url          = {https://eprint.iacr.org/2022/1582},
  timestamp    = {Thu, 02 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/KibriaFT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/HarrisonAT21,
  author       = {Jacob Harrison and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor},
  title        = {On malicious implants in PCBs throughout the supply chain},
  journal      = {Integr.},
  volume       = {79},
  pages        = {12--22},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2021.03.002},
  doi          = {10.1016/J.VLSI.2021.03.002},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/HarrisonAT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/AnandakumarHT21,
  author       = {N. Nalla Anandakumar and
                  Mohammad S. Hashmi and
                  Mark M. Tehranipoor},
  title        = {FPGA-based Physical Unclonable Functions: {A} comprehensive overview
                  of theory and architectures},
  journal      = {Integr.},
  volume       = {81},
  pages        = {175--194},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2021.06.001},
  doi          = {10.1016/J.VLSI.2021.06.001},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/AnandakumarHT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jce/GanjiTSSTF21,
  author       = {Fatemeh Ganji and
                  Shahin Tajik and
                  Pascal Stauss and
                  Jean{-}Pierre Seifert and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Rock'n'roll PUFs: crafting provably secure pufs from less secure ones
                  (extended version)},
  journal      = {J. Cryptogr. Eng.},
  volume       = {11},
  number       = {2},
  pages        = {105--118},
  year         = {2021},
  url          = {https://doi.org/10.1007/s13389-020-00226-7},
  doi          = {10.1007/S13389-020-00226-7},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jce/GanjiTSSTF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/RahmanFMTTA21,
  author       = {M. Tanjidur Rahman and
                  Nusrat Farzana and
                  Dhwani Mehta and
                  Shahin Tajik and
                  Mark M. Tehranipoor and
                  Navid Asadizanjani},
  title        = {CONCEALING-Gate: Optical Contactless Probing Resilient Design},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {17},
  number       = {3},
  pages        = {39:1--39:25},
  year         = {2021},
  url          = {https://doi.org/10.1145/3446998},
  doi          = {10.1145/3446998},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/RahmanFMTTA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/BoteroWLRMGATWF21,
  author       = {Ulbert J. Botero and
                  Ronald Wilson and
                  Hangwei Lu and
                  Mir Tanjidur Rahman and
                  Mukhil A. Mallaiyan and
                  Fatemeh Ganji and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor and
                  Damon L. Woodard and
                  Domenic Forte},
  title        = {Hardware Trust and Assurance through Reverse Engineering: {A} Tutorial
                  and Outlook from Image Analysis and Machine Learning Perspectives},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {17},
  number       = {4},
  pages        = {62:1--62:53},
  year         = {2021},
  url          = {https://doi.org/10.1145/3464959},
  doi          = {10.1145/3464959},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/BoteroWLRMGATWF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/ParkFTM21,
  author       = {Beomsoo Park and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Nima Maghari},
  title        = {A Metal-Via Resistance Based Physically Unclonable Function With Backend
                  Incremental {ADC}},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {68},
  number       = {11},
  pages        = {4700--4709},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSI.2021.3105907},
  doi          = {10.1109/TCSI.2021.3105907},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/ParkFTM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/RahmanNRFPFFT21,
  author       = {M. Sazadur Rahman and
                  Adib Nahiyan and
                  Fahim Rahman and
                  Saverio Fazzari and
                  Kenneth Plaks and
                  Farimah Farahmandi and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Security Assessment of Dynamically Obfuscated Scan Chain Against Oracle-guided
                  Attacks},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {26},
  number       = {4},
  pages        = {29:1--29:27},
  year         = {2021},
  url          = {https://doi.org/10.1145/3444960},
  doi          = {10.1145/3444960},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/RahmanNRFPFFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MuttakiMTF21,
  author       = {Md Rafid Muttaki and
                  Roshanak Mohammadivojdan and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {HLock: Locking IPs at the High-Level Language},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {79--84},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586159},
  doi          = {10.1109/DAC18074.2021.9586159},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/MuttakiMTF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangPTF21,
  author       = {Tao Zhang and
                  Jungmin Park and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {{PSC-TG:} {RTL} Power Side-Channel Leakage Assessment with Test Pattern
                  Generation},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {709--714},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586210},
  doi          = {10.1109/DAC18074.2021.9586210},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangPTF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SamiRFCBT21,
  author       = {Md Sami Ul Islam Sami and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Adam Cron and
                  Mike Borza and
                  Mark M. Tehranipoor},
  title        = {Invited: End-to-End Secure SoC Lifecycle Management},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {1295--1298},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586106},
  doi          = {10.1109/DAC18074.2021.9586106},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SamiRFCBT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HossainFTR21,
  author       = {Muhammad Monir Hossain and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor and
                  Fahim Rahman},
  title        = {{BOFT:} Exploitable Buffer Overflow Detection by Information Flow
                  Tracking},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1126--1129},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474045},
  doi          = {10.23919/DATE51398.2021.9474045},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HossainFTR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/FarmaniTR21,
  author       = {Mohammad Farmani and
                  Mark M. Tehranipoor and
                  Fahim Rahman},
  title        = {{RHAT:} Efficient RowHammer-Aware Test for Modern {DRAM} Modules},
  booktitle    = {26th {IEEE} European Test Symposium, {ETS} 2021, Bruges, Belgium,
                  May 24-28, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ETS50041.2021.9465436},
  doi          = {10.1109/ETS50041.2021.9465436},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/FarmaniTR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/SamiRCDBFT21,
  author       = {Md Sami Ul Islam Sami and
                  Fahim Rahman and
                  Adam Cron and
                  Dale R. Donchin and
                  Mike Borza and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{POCA:} First Power-on Chip Authentication in Untrusted Foundry and
                  Assembly},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2021, Tysons Corner, VA, USA, December 12-15, 2021},
  pages        = {124--135},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/HOST49136.2021.9702285},
  doi          = {10.1109/HOST49136.2021.9702285},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/SamiRCDBFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AhmedRHFT21,
  author       = {Bulbul Ahmed and
                  Fahim Rahman and
                  Nick Hooten and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {AutoMap: Automated Mapping of Security Properties Between Different
                  Levels of Abstraction in Design Flow},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643467},
  doi          = {10.1109/ICCAD51958.2021.9643467},
  timestamp    = {Tue, 28 Dec 2021 12:29:05 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AhmedRHFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PundirFT21,
  author       = {Nitin Pundir and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {Secure High-Level Synthesis: Challenges and Solutions},
  booktitle    = {22nd International Symposium on Quality Electronic Design, {ISQED}
                  2021, Santa Clara, CA, USA, April 7-9, 2021},
  pages        = {164--171},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISQED51717.2021.9424365},
  doi          = {10.1109/ISQED51717.2021.9424365},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PundirFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/HossainMVAAFRT21,
  author       = {Muhammad Monir Hossain and
                  Sajeed Mohammad and
                  Jason Vosatka and
                  Jeffery S. Allen and
                  Monica Allen and
                  Farimah Farahmandi and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {{HEXON:} Protecting Firmware Using Hardware-Assisted Execution-Level
                  Obfuscation},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2021, Tampa,
                  FL, USA, July 7-9, 2021},
  pages        = {343--349},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISVLSI51109.2021.00069},
  doi          = {10.1109/ISVLSI51109.2021.00069},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/HossainMVAAFRT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/RahmanLGRFT21,
  author       = {M. Sazadur Rahman and
                  Henian Li and
                  Rui Guo and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{LL-ATPG:} Logic-Locking Aware Test Using Valet Keys in an Untrusted
                  Environment},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA,
                  October 10-15, 2021},
  pages        = {180--189},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ITC50571.2021.00026},
  doi          = {10.1109/ITC50571.2021.00026},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/RahmanLGRFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/VafaeiHTF21,
  author       = {Arash Vafaei and
                  Nick Hooten and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {SymbA: Symbolic Execution at C-level for Hardware Trojan Activation},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA,
                  October 10-15, 2021},
  pages        = {223--232},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ITC50571.2021.00031},
  doi          = {10.1109/ITC50571.2021.00031},
  timestamp    = {Fri, 10 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/VafaeiHTF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AftabjahaniKTFO21,
  author       = {Sohrab Aftabjahani and
                  Ryan Kastner and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi and
                  Jason Oberg and
                  Anders Nordstrom and
                  Nicole Fern and
                  Alric Althoff},
  title        = {Special Session: {CAD} for Hardware Security - Automation is Key to
                  Adoption of Solutions},
  booktitle    = {39th {IEEE} {VLSI} Test Symposium, {VTS} 2021, San Diego, CA, USA,
                  April 25-28, 2021},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/VTS50974.2021.9441032},
  doi          = {10.1109/VTS50974.2021.9441032},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/AftabjahaniKTFO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/FarzanaARFT21,
  author       = {Nusrat Farzana and
                  Avinash Ayalasomayajula and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{SAIF:} Automated Asset Identification for Security Verification at
                  the Register Transfer Level},
  booktitle    = {39th {IEEE} {VLSI} Test Symposium, {VTS} 2021, San Diego, CA, USA,
                  April 25-28, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/VTS50974.2021.9441039},
  doi          = {10.1109/VTS50974.2021.9441039},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/FarzanaARFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/PundirRFT21,
  author       = {Nitin Pundir and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {What is All the FaaS About? - Remote Exploitation of FPGA-as-a-Service
                  Platforms},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {746},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/746},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/PundirRFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/FarzanaFT21,
  author       = {Nusrat Farzana and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {SoC Security Properties and Rules},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1014},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/1014},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/FarzanaFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RahmanFT21,
  author       = {Fahim Rahman and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {An End-to-End Bitstream Tamper Attack Against Flip-Chip FPGAs},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1542},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/1542},
  timestamp    = {Fri, 10 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RahmanFT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/AhmedBPBRGDCAFR21,
  author       = {Bulbul Ahmed and
                  Md Kawser Bepary and
                  Nitin Pundir and
                  Mike Borza and
                  Oleg Raikhman and
                  Amit Garg and
                  Dale R. Donchin and
                  Adam Cron and
                  Mohamed A. Abdelmoneum and
                  Farimah Farahmandi and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {Quantifiable Assurance: From IPs to Platforms},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1654},
  year         = {2021},
  url          = {https://eprint.iacr.org/2021/1654},
  timestamp    = {Thu, 13 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/AhmedBPBRGDCAFR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/RahmanRWTKFFAT20,
  author       = {M. Tanjidur Rahman and
                  M. Sazadur Rahman and
                  Huanyu Wang and
                  Shahin Tajik and
                  Waleed Khalil and
                  Farimah Farahmandi and
                  Domenic Forte and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor},
  title        = {Defense-in-depth: {A} recipe for logic locking to prevail},
  journal      = {Integr.},
  volume       = {72},
  pages        = {39--57},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.vlsi.2019.12.007},
  doi          = {10.1016/J.VLSI.2019.12.007},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/RahmanRWTKFFAT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ParkRVFT20,
  author       = {Jungmin Park and
                  Fahim Rahman and
                  Apostol Vassilev and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Leveraging Side-Channel Information for Disassembly and Security},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {16},
  number       = {1},
  pages        = {6:1--6:21},
  year         = {2020},
  url          = {https://doi.org/10.1145/3359621},
  doi          = {10.1145/3359621},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/ParkRVFT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/GuoCTF20,
  author       = {Zimu Guo and
                  Sreeja Chowdhury and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Permutation Network De-obfuscation: {A} Delay-based Attack and Countermeasure
                  Investigation},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {16},
  number       = {2},
  pages        = {17:1--17:25},
  year         = {2020},
  url          = {https://doi.org/10.1145/3371407},
  doi          = {10.1145/3371407},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/GuoCTF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/MehtaLPSRICWTA20,
  author       = {Dhwani Mehta and
                  Hangwei Lu and
                  Olivia P. Paradis and
                  Mukhil Azhagan Mallaiyan Sathiaseelan and
                  M. Tanjidur Rahman and
                  Yousef Iskander and
                  Praveen Chawla and
                  Damon L. Woodard and
                  Mark M. Tehranipoor and
                  Navid Asadizanjani},
  title        = {The Big Hack Explained: Detection and Prevention of {PCB} Supply Chain
                  Implants},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {16},
  number       = {4},
  pages        = {42:1--42:25},
  year         = {2020},
  url          = {https://doi.org/10.1145/3401980},
  doi          = {10.1145/3401980},
  timestamp    = {Sun, 30 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/MehtaLPSRICWTA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/AsadiT20,
  author       = {Navid Asadi and
                  Mark M. Tehranipoor},
  title        = {Special Issue: 2019 {PAINE} Conference - Physical Assurance and Inspection
                  of Electronics},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {4},
  number       = {1},
  pages        = {11--12},
  year         = {2020},
  url          = {https://doi.org/10.1007/s41635-020-00091-0},
  doi          = {10.1007/S41635-020-00091-0},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/AsadiT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangSNFT20,
  author       = {Huanyu Wang and
                  Qihang Shi and
                  Adib Nahiyan and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {A Physical Design Flow Against Front-Side Probing Attacks by Internal
                  Shielding},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {10},
  pages        = {2152--2165},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2952133},
  doi          = {10.1109/TCAD.2019.2952133},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/WangSNFT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tches/ShakyaXTF20,
  author       = {Bicky Shakya and
                  Xiaolin Xu and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {CAS-Lock: {A} Security-Corruptibility Trade-off Resilient Logic Locking
                  Scheme},
  journal      = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.},
  volume       = {2020},
  number       = {1},
  pages        = {175--202},
  year         = {2020},
  url          = {https://doi.org/10.13154/tches.v2020.i1.175-202},
  doi          = {10.13154/TCHES.V2020.I1.175-202},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tches/ShakyaXTF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/HoqueYKTFTB20,
  author       = {Tamzidul Hoque and
                  Kai Yang and
                  Robert Karam and
                  Shahin Tajik and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  title        = {Hidden in Plaintext: An Obfuscation-based Countermeasure against {FPGA}
                  Bitstream Tampering Attacks},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {25},
  number       = {1},
  pages        = {4:1--4:32},
  year         = {2020},
  url          = {https://doi.org/10.1145/3361147},
  doi          = {10.1145/3361147},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/HoqueYKTFTB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/NahiyanPHIFFT20,
  author       = {Adib Nahiyan and
                  Jungmin Park and
                  Miao Tony He and
                  Yousef Iskander and
                  Farimah Farahmandi and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{SCRIPT:} {A} {CAD} Framework for Power Side-channel Vulnerability
                  Assessment Using Information Flow Tracking and Pattern Generation},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {25},
  number       = {3},
  pages        = {26:1--26:27},
  year         = {2020},
  url          = {https://doi.org/10.1145/3383445},
  doi          = {10.1145/3383445},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/NahiyanPHIFFT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/AlamNSFT20,
  author       = {Md. Mahbub Alam and
                  Adib Nahiyan and
                  Mehdi Sadi and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Soft-HaT: Software-Based Silicon Reprogramming for Hardware Trojan
                  Implementation},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {25},
  number       = {4},
  pages        = {35:1--35:22},
  year         = {2020},
  url          = {https://doi.org/10.1145/3396521},
  doi          = {10.1145/3396521},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/AlamNSFT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YuWRT20,
  author       = {Liting Yu and
                  Xiaoxiao Wang and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {Interconnect-Based {PUF} With Signature Uniqueness Enhancement},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {2},
  pages        = {339--352},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2943686},
  doi          = {10.1109/TVLSI.2019.2943686},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YuWRT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/SternBRFT20,
  author       = {Andrew Stern and
                  Ulbert Botero and
                  Fahim Rahman and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{EMFORCED:} EM-Based Fingerprinting Framework for Remarked and Cloned
                  Counterfeit {IC} Detection Using Machine Learning Classification},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {2},
  pages        = {363--375},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2949733},
  doi          = {10.1109/TVLSI.2019.2949733},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/SternBRFT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ParkCLT20,
  author       = {Jungmin Park and
                  Seongjoon Cho and
                  Taejin Lim and
                  Mark M. Tehranipoor},
  title        = {{QEC:} {A} Quantum Entropy Chip and Its Applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {6},
  pages        = {1471--1484},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2020.2975091},
  doi          = {10.1109/TVLSI.2020.2975091},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ParkCLT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/Tehranipoor20,
  author       = {Mark M. Tehranipoor},
  editor       = {Chip{-}Hong Chang and
                  Ulrich R{\"{u}}hrmair and
                  Stefan Katzenbeisser and
                  Patrick Schaumont},
  title        = {The Pursuit of Happiness: Establishing Hardware Root-of-Trust for
                  Cyber Security},
  booktitle    = {Proceedings of the 4th {ACM} Workshop on Attacks and Solutions in
                  Hardware Security Workshop, ASHES@CCS 2020, Virtual Event, USA, November
                  13, 2020},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3411504.3421209},
  doi          = {10.1145/3411504.3421209},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/Tehranipoor20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/RahmanTRTA20,
  author       = {M. Tanjidur Rahman and
                  Shahin Tajik and
                  M. Sazadur Rahman and
                  Mark M. Tehranipoor and
                  Navid Asadizanjani},
  title        = {The Key is Left under the Mat: On the Inappropriate Security Assumption
                  of Logic Locking Schemes},
  booktitle    = {2020 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2020, San Jose, CA, USA, December 7-11, 2020},
  pages        = {262--272},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HOST45689.2020.9300258},
  doi          = {10.1109/HOST45689.2020.9300258},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/RahmanTRTA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SternMTFT20,
  author       = {Andrew Stern and
                  Dhwani Mehta and
                  Shahin Tajik and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{SPARTA:} {A} Laser Probing Approach for Trojan Detection},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC,
                  USA, November 1-6, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC44778.2020.9325222},
  doi          = {10.1109/ITC44778.2020.9325222},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SternMTFT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/LavdasRTA20,
  author       = {Leonidas Lavdas and
                  M. Tanjidur Rahman and
                  Mark M. Tehranipoor and
                  Navid Asadizanjani},
  title        = {On Optical Attacks Making Logic Obfuscation Fragile},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei,
                  Taiwan, September 23-25, 2020},
  pages        = {71--76},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC-Asia51099.2020.00024},
  doi          = {10.1109/ITC-ASIA51099.2020.00024},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc-asia/LavdasRTA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/DuncanNRSSLFT20,
  author       = {Adam Duncan and
                  Adib Nahiyan and
                  Fahim Rahman and
                  Grant Skipper and
                  Martin Swany and
                  Andrew Lukefahr and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {SeRFI: Secure Remote {FPGA} Initialization in an Untrusted Environment},
  booktitle    = {38th {IEEE} {VLSI} Test Symposium, {VTS} 2020, San Diego, CA, USA,
                  April 5-8, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VTS48691.2020.9107622},
  doi          = {10.1109/VTS48691.2020.9107622},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/DuncanNRSSLFT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2002-04210,
  author       = {Ulbert J. Botero and
                  Ronald Wilson and
                  Hangwei Lu and
                  Mir Tanjidur Rahman and
                  Mukhil Azhagan Mallaiyan Sathiaseelan and
                  Fatemeh Ganji and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor and
                  Damon L. Woodard and
                  Domenic Forte},
  title        = {Hardware Trust and Assurance through Reverse Engineering: {A} Survey
                  and Outlook from Image Analysis and Machine Learning Perspectives},
  journal      = {CoRR},
  volume       = {abs/2002.04210},
  year         = {2020},
  url          = {https://arxiv.org/abs/2002.04210},
  eprinttype    = {arXiv},
  eprint       = {2002.04210},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2002-04210.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-12248,
  author       = {Nitin Pundir and
                  Mark M. Tehranipoor and
                  Fahim Rahman},
  title        = {RanStop: {A} Hardware-assisted Runtime Crypto-Ransomware Detection
                  Technique},
  journal      = {CoRR},
  volume       = {abs/2011.12248},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.12248},
  eprinttype    = {arXiv},
  eprint       = {2011.12248},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-12248.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ShakyaXTF20,
  author       = {Bicky Shakya and
                  Xiaolin Xu and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Defeating CAS-Unlock},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {324},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/324},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ShakyaXTF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/LuMPATW20,
  author       = {Hangwei Lu and
                  Dhwani Mehta and
                  Olivia P. Paradis and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor and
                  Damon L. Woodard},
  title        = {{FICS-PCB:} {A} Multi-Modal Image Dataset for Automated Printed Circuit
                  Board Visual Inspection},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {366},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/366},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/LuMPATW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/KarimianTWF19,
  author       = {Nima Karimian and
                  Mark M. Tehranipoor and
                  Damon L. Woodard and
                  Domenic Forte},
  title        = {Unlock Your Heart: Next Generation Biometric in Resource-Constrained
                  Healthcare Systems and IoT},
  journal      = {{IEEE} Access},
  volume       = {7},
  pages        = {49135--49149},
  year         = {2019},
  url          = {https://doi.org/10.1109/ACCESS.2019.2910753},
  doi          = {10.1109/ACCESS.2019.2910753},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/KarimianTWF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BoteroTF19,
  author       = {Ulbert J. Botero and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Upgrade/Downgrade: Efficient and Secure Legacy Electronic System Replacement},
  journal      = {{IEEE} Des. Test},
  volume       = {36},
  number       = {1},
  pages        = {14--22},
  year         = {2019},
  url          = {https://doi.org/10.1109/MDAT.2018.2873446},
  doi          = {10.1109/MDAT.2018.2873446},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/BoteroTF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigmobile/GuinAT19,
  author       = {Ujjwal Guin and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor},
  title        = {Standards for Hardware Security},
  journal      = {GetMobile Mob. Comput. Commun.},
  volume       = {23},
  number       = {1},
  pages        = {5--9},
  year         = {2019},
  url          = {https://doi.org/10.1145/3351422.3351424},
  doi          = {10.1145/3351422.3351424},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigmobile/GuinAT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/NahiyanFMFT19,
  author       = {Adib Nahiyan and
                  Farimah Farahmandi and
                  Prabhat Mishra and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Security-Aware {FSM} Design Flow for Identifying and Mitigating Vulnerabilities
                  to Fault Attacks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {6},
  pages        = {1003--1016},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2834396},
  doi          = {10.1109/TCAD.2018.2834396},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/NahiyanFMFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShiTF19,
  author       = {Qihang Shi and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Obfuscated Built-In Self-Authentication With Secure and Efficient
                  Wire-Lifting},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {11},
  pages        = {1981--1994},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2877012},
  doi          = {10.1109/TCAD.2018.2877012},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ShiTF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tches/ShakyaSTF19,
  author       = {Bicky Shakya and
                  Hao{-}Ting Shen and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Covert Gates: Protecting Integrated Circuits with Undetectable Camouflaging},
  journal      = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.},
  volume       = {2019},
  number       = {3},
  pages        = {86--118},
  year         = {2019},
  url          = {https://doi.org/10.13154/tches.v2019.i3.86-118},
  doi          = {10.13154/TCHES.V2019.I3.86-118},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tches/ShakyaSTF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tdsc/ChangDRT19,
  author       = {Chip{-}Hong Chang and
                  Marten van Dijk and
                  Ulrich R{\"{u}}hrmair and
                  Mark M. Tehranipoor},
  title        = {Emerging Attacks and Solutions for Secure Hardware in the Internet
                  of Things},
  journal      = {{IEEE} Trans. Dependable Secur. Comput.},
  volume       = {16},
  number       = {3},
  pages        = {373--375},
  year         = {2019},
  url          = {https://doi.org/10.1109/TDSC.2019.2901048},
  doi          = {10.1109/TDSC.2019.2901048},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tdsc/ChangDRT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/XuRSVFT19,
  author       = {Xiaolin Xu and
                  Fahim Rahman and
                  Bicky Shakya and
                  Apostol Vassilev and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Electronics Supply Chain Integrity Enabled by Blockchain},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {24},
  number       = {3},
  pages        = {31:1--31:25},
  year         = {2019},
  url          = {https://doi.org/10.1145/3315571},
  doi          = {10.1145/3315571},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/XuRSVFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AliotoAABBCCCCC19,
  author       = {Massimo Alioto and
                  Magdy S. Abadir and
                  Tughrul Arslan and
                  Chirn Chye Boon and
                  Andreas Burg and
                  Chip{-}Hong Chang and
                  Meng{-}Fan Chang and
                  Yao{-}Wen Chang and
                  Poki Chen and
                  Pasquale Corsonello and
                  Paolo Crovetti and
                  Shiro Dosho and
                  Rolf Drechsler and
                  Ibrahim Abe M. Elfadel and
                  Ruonan Han and
                  Masanori Hashimoto and
                  Chun{-}Huat Heng and
                  Deukhyoun Heo and
                  Tsung{-}Yi Ho and
                  Houman Homayoun and
                  Yuh{-}Shyan Hwang and
                  Ajay Joshi and
                  Rajiv V. Joshi and
                  Tanay Karnik and
                  Chulwoo Kim and
                  Tony Tae{-}Hyoung Kim and
                  Jaydeep Kulkarni and
                  Volkan Kursun and
                  Yoonmyung Lee and
                  Hai Helen Li and
                  Huawei Li and
                  Prabhat Mishra and
                  Baker Mohammad and
                  Mehran Mozaffari Kermani and
                  Makoto Nagata and
                  Koji Nii and
                  Partha Pratim Pande and
                  Bipul C. Paul and
                  Vasilis F. Pavlidis and
                  Jos{\'{e}} Pineda de Gyvez and
                  Ioannis Savidis and
                  Patrick Schaumont and
                  Fabio Sebastiano and
                  Anirban Sengupta and
                  Mingoo Seok and
                  Mircea R. Stan and
                  Mark M. Tehranipoor and
                  Aida Todri{-}Sanial and
                  Marian Verhelst and
                  Valerio Vignoli and
                  Xiaoqing Wen and
                  Jiang Xu and
                  Wei Zhang and
                  Zhengya Zhang and
                  Jun Zhou and
                  Mark Zwolinski and
                  Stacey Weber},
  title        = {Editorial {TVLSI} Positioning - Continuing and Accelerating an Upward
                  Trajectory},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {2},
  pages        = {253--280},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2018.2886389},
  doi          = {10.1109/TVLSI.2018.2886389},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AliotoAABBCCCCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangSFT19,
  author       = {Huanyu Wang and
                  Qihang Shi and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Probing Assessment Framework and Evaluation of Antiprobing Solutions},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {6},
  pages        = {1239--1252},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2901449},
  doi          = {10.1109/TVLSI.2019.2901449},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangSFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangHT19,
  author       = {Xiaoxiao Wang and
                  Yueying Han and
                  Mark M. Tehranipoor},
  title        = {System-Level Counterfeit Detection Using On-Chip Ring Oscillator Array},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2884--2896},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2930532},
  doi          = {10.1109/TVLSI.2019.2930532},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangHT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AlamTF19,
  author       = {Md. Mahbub Alam and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Recycled {FPGA} Detection Using Exhaustive {LUT} Path Delay Characterization
                  and Voltage Scaling},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2897--2910},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2933278},
  doi          = {10.1109/TVLSI.2019.2933278},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AlamTF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ParkTFM19,
  author       = {Beomsoo Park and
                  Mark M. Tehranipoor and
                  Domenic Forte and
                  Nima Maghari},
  title        = {A Metal-Via Resistance Based Physically Unclonable Function with 1.18{\%}
                  Native Instability},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2019, Austin,
                  TX, USA, April 14-17, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CICC.2019.8780135},
  doi          = {10.1109/CICC.2019.8780135},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/ParkTFM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fdtc/AlamTGTF19,
  author       = {Md. Mahbub Alam and
                  Shahin Tajik and
                  Fatemeh Ganji and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {RAM-Jam: Remote Temperature and Voltage Fault Attack on FPGAs using
                  Memory Collisions},
  booktitle    = {2019 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC}
                  2019, Atlanta, GA, USA, August 24, 2019},
  pages        = {48--55},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/FDTC.2019.00015},
  doi          = {10.1109/FDTC.2019.00015},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fdtc/AlamTGTF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ArafinSTQ19,
  author       = {Md Tanvir Arafin and
                  Hao{-}Ting Shen and
                  Mark M. Tehranipoor and
                  Gang Qu},
  editor       = {Houman Homayoun and
                  Baris Taskin and
                  Tinoosh Mohsenin and
                  Weisheng Zhao},
  title        = {LPN-based Device Authentication Using Resistive Memory},
  booktitle    = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2019, Tysons Corner, VA, USA, May 9-11, 2019},
  pages        = {9--14},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3299874.3317970},
  doi          = {10.1145/3299874.3317970},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ArafinSTQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/DuncanSSNRLTS19,
  author       = {Adam Duncan and
                  Grant Skipper and
                  Andrew Stern and
                  Adib Nahiyan and
                  Fahim Rahman and
                  Andrew Lukefahr and
                  Mark M. Tehranipoor and
                  Martin Swany},
  title        = {{FLATS:} Filling Logic and Testing Spatially for {FPGA} Authentication
                  and Tamper Detection},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2019, McLean, VA, USA, May 5-10, 2019},
  pages        = {81--90},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HST.2019.8741025},
  doi          = {10.1109/HST.2019.8741025},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/DuncanSSNRLTS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/GuoDHTJ19,
  author       = {Xiaolong Guo and
                  Raj Gautam Dutta and
                  Jiaji He and
                  Mark M. Tehranipoor and
                  Yier Jin},
  title        = {QIF-Verilog: Quantitative Information-Flow based Hardware Description
                  Languages for Pre-Silicon Security Assessment},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2019, McLean, VA, USA, May 5-10, 2019},
  pages        = {91--100},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HST.2019.8740840},
  doi          = {10.1109/HST.2019.8740840},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/GuoDHTJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ParkCLBT19,
  author       = {Jungmin Park and
                  Seongjoon Cho and
                  Taejin Lim and
                  Swarup Bhunia and
                  Mark M. Tehranipoor},
  editor       = {David Z. Pan},
  title        = {{SCR-QRNG:} Side-Channel Resistant Design using Quantum Random Number
                  Generator},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942152},
  doi          = {10.1109/ICCAD45719.2019.8942152},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ParkCLBT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DuncanRLFT19,
  author       = {Adam Duncan and
                  Fahim Rahman and
                  Andrew Lukefahr and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{FPGA} Bitstream Security: {A} Day in the Life},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000145},
  doi          = {10.1109/ITC44170.2019.9000145},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DuncanRLFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/FarzanaRTF19,
  author       = {Nusrat Farzana and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {SoC Security Verification using Property Checking},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000170},
  doi          = {10.1109/ITC44170.2019.9000170},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/FarzanaRTF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ForteBKPT19,
  author       = {Domenic Forte and
                  Swarup Bhunia and
                  Ramesh Karri and
                  Jim Plusquellic and
                  Mark M. Tehranipoor},
  title        = {{IEEE} International Symposium on Hardware Oriented Security and Trust
                  {(HOST):} Past, Present, and Future},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000111},
  doi          = {10.1109/ITC44170.2019.9000111},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ForteBKPT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/proofs/GanjiTSSFT19,
  author       = {Fatemeh Ganji and
                  Shahin Tajik and
                  Pascal Stauss and
                  Jean{-}Pierre Seifert and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {Karine Heydemann and
                  Ulrich K{\"{u}}hne and
                  Letitia Li},
  title        = {Rock'n'roll PUFs: Crafting Provably Secure PUFs from Less Secure Ones},
  booktitle    = {Proceedings of 8th International Workshop on Security Proofs for Embedded
                  Systems, {PROOFS} 2019, colocated with {CHES} 2018, Atlanta, GA, USA,
                  August 24, 2019},
  series       = {Kalpa Publications in Computing},
  volume       = {11},
  pages        = {33--48},
  publisher    = {EasyChair},
  year         = {2019},
  url          = {https://doi.org/10.29007/nbm3},
  doi          = {10.29007/NBM3},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/proofs/GanjiTSSFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HePNVJT19,
  author       = {Miao Tony He and
                  Jungmin Park and
                  Adib Nahiyan and
                  Apostol Vassilev and
                  Yier Jin and
                  Mark M. Tehranipoor},
  title        = {{RTL-PSC:} Automated Power Side-Channel Leakage Assessment at Register-Transfer
                  Level},
  booktitle    = {37th {IEEE} {VLSI} Test Symposium, {VTS} 2019, Monterey, CA, USA,
                  April 23-25, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VTS.2019.8758600},
  doi          = {10.1109/VTS.2019.8758600},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/HePNVJT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1901-05909,
  author       = {Miao Tony He and
                  Jungmin Park and
                  Adib Nahiyan and
                  Apostol Vassilev and
                  Yier Jin and
                  Mark M. Tehranipoor},
  title        = {{RTL-PSC:} Automated Power Side-Channel Leakage Assessment at Register-Transfer
                  Level},
  journal      = {CoRR},
  volume       = {abs/1901.05909},
  year         = {2019},
  url          = {http://arxiv.org/abs/1901.05909},
  eprinttype    = {arXiv},
  eprint       = {1901.05909},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1901-05909.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1904-09516,
  author       = {Zimu Guo and
                  Xiaolin Xu and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {{EOP:} An Encryption-Obfuscation Solution for Protecting PCBs Against
                  Tampering and Reverse Engineering},
  journal      = {CoRR},
  volume       = {abs/1904.09516},
  year         = {2019},
  url          = {http://arxiv.org/abs/1904.09516},
  eprinttype    = {arXiv},
  eprint       = {1904.09516},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1904-09516.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1907-08863,
  author       = {M. Tanjidur Rahman and
                  M. Sazadur Rahman and
                  Huanyu Wang and
                  Shahin Tajik and
                  Waleed Khalil and
                  Farimah Farahmandi and
                  Domenic Forte and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor},
  title        = {Defense-in-Depth: {A} Recipe for Logic Locking to Prevail},
  journal      = {CoRR},
  volume       = {abs/1907.08863},
  year         = {2019},
  url          = {http://arxiv.org/abs/1907.08863},
  eprinttype    = {arXiv},
  eprint       = {1907.08863},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1907-08863.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/GanjiTSSFT19,
  author       = {Fatemeh Ganji and
                  Shahin Tajik and
                  Pascal Stauss and
                  Jean{-}Pierre Seifert and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Theoretical and Practical Approaches for Hardness Amplification of
                  PUFs},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {534},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/534},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/GanjiTSSFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/RahmanNARFFT19,
  author       = {M. Sazadur Rahman and
                  Adib Nahiyan and
                  Sarah Amir and
                  Fahim Rahman and
                  Farimah Farahmandi and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Dynamically Obfuscated Scan Chain To Resist Oracle-Guided Attacks
                  On Logic Locked Design},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {946},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/946},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/RahmanNARFFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/AlamCPMMTF18,
  author       = {Md. Mahbub Alam and
                  Sreeja Chowdhury and
                  Beomsoo Park and
                  David Joseph Munzer and
                  Nima Maghari and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Challenges and Opportunities in Analog and Mixed Signal {(AMS)} Integrated
                  Circuit {(IC)} Security},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {2},
  number       = {1},
  pages        = {15--32},
  year         = {2018},
  url          = {https://doi.org/10.1007/s41635-017-0024-z},
  doi          = {10.1007/S41635-017-0024-Z},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/AlamCPMMTF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/AmirSXJBTF18,
  author       = {Sarah Amir and
                  Bicky Shakya and
                  Xiaolin Xu and
                  Yier Jin and
                  Swarup Bhunia and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Development and Evaluation of Hardware Obfuscation Benchmarks},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {2},
  number       = {2},
  pages        = {142--161},
  year         = {2018},
  url          = {https://doi.org/10.1007/s41635-018-0036-3},
  doi          = {10.1007/S41635-018-0036-3},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/AmirSXJBTF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/VashisthaRSWAT18,
  author       = {Nidish Vashistha and
                  M. Tanjidur Rahman and
                  Hao{-}Ting Shen and
                  Damon L. Woodard and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor},
  title        = {Detecting Hardware Trojans Inserted by Untrusted Foundry Using Physical
                  Inspection and Advanced Image Processing},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {2},
  number       = {4},
  pages        = {333--344},
  year         = {2018},
  url          = {https://doi.org/10.1007/s41635-018-0055-0},
  doi          = {10.1007/S41635-018-0055-0},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/VashisthaRSWAT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/RayPTB18,
  author       = {Sandip Ray and
                  Eric Peeters and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  title        = {System-on-Chip Platform Security Assurance: Architecture and Validation},
  journal      = {Proc. {IEEE}},
  volume       = {106},
  number       = {1},
  pages        = {21--37},
  year         = {2018},
  url          = {https://doi.org/10.1109/JPROC.2017.2714641},
  doi          = {10.1109/JPROC.2017.2714641},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/pieee/RayPTB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangZHST18,
  author       = {Xiaoxiao Wang and
                  Dongrong Zhang and
                  Miao Tony He and
                  Donglin Su and
                  Mark M. Tehranipoor},
  title        = {Secure Scan and Test Using Obfuscation Throughout Supply Chain},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {9},
  pages        = {1867--1880},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2772817},
  doi          = {10.1109/TCAD.2017.2772817},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangZHST18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YangSFBT18,
  author       = {Kun Yang and
                  Hao{-}Ting Shen and
                  Domenic Forte and
                  Swarup Bhunia and
                  Mark M. Tehranipoor},
  title        = {Hardware-Enabled Pharmaceutical Supply Chain Security},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {2},
  pages        = {23:1--23:26},
  year         = {2018},
  url          = {https://doi.org/10.1145/3144532},
  doi          = {10.1145/3144532},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/YangSFBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YangFT18,
  author       = {Kun Yang and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {ReSC: An RFID-Enabled Solution for Defending IoT Supply Chain},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {3},
  pages        = {29:1--29:27},
  year         = {2018},
  url          = {https://doi.org/10.1145/3174850},
  doi          = {10.1145/3174850},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/YangFT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YangBSWFT18,
  author       = {Kun Yang and
                  Ulbert Botero and
                  Hao{-}Ting Shen and
                  Damon L. Woodard and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{UCR:} An Unclonable Environmentally Sensitive Chipless {RFID} Tag
                  For Protecting Supply Chain},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {6},
  pages        = {74:1--74:24},
  year         = {2018},
  url          = {https://doi.org/10.1145/3264658},
  doi          = {10.1145/3264658},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/YangBSWFT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GuoXRTF18,
  author       = {Zimu Guo and
                  Xiaolin Xu and
                  Md. Tauhidur Rahman and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {SCARe: An SRAM-Based Countermeasure Against {IC} Recycling},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {4},
  pages        = {744--755},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2017.2777262},
  doi          = {10.1109/TVLSI.2017.2777262},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GuoXRTF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/XuKFTH18,
  author       = {Xiaolin Xu and
                  Shahrzad Keshavarz and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Daniel E. Holcomb},
  title        = {Bimodal Oscillation as a Mechanism for Autonomous Majority Voting
                  in PUFs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {11},
  pages        = {2431--2442},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2861328},
  doi          = {10.1109/TVLSI.2018.2861328},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/XuKFTH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZhangWRT18,
  author       = {Dongrong Zhang and
                  Xiaoxiao Wang and
                  Md. Tauhidur Rahman and
                  Mark M. Tehranipoor},
  title        = {An On-Chip Dynamically Obfuscated Wrapper for Protecting Supply Chain
                  Against {IP} and {IC} Piracies},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {11},
  pages        = {2456--2469},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2850807},
  doi          = {10.1109/TVLSI.2018.2850807},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZhangWRT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asianhost/ShiWATF18,
  author       = {Qihang Shi and
                  Huanyu Wang and
                  Navid Asadizanjani and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {A Comprehensive Analysis on Vulnerability of Active Shields to Tilted
                  Microprobing Attacks},
  booktitle    = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2018,
                  Hong Kong, China, December 17-18, 2018},
  pages        = {98--103},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/AsianHOST.2018.8607159},
  doi          = {10.1109/ASIANHOST.2018.8607159},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asianhost/ShiWATF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HanWT18,
  author       = {Yueying Han and
                  Xiaoxiao Wang and
                  Mark M. Tehranipoor},
  title        = {{CIPA:} Concurrent {IC} and {PCB} Authentication Using On-chip Ring
                  Oscillator Array},
  booktitle    = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October
                  15-18, 2018},
  pages        = {109--114},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ATS.2018.00031},
  doi          = {10.1109/ATS.2018.00031},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HanWT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ParkXJFT18,
  author       = {Jungmin Park and
                  Xiaolin Xu and
                  Yier Jin and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Power-based side-channel instruction-level disassembler},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {119:1--119:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196094},
  doi          = {10.1145/3195970.3196094},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ParkXJFT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AriasRTJ18,
  author       = {Orlando Arias and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Yier Jin},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Device attestation: Past, present, and future},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {473--478},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342055},
  doi          = {10.23919/DATE.2018.8342055},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/AriasRTJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/YangPTB18,
  author       = {Kai Yang and
                  Jungmin Park and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  title        = {Hardware virtualization for protection against power analysis attack},
  booktitle    = {2018 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2018, Washington, DC, USA, April 30 - May 4, 2018},
  pages        = {167--172},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/HST.2018.8383908},
  doi          = {10.1109/HST.2018.8383908},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/YangPTB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/AlamCTG18,
  author       = {Mahabubul Alam and
                  Sreeja Chowdhury and
                  Mark M. Tehranipoor and
                  Ujjwal Guin},
  title        = {Robust, low-cost, and accurate detection of recycled ICs using digital
                  signatures},
  booktitle    = {2018 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2018, Washington, DC, USA, April 30 - May 4, 2018},
  pages        = {209--214},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/HST.2018.8383917},
  doi          = {10.1109/HST.2018.8383917},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/AlamCTG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/YangPTB18,
  author       = {Kai Yang and
                  Jungmin Park and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  title        = {Robust Timing Attack Countermeasure on Virtual Hardware},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {148--153},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00036},
  doi          = {10.1109/ISVLSI.2018.00036},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/YangPTB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SternBSSFT18,
  author       = {Andrew Stern and
                  Ulbert Botero and
                  Bicky Shakya and
                  Hao{-}Ting Shen and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{EMFORCED:} EM-based Fingerprinting Framework for Counterfeit Detection
                  with Demonstration on Remarked and Cloned ICs},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624679},
  doi          = {10.1109/TEST.2018.8624679},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SternBSSFT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ivsw/RahmanSTSWTA18,
  author       = {M. Tanjidur Rahman and
                  Qihang Shi and
                  Shahin Tajik and
                  Hao{-}Ting Shen and
                  Damon L. Woodard and
                  Mark M. Tehranipoor and
                  Navid Asadizanjani},
  title        = {Physical Inspection {\&} Attacks: New Frontier in Hardware Security},
  booktitle    = {3rd {IEEE} International Verification and Security Workshop, {IVSW}
                  2018, Costa Brava, Spain, July 2-4, 2018},
  pages        = {93--102},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IVSW.2018.8494856},
  doi          = {10.1109/IVSW.2018.8494856},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ivsw/RahmanSTSWTA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1803-04102,
  author       = {Adib Nahiyan and
                  Mehdi Sadi and
                  Rahul Vittal and
                  Gustavo K. Contreras and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Hardware Trojan Detection through Information Flow Security Verification},
  journal      = {CoRR},
  volume       = {abs/1803.04102},
  year         = {2018},
  url          = {http://arxiv.org/abs/1803.04102},
  eprinttype    = {arXiv},
  eprint       = {1803.04102},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1803-04102.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1803-09710,
  author       = {Nima Karimian and
                  Zimu Guo and
                  Fatemeh Tehranipoor and
                  Damon L. Woodard and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Secure and Reliable Biometric Access Control for Resource-Constrained
                  Systems and IoT},
  journal      = {CoRR},
  volume       = {abs/1803.09710},
  year         = {2018},
  url          = {http://arxiv.org/abs/1803.09710},
  eprinttype    = {arXiv},
  eprint       = {1803.09710},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1803-09710.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1808-02584,
  author       = {Bashir M. Sabquat Bahar Talukder and
                  Biswajit Ray and
                  Mark M. Tehranipoor and
                  Domenic Forte and
                  Md. Tauhidur Rahman},
  title        = {{LDPUF:} Exploiting {DRAM} Latency Variations to Generate Robust Device
                  Signatures},
  journal      = {CoRR},
  volume       = {abs/1808.02584},
  year         = {2018},
  url          = {http://arxiv.org/abs/1808.02584},
  eprinttype    = {arXiv},
  eprint       = {1808.02584},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1808-02584.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/WangFTS17,
  author       = {Huanyu Wang and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Qihang Shi},
  title        = {Probing Attacks on Integrated Circuits: Challenges and Research Opportunities},
  journal      = {{IEEE} Des. Test},
  volume       = {34},
  number       = {5},
  pages        = {63--71},
  year         = {2017},
  url          = {https://doi.org/10.1109/MDAT.2017.2729398},
  doi          = {10.1109/MDAT.2017.2729398},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/WangFTS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/HeT17,
  author       = {Miao Tony He and
                  Mark M. Tehranipoor},
  title        = {An Access Mechanism for Embedded Sensors in Modern SoCs},
  journal      = {J. Electron. Test.},
  volume       = {33},
  number       = {4},
  pages        = {397--413},
  year         = {2017},
  url          = {https://doi.org/10.1007/s10836-017-5669-6},
  doi          = {10.1007/S10836-017-5669-6},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/HeT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/BhuniaT17,
  author       = {Swarup Bhunia and
                  Mark M. Tehranipoor},
  title        = {Editorial for the Introductory Issue of the \emph{Journal of Hardware
                  and Systems Security} (HaSS)},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {1},
  number       = {1},
  pages        = {1--2},
  year         = {2017},
  url          = {https://doi.org/10.1007/s41635-017-0009-y},
  doi          = {10.1007/S41635-017-0009-Y},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/BhuniaT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/ShakyaHSFBT17,
  author       = {Bicky Shakya and
                  Miao Tony He and
                  Hassan Salmani and
                  Domenic Forte and
                  Swarup Bhunia and
                  Mark M. Tehranipoor},
  title        = {Benchmarking of Hardware Trojans and Maliciously Affected Circuits},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {1},
  number       = {1},
  pages        = {85--102},
  year         = {2017},
  url          = {https://doi.org/10.1007/s41635-017-0001-6},
  doi          = {10.1007/S41635-017-0001-6},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/ShakyaHSFBT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/RahmanHGCFT17,
  author       = {Md. Tauhidur Rahman and
                  Alison Hosey and
                  Zimu Guo and
                  Jackson Carroll and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Systematic Correlation and Cell Neighborhood Analysis of {SRAM} {PUF}
                  for Robust and Unique Key Generation},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {1},
  number       = {2},
  pages        = {137--155},
  year         = {2017},
  url          = {https://doi.org/10.1007/s41635-017-0012-3},
  doi          = {10.1007/S41635-017-0012-3},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/RahmanHGCFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jhss/AlamTG17,
  author       = {Mahabubul Alam and
                  Mark M. Tehranipoor and
                  Ujjwal Guin},
  title        = {TSensors Vision, Infrastructure and Security Challenges in Trillion
                  Sensor Era},
  journal      = {J. Hardw. Syst. Secur.},
  volume       = {1},
  number       = {4},
  pages        = {311--327},
  year         = {2017},
  url          = {https://doi.org/10.1007/s41635-017-0028-8},
  doi          = {10.1007/S41635-017-0028-8},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jhss/AlamTG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbe/KarimianGTF17,
  author       = {Nima Karimian and
                  Zimu Guo and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Highly Reliable Key Generation From Electrocardiogram {(ECG)}},
  journal      = {{IEEE} Trans. Biomed. Eng.},
  volume       = {64},
  number       = {6},
  pages        = {1400--1411},
  year         = {2017},
  url          = {https://doi.org/10.1109/TBME.2016.2607020},
  doi          = {10.1109/TBME.2016.2607020},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tbe/KarimianGTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SadiKWT17,
  author       = {Mehdi Sadi and
                  Sukeshwar Kannan and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {SoC Speed Binning Using Machine Learning and On-Chip Slack Sensors},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {5},
  pages        = {842--854},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2602806},
  doi          = {10.1109/TCAD.2016.2602806},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/SadiKWT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangJSSWT17,
  author       = {Xiaoxiao Wang and
                  Pengyuan Jiao and
                  Mehdi Sadi and
                  Donglin Su and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {{TRO:} An On-Chip Ring Oscillator-Based GHz Transient IR-Drop Monitor},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {5},
  pages        = {855--868},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2608885},
  doi          = {10.1109/TCAD.2016.2608885},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangJSSWT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tdsc/GuinBFT17,
  author       = {Ujjwal Guin and
                  Swarup Bhunia and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{SMA:} {A} System-Level Mutual Authentication for Protecting Electronic
                  Hardware and Firmware},
  journal      = {{IEEE} Trans. Dependable Secur. Comput.},
  volume       = {14},
  number       = {3},
  pages        = {265--278},
  year         = {2017},
  url          = {https://doi.org/10.1109/TDSC.2016.2615609},
  doi          = {10.1109/TDSC.2016.2615609},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tdsc/GuinBFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/WurmJLHHRT17,
  author       = {Jacob Wurm and
                  Yier Jin and
                  Yang Liu and
                  Shiyan Hu and
                  Kenneth Heffner and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {Introduction to Cyber-Physical System Security: {A} Cross-Layer Perspective},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {3},
  number       = {3},
  pages        = {215--227},
  year         = {2017},
  url          = {https://doi.org/10.1109/TMSCS.2016.2569446},
  doi          = {10.1109/TMSCS.2016.2569446},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tmscs/WurmJLHHRT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YangFT17,
  author       = {Kun Yang and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{CDTA:} {A} Comprehensive Solution for Counterfeit Detection, Traceability,
                  and Authentication in the IoT Supply Chain},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {22},
  number       = {3},
  pages        = {42:1--42:31},
  year         = {2017},
  url          = {https://doi.org/10.1145/3005346},
  doi          = {10.1145/3005346},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/YangFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GuoDTF17,
  author       = {Zimu Guo and
                  Jia Di and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Obfuscation-Based Protection Framework against Printed Circuit Boards
                  Unauthorized Operation and Reverse Engineering},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {22},
  number       = {3},
  pages        = {54:1--54:31},
  year         = {2017},
  url          = {https://doi.org/10.1145/3035482},
  doi          = {10.1145/3035482},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/GuoDTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChakrabartyABBC17,
  author       = {Krishnendu Chakrabarty and
                  Massimo Alioto and
                  Bevan M. Baas and
                  Chirn Chye Boon and
                  Meng{-}Fan Chang and
                  Naehyuck Chang and
                  Yao{-}Wen Chang and
                  Chip{-}Hong Chang and
                  Shih{-}Chieh Chang and
                  Poki Chen and
                  Masud H. Chowdhury and
                  Pasquale Corsonello and
                  Ibrahim Abe M. Elfadel and
                  Said Hamdioui and
                  Masanori Hashimoto and
                  Tsung{-}Yi Ho and
                  Houman Homayoun and
                  Yuh{-}Shyan Hwang and
                  Rajiv V. Joshi and
                  Tanay Karnik and
                  Mehran Mozaffari Kermani and
                  Chulwoo Kim and
                  Tae{-}Hyoung Kim and
                  Jaydeep P. Kulkarni and
                  Eren Kursun and
                  Erik Larsson and
                  Hai (Helen) Li and
                  Huawei Li and
                  Patrick P. Mercier and
                  Prabhat Mishra and
                  Makoto Nagata and
                  Arun S. Natarajan and
                  Koji Nii and
                  Partha Pratim Pande and
                  Ioannis Savidis and
                  Mingoo Seok and
                  Sheldon X.{-}D. Tan and
                  Mark M. Tehranipoor and
                  Aida Todri{-}Sanial and
                  Miroslav N. Velev and
                  Xiaoqing Wen and
                  Jiang Xu and
                  Wei Zhang and
                  Zhengya Zhang and
                  Stacey Weber Jackson},
  title        = {Editorial},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {1},
  pages        = {1--20},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2016.2638578},
  doi          = {10.1109/TVLSI.2016.2638578},
  timestamp    = {Fri, 02 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChakrabartyABBC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/HeCTWT17,
  author       = {Miao Tony He and
                  Gustavo K. Contreras and
                  Dat Tran and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {Test-Point Insertion Efficiency Analysis for {LBIST} in High-Assurance
                  Applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {9},
  pages        = {2602--2615},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2704104},
  doi          = {10.1109/TVLSI.2017.2704104},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/HeCTWT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ShenRSXTF17,
  author       = {Hao{-}Ting Shen and
                  Fahim Rahman and
                  Bicky Shakya and
                  Xiaolin Xu and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Poly-Si-Based Physical Unclonable Functions},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {11},
  pages        = {3207--3217},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2733531},
  doi          = {10.1109/TVLSI.2017.2733531},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ShenRSXTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/SadiCCWT17,
  author       = {Mehdi Sadi and
                  Gustavo K. Contreras and
                  Jifeng Chen and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {Design of Reliable SoCs With {BIST} Hardware and Machine Learning},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {11},
  pages        = {3237--3250},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2734685},
  doi          = {10.1109/TVLSI.2017.2734685},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/SadiCCWT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/RahmanSXFT17,
  author       = {Fahim Rahman and
                  Bicky Shakya and
                  Xiaolin Xu and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Security Beyond {CMOS:} Fundamentals, Applications, and Roadmap},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {12},
  pages        = {3420--3433},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2742943},
  doi          = {10.1109/TVLSI.2017.2742943},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/RahmanSXFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asianhost/WangGRZT17,
  author       = {Xiaoxiao Wang and
                  Yueyu Guo and
                  Tauhid Ramhan and
                  Dongrong Zhang and
                  Mark M. Tehranipoor},
  title        = {{DOST:} Dynamically obfuscated wrapper for split test against {IC}
                  piracy},
  booktitle    = {2017 Asian Hardware Oriented Security and Trust Symposium, AsianHOST
                  2017, Beijing, China, October 19-20, 2017},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/AsianHOST.2017.8353986},
  doi          = {10.1109/ASIANHOST.2017.8353986},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asianhost/WangGRZT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asianhost/GuoXTF17,
  author       = {Zimu Guo and
                  Xiaolin Xu and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {{MPA:} Model-assisted {PCB} attestation via board-level {RO} and temperature
                  compensation},
  booktitle    = {2017 Asian Hardware Oriented Security and Trust Symposium, AsianHOST
                  2017, Beijing, China, October 19-20, 2017},
  pages        = {25--30},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/AsianHOST.2017.8353990},
  doi          = {10.1109/ASIANHOST.2017.8353990},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asianhost/GuoXTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asianhost/YangBSFT17,
  author       = {Kun Yang and
                  Ulbert Botero and
                  Hao{-}Ting Shen and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {A split manufacturing approach for unclonable chipless RFIDs for pharmaceutical
                  supply chain security},
  booktitle    = {2017 Asian Hardware Oriented Security and Trust Symposium, AsianHOST
                  2017, Beijing, China, October 19-20, 2017},
  pages        = {61--66},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/AsianHOST.2017.8353996},
  doi          = {10.1109/ASIANHOST.2017.8353996},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asianhost/YangBSFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KaramHRTB17,
  author       = {Robert Karam and
                  Tamzidul Hoque and
                  Sandip Ray and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  title        = {{MUTARCH:} Architectural diversity for {FPGA} device and {IP} security},
  booktitle    = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2017, Chiba, Japan, January 16-19, 2017},
  pages        = {611--616},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASPDAC.2017.7858391},
  doi          = {10.1109/ASPDAC.2017.7858391},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KaramHRTB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ContrerasNBFT17,
  author       = {Gustavo K. Contreras and
                  Adib Nahiyan and
                  Swarup Bhunia and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Security vulnerability analysis of design-for-test exploits for asset
                  protection in SoCs},
  booktitle    = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2017, Chiba, Japan, January 16-19, 2017},
  pages        = {617--622},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASPDAC.2017.7858392},
  doi          = {10.1109/ASPDAC.2017.7858392},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ContrerasNBFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bhi/KarimianTF17,
  author       = {Nima Karimian and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Non-fiducial PPG-based authentication for healthcare application},
  booktitle    = {2017 {IEEE} {EMBS} International Conference on Biomedical {\&}
                  Health Informatics, {BHI} 2017, Orland, FL, USA, February 16-19, 2017},
  pages        = {429--432},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/BHI.2017.7897297},
  doi          = {10.1109/BHI.2017.7897297},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/bhi/KarimianTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/ChhotarayNSFT17,
  author       = {Animesh Chhotaray and
                  Adib Nahiyan and
                  Thomas Shrimpton and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {Bhavani Thuraisingham and
                  David Evans and
                  Tal Malkin and
                  Dongyan Xu},
  title        = {Standardizing Bad Cryptographic Practice: {A} Teardown of the {IEEE}
                  Standard for Protecting Electronic-design Intellectual Property},
  booktitle    = {Proceedings of the 2017 {ACM} {SIGSAC} Conference on Computer and
                  Communications Security, {CCS} 2017, Dallas, TX, USA, October 30 -
                  November 03, 2017},
  pages        = {1533--1546},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3133956.3134040},
  doi          = {10.1145/3133956.3134040},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/ChhotarayNSFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccs/ChangDKRT17,
  author       = {Chip{-}Hong Chang and
                  Marten van Dijk and
                  Farinaz Koushanfar and
                  Ulrich R{\"{u}}hrmair and
                  Mark M. Tehranipoor},
  editor       = {Bhavani Thuraisingham and
                  David Evans and
                  Tal Malkin and
                  Dongyan Xu},
  title        = {{ASHES} 2017: Workshop on Attacks and Solutions in Hardware Security},
  booktitle    = {Proceedings of the 2017 {ACM} {SIGSAC} Conference on Computer and
                  Communications Security, {CCS} 2017, Dallas, TX, USA, October 30 -
                  November 03, 2017},
  pages        = {2623--2625},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3133956.3137049},
  doi          = {10.1145/3133956.3137049},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccs/ChangDKRT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ches/XuSTF17,
  author       = {Xiaolin Xu and
                  Bicky Shakya and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  editor       = {Wieland Fischer and
                  Naofumi Homma},
  title        = {Novel Bypass Attack and BDD-based Tradeoff Analysis Against All Known
                  Logic Locking Attacks},
  booktitle    = {Cryptographic Hardware and Embedded Systems - {CHES} 2017 - 19th International
                  Conference, Taipei, Taiwan, September 25-28, 2017, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10529},
  pages        = {189--210},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-66787-4\_10},
  doi          = {10.1007/978-3-319-66787-4\_10},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ches/XuSTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuoXTF17,
  author       = {Zimu Guo and
                  Xiaolin Xu and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {{FFD:} {A} Framework for Fake Flash Detection},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {8:1--8:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062249},
  doi          = {10.1145/3061639.3062249},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GuoXTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShiXFT17,
  author       = {Qihang Shi and
                  Kan Xiao and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Securing Split Manufactured ICs with Wire Lifting Obfuscated Built-In
                  Self-Authentication},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {339--344},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060588},
  doi          = {10.1145/3060403.3060588},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShiXFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AmirSFTB17,
  author       = {Sarah Amir and
                  Bicky Shakya and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Comparative Analysis of Hardware Obfuscation for {IP} Protection},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {363--368},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060495},
  doi          = {10.1145/3060403.3060495},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AmirSFTB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/BryantCFTM17,
  author       = {Troy Bryant and
                  Sreeja Chowdhury and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Nima Maghari},
  title        = {A stochastic all-digital weak physically unclonable function for analog/mixed-signal
                  applications},
  booktitle    = {2017 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2017, McLean, VA, USA, May 1-5, 2017},
  pages        = {140--145},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/HST.2017.7951813},
  doi          = {10.1109/HST.2017.7951813},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/BryantCFTM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/KarimianGTF17,
  author       = {Nima Karimian and
                  Zimu Guo and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Human recognition from photoplethysmography {(PPG)} based on non-fiducial
                  features},
  booktitle    = {2017 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017},
  pages        = {4636--4640},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICASSP.2017.7953035},
  doi          = {10.1109/ICASSP.2017.7953035},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/KarimianGTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SadiKET17,
  author       = {Mehdi Sadi and
                  Sukeshwar Kannan and
                  Luke England and
                  Mark M. Tehranipoor},
  title        = {Design of a digital {IP} for 3D-IC die-to-die clock synchronization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050431},
  doi          = {10.1109/ISCAS.2017.8050431},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SadiKET17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/NahiyanSVCFT17,
  author       = {Adib Nahiyan and
                  Mehdi Sadi and
                  Rahul Vittal and
                  Gustavo K. Contreras and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Hardware trojan detection through information flow security verification},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX,
                  USA, October 31 - Nov. 2, 2017},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/TEST.2017.8242062},
  doi          = {10.1109/TEST.2017.8242062},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/NahiyanSVCFT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/YuWRT17,
  author       = {Liting Yu and
                  Xiaoxiao Wang and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {iPUF: Interconnect {PUF} with Self-Masking Circuit for Performance
                  Enhancement},
  booktitle    = {18th International Workshop on Microprocessor and {SOC} Test and Verification,
                  {MTV} 2017, Austin, TX, USA, December 11-12, 2017},
  pages        = {45--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MTV.2017.14},
  doi          = {10.1109/MTV.2017.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/YuWRT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/RahmanFTJ17,
  author       = {Fahim Rahman and
                  Mohammad Farmani and
                  Mark M. Tehranipoor and
                  Yier Jin},
  title        = {Hardware-Assisted Cybersecurity for IoT Devices},
  booktitle    = {18th International Workshop on Microprocessor and {SOC} Test and Verification,
                  {MTV} 2017, Austin, TX, USA, December 11-12, 2017},
  pages        = {51--56},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MTV.2017.16},
  doi          = {10.1109/MTV.2017.16},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/RahmanFTJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/ParkCSVTB17,
  author       = {Jungmin Park and
                  Massimiliano Corba and
                  Antonio E. de la Sema and
                  Richard L. Vigeant and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  title        = {{ATAVE:} {A} framework for automatic timing attack vulnerability evaluation},
  booktitle    = {{IEEE} 60th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017},
  pages        = {559--562},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/MWSCAS.2017.8052984},
  doi          = {10.1109/MWSCAS.2017.8052984},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mwscas/ParkCSVTB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/ChowdhuryXTF17,
  author       = {Sreeja Chowdhury and
                  Xiaolin Xu and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Aging resilient {RO} {PUF} with increased reliability in {FPGA}},
  booktitle    = {International Conference on ReConFigurable Computing and FPGAs, ReConFig
                  2017, Cancun, Mexico, December 4-6, 2017},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/RECONFIG.2017.8279773},
  doi          = {10.1109/RECONFIG.2017.8279773},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/ChowdhuryXTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZhangHWT17,
  author       = {Dongrong Zhang and
                  Miao Tony He and
                  Xiaoxiao Wang and
                  Mark M. Tehranipoor},
  title        = {Dynamically obfuscated scan for protecting IPs against scan-based
                  attacks throughout supply chain},
  booktitle    = {35th {IEEE} {VLSI} Test Symposium, {VTS} 2017, Las Vegas, NV, USA,
                  April 9-12, 2017},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/VTS.2017.7928947},
  doi          = {10.1109/VTS.2017.7928947},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ZhangHWT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/XuSTF17,
  author       = {Xiaolin Xu and
                  Bicky Shakya and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Novel Bypass Attack and BDD-based Tradeoff Analysis Against all Known
                  Logic Locking Attacks},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {621},
  year         = {2017},
  url          = {http://eprint.iacr.org/2017/621},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/XuSTF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/XiaoNT16,
  author       = {Kan Xiao and
                  Adib Nahiyan and
                  Mark M. Tehranipoor},
  title        = {Security Rule Checking in {IC} Design},
  journal      = {Computer},
  volume       = {49},
  number       = {8},
  pages        = {54--61},
  year         = {2016},
  url          = {https://doi.org/10.1109/MC.2016.226},
  doi          = {10.1109/MC.2016.226},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/XiaoNT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/QuadirCFASWCT16,
  author       = {Shahed E. Quadir and
                  Junlin Chen and
                  Domenic Forte and
                  Navid Asadizanjani and
                  Sina Shahbazmohamadi and
                  Lei Wang and
                  John A. Chandy and
                  Mark M. Tehranipoor},
  title        = {A Survey on Chip to System Reverse Engineering},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {13},
  number       = {1},
  pages        = {6:1--6:34},
  year         = {2016},
  url          = {https://doi.org/10.1145/2755563},
  doi          = {10.1145/2755563},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/QuadirCFASWCT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/RahmanRFT16,
  author       = {Md. Tauhidur Rahman and
                  Fahim Rahman and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {An Aging-Resistant {RO-PUF} for Reliable Key Generation},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {4},
  number       = {3},
  pages        = {335--348},
  year         = {2016},
  url          = {https://doi.org/10.1109/TETC.2015.2474741},
  doi          = {10.1109/TETC.2015.2474741},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetc/RahmanRFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/SalmaniT16,
  author       = {Hassan Salmani and
                  Mark M. Tehranipoor},
  title        = {Vulnerability Analysis of a Circuit Layout to Hardware Trojan Insertion},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {11},
  number       = {6},
  pages        = {1214--1225},
  year         = {2016},
  url          = {https://doi.org/10.1109/TIFS.2016.2520910},
  doi          = {10.1109/TIFS.2016.2520910},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tifs/SalmaniT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/XieBSLST16,
  author       = {Yang Xie and
                  Chongxi Bao and
                  Caleb Serafy and
                  Tiantao Lu and
                  Ankur Srivastava and
                  Mark M. Tehranipoor},
  title        = {Security and Vulnerability Implications of 3D ICs},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {2},
  number       = {2},
  pages        = {108--122},
  year         = {2016},
  url          = {https://doi.org/10.1109/TMSCS.2016.2550460},
  doi          = {10.1109/TMSCS.2016.2550460},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/XieBSLST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/HuJHT16,
  author       = {Shiyan Hu and
                  Yier Jin and
                  Kenneth Heffner and
                  Mark M. Tehranipoor},
  title        = {Guest Editorial: Hardware/Software Cross-Layer Technologies for Trustworthy
                  and Secure Computing},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {2},
  number       = {3},
  pages        = {144--145},
  year         = {2016},
  url          = {https://doi.org/10.1109/TMSCS.2016.2609298},
  doi          = {10.1109/TMSCS.2016.2609298},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/HuJHT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GuinSFT16,
  author       = {Ujjwal Guin and
                  Qihang Shi and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{FORTIS:} {A} Comprehensive Solution for Establishing Forward Trust
                  for Protecting IPs and ICs},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {4},
  pages        = {63:1--63:20},
  year         = {2016},
  url          = {https://doi.org/10.1145/2893183},
  doi          = {10.1145/2893183},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/GuinSFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/XiaoFJKBT16,
  author       = {Kan Xiao and
                  Domenic Forte and
                  Yier Jin and
                  Ramesh Karri and
                  Swarup Bhunia and
                  Mark M. Tehranipoor},
  title        = {Hardware Trojans: Lessons Learned after One Decade of Research},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {22},
  number       = {1},
  pages        = {6:1--6:23},
  year         = {2016},
  url          = {https://doi.org/10.1145/2906147},
  doi          = {10.1145/2906147},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/XiaoFJKBT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GuinFT16,
  author       = {Ujjwal Guin and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Design of Accurate Low-Cost On-Chip Structures for Protecting Integrated
                  Circuits Against Recycling},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {4},
  pages        = {1233--1246},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2466551},
  doi          = {10.1109/TVLSI.2015.2466551},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GuinFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/SadiT16,
  author       = {Mehdi Sadi and
                  Mark M. Tehranipoor},
  title        = {Design of a Network of Digital Sensor Macros for Extracting Power
                  Supply Noise Profile in SoCs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {5},
  pages        = {1702--1714},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2491263},
  doi          = {10.1109/TVLSI.2015.2491263},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/SadiT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangZSWT16,
  author       = {Xiaoxiao Wang and
                  Dongrong Zhang and
                  Donglin Su and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {A Novel Peak Power Supply Noise Measurement and Adaptation System
                  for Integrated Circuits},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {5},
  pages        = {1715--1727},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2492000},
  doi          = {10.1109/TVLSI.2015.2492000},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangZSWT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NahiyanXYJFT16,
  author       = {Adib Nahiyan and
                  Kan Xiao and
                  Kun Yang and
                  Yier Jin and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {{AVFSM:} a framework for identifying and mitigating vulnerabilities
                  in FSMs},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {89:1--89:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2897992},
  doi          = {10.1145/2897937.2897992},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/NahiyanXYJFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LeDTF016,
  author       = {Thao Le and
                  Jia Di and
                  Mark M. Tehranipoor and
                  Domenic Forte and
                  Lei Wang},
  editor       = {Ayse K. Coskun and
                  Martin Margala and
                  Laleh Behjat and
                  Jie Han},
  title        = {Tracking Data Flow at Gate-Level through Structural Checking},
  booktitle    = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016},
  pages        = {185--189},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2902961.2903040},
  doi          = {10.1145/2902961.2903040},
  timestamp    = {Wed, 10 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LeDTF016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/GuoTF16,
  author       = {Zimu Guo and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Aging attacks for key extraction on permutation-based obfuscation},
  booktitle    = {2016 {IEEE} Asian Hardware-Oriented Security and Trust, AsianHOST
                  2016, Yilan, Taiwan, December 19-20, 2016},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/AsianHOST.2016.7835552},
  doi          = {10.1109/ASIANHOST.2016.7835552},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/GuoTF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/RahmanFWT16,
  author       = {Md. Tauhidur Rahman and
                  Domenic Forte and
                  Xiaoxiao Wang and
                  Mark M. Tehranipoor},
  title        = {Enhancing noise sensitivity of embedded SRAMs for robust true random
                  number generation in SoCs},
  booktitle    = {2016 {IEEE} Asian Hardware-Oriented Security and Trust, AsianHOST
                  2016, Yilan, Taiwan, December 19-20, 2016},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/AsianHOST.2016.7835559},
  doi          = {10.1109/ASIANHOST.2016.7835559},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/RahmanFWT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/YangFT16,
  author       = {Kun Yang and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {William H. Robinson and
                  Swarup Bhunia and
                  Ryan Kastner},
  title        = {{UCR:} An unclonable chipless {RFID} tag},
  booktitle    = {2016 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2016, McLean, VA, USA, May 3-5, 2016},
  pages        = {7--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HST.2016.7495548},
  doi          = {10.1109/HST.2016.7495548},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/YangFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/ShiAFT16,
  author       = {Qihang Shi and
                  Navid Asadizanjani and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {William H. Robinson and
                  Swarup Bhunia and
                  Ryan Kastner},
  title        = {A layout-driven framework to assess vulnerability of ICs to microprobing
                  attacks},
  booktitle    = {2016 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2016, McLean, VA, USA, May 3-5, 2016},
  pages        = {155--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HST.2016.7495575},
  doi          = {10.1109/HST.2016.7495575},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/ShiAFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/GuoRTF16,
  author       = {Zimu Guo and
                  Md. Tauhidur Rahman and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  editor       = {William H. Robinson and
                  Swarup Bhunia and
                  Ryan Kastner},
  title        = {A zero-cost approach to detect recycled SoC chips using embedded {SRAM}},
  booktitle    = {2016 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2016, McLean, VA, USA, May 3-5, 2016},
  pages        = {191--196},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HST.2016.7495581},
  doi          = {10.1109/HST.2016.7495581},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/GuoRTF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShakyaAFT16,
  author       = {Bicky Shakya and
                  Navid Asadizanjani and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {Frank Liu},
  title        = {Chip editor: leveraging circuit edit for logic obfuscation and trusted
                  fabrication},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {30},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967014},
  doi          = {10.1145/2966986.2967014},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShakyaAFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuWCZJCSWST16,
  author       = {Liting Yu and
                  Xiaoxiao Wang and
                  Yuanqing Cheng and
                  Xiaoying Zhao and
                  Pengyuan Jiao and
                  Aixin Chen and
                  Donglin Su and
                  LeRoy Winemberg and
                  Mehdi Sadi and
                  Mark M. Tehranipoor},
  title        = {An efficient all-digital IR-Drop Alarmer for DVFS-based SoC},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527210},
  doi          = {10.1109/ISCAS.2016.7527210},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuWCZJCSWST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoKTF16,
  author       = {Zimu Guo and
                  Nima Karimian and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Hardware security meets biometrics for the age of IoT},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1318--1321},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527491},
  doi          = {10.1109/ISCAS.2016.7527491},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoKTF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeadeJTZ16,
  author       = {Travis Meade and
                  Yier Jin and
                  Mark M. Tehranipoor and
                  Shaojie Zhang},
  title        = {Gate-level netlist reverse engineering for hardware security: Control
                  logic register identification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1334--1337},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527495},
  doi          = {10.1109/ISCAS.2016.7527495},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeadeJTZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuWZCSCST16,
  author       = {Liang Wu and
                  Xiaoxiao Wang and
                  Xiaoying Zhao and
                  Yuanqing Cheng and
                  Donglin Su and
                  Aixin Chen and
                  Qihang Shi and
                  Mark M. Tehranipoor},
  title        = {{AES} design improvement towards information safety},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1706--1709},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538896},
  doi          = {10.1109/ISCAS.2016.7538896},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuWZCSCST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ShenRSTF16,
  author       = {Hao{-}Ting Shen and
                  Fahim Rahman and
                  Bicky Shakya and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Selective Enhancement of Randomness at the Materials Level: Poly-Si
                  Based Physical Unclonable Functions (PUFs)},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {188--193},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.55},
  doi          = {10.1109/ISVLSI.2016.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ShenRSTF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/AlamTF16,
  author       = {Md. Mahbub Alam and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Recycled {FPGA} detection using exhaustive {LUT} path delay characterization},
  booktitle    = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth,
                  TX, USA, November 15-17, 2016},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/TEST.2016.7805854},
  doi          = {10.1109/TEST.2016.7805854},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/AlamTF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SadiCTCWT16,
  author       = {Mehdi Sadi and
                  Gustavo K. Contreras and
                  Dat Tran and
                  Jifeng Chen and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {{BIST-RM:} BIST-assisted reliability management of SoCs using on-chip
                  clock sweeping and machine learning},
  booktitle    = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth,
                  TX, USA, November 15-17, 2016},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/TEST.2016.7805862},
  doi          = {10.1109/TEST.2016.7805862},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SadiCTCWT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/BryantCFTM16,
  author       = {Troy Bryant and
                  Sreeja Chowdhury and
                  Domenic Forte and
                  Mark M. Tehranipoor and
                  Nima Maghari},
  title        = {A stochastic approach to analog physical unclonable function},
  booktitle    = {{IEEE} 59th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2016, Abu Dhabi, United Arab Emirates, October 16-19, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/MWSCAS.2016.7870009},
  doi          = {10.1109/MWSCAS.2016.7870009},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mwscas/BryantCFTM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/KaramHRTB16,
  author       = {Robert Karam and
                  Tamzidul Hoque and
                  Sandip Ray and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  editor       = {Peter M. Athanas and
                  Ren{\'{e}} Cumplido and
                  Claudia Feregrino and
                  Ron Sass},
  title        = {Technical demonstration session: Software toolflow for {FPGA} bitstream
                  obfuscation},
  booktitle    = {International Conference on ReConFigurable Computing and FPGAs, ReConFig
                  2016, Cancun, Mexico, November 30 - Dec. 2, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ReConFig.2016.7857141},
  doi          = {10.1109/RECONFIG.2016.7857141},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/KaramHRTB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/reconfig/KaramHRTB16a,
  author       = {Robert Karam and
                  Tamzidul Hoque and
                  Sandip Ray and
                  Mark M. Tehranipoor and
                  Swarup Bhunia},
  editor       = {Peter M. Athanas and
                  Ren{\'{e}} Cumplido and
                  Claudia Feregrino and
                  Ron Sass},
  title        = {Robust bitstream protection in FPGA-based systems through low-overhead
                  obfuscation},
  booktitle    = {International Conference on ReConFigurable Computing and FPGAs, ReConFig
                  2016, Cancun, Mexico, November 30 - Dec. 2, 2016},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ReConFig.2016.7857187},
  doi          = {10.1109/RECONFIG.2016.7857187},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/reconfig/KaramHRTB16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/Tehranipoor16,
  author       = {Mark M. Tehranipoor},
  title        = {New Directions in Hardware Security},
  booktitle    = {29th International Conference on {VLSI} Design and 15th International
                  Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January
                  4-8, 2016},
  pages        = {50--52},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSID.2016.149},
  doi          = {10.1109/VLSID.2016.149},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/Tehranipoor16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HeCTTW16,
  author       = {Miao Tony He and
                  Gustavo K. Contreras and
                  Mark M. Tehranipoor and
                  Dat Tran and
                  LeRoy Winemberg},
  title        = {Test-point insertion efficiency analysis for {LBIST} applications},
  booktitle    = {34th {IEEE} {VLSI} Test Symposium, {VTS} 2016, Las Vegas, NV, USA,
                  April 25-27, 2016},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VTS.2016.7477314},
  doi          = {10.1109/VTS.2016.7477314},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/HeCTTW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/RayBJT16,
  author       = {Sandip Ray and
                  Swarup Bhunia and
                  Yier Jin and
                  Mark M. Tehranipoor},
  title        = {Security validation in IoT space},
  booktitle    = {34th {IEEE} {VLSI} Test Symposium, {VTS} 2016, Las Vegas, NV, USA,
                  April 25-27, 2016},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VTS.2016.7477288},
  doi          = {10.1109/VTS.2016.7477288},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/RayBJT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dagstuhl-reports/KerenPT16,
  author       = {Osnat Keren and
                  Ilia Polian and
                  Mark M. Tehranipoor},
  title        = {Hardware Security (Dagstuhl Seminar 16202)},
  journal      = {Dagstuhl Reports},
  volume       = {6},
  number       = {5},
  pages        = {72--93},
  year         = {2016},
  url          = {https://doi.org/10.4230/DagRep.6.5.72},
  doi          = {10.4230/DAGREP.6.5.72},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dagstuhl-reports/KerenPT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/KellyZTF15,
  author       = {Shane Kelly and
                  Xuehui Zhang and
                  Mohammad Tehranipoor and
                  Andrew Ferraiuolo},
  title        = {Detecting Hardware Trojans using On-chip Sensors in an {ASIC} Design},
  journal      = {J. Electron. Test.},
  volume       = {31},
  number       = {1},
  pages        = {11--26},
  year         = {2015},
  url          = {https://doi.org/10.1007/s10836-015-5504-x},
  doi          = {10.1007/S10836-015-5504-X},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/KellyZTF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangWSTGAPDT15,
  author       = {Xiaoxiao Wang and
                  LeRoy Winemberg and
                  Donglin Su and
                  Dat Tran and
                  Saji George and
                  Nisar Ahmed and
                  Steve Palosh and
                  Allan Dobin and
                  Mark M. Tehranipoor},
  title        = {Aging Adaption in Integrated Circuits Using a Novel Built-In Sensor},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {1},
  pages        = {109--121},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2014.2366876},
  doi          = {10.1109/TCAD.2014.2366876},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangWSTGAPDT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuoTFD15,
  author       = {Zimu Guo and
                  Mark M. Tehranipoor and
                  Domenic Forte and
                  Jia Di},
  title        = {Investigation of obfuscation-based anti-reverse engineering for printed
                  circuit boards},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {114:1--114:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744862},
  doi          = {10.1145/2744769.2744862},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GuoTFD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ChenCFDTW15,
  author       = {Shuai Chen and
                  Junlin Chen and
                  Domenic Forte and
                  Jia Di and
                  Mark M. Tehranipoor and
                  Lei Wang},
  title        = {Chip-level anti-reverse engineering using transformable interconnects},
  booktitle    = {2015 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFTS} 2015, Amherst, MA, USA,
                  October 12-14, 2015},
  pages        = {109--114},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/DFT.2015.7315145},
  doi          = {10.1109/DFT.2015.7315145},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ChenCFDTW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ContrerasAWT15,
  author       = {Gustavo K. Contreras and
                  Nisar Ahmed and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {Predictive {LBIST} model and partial {ATPG} for seed extraction},
  booktitle    = {2015 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFTS} 2015, Amherst, MA, USA,
                  October 12-14, 2015},
  pages        = {139--146},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/DFT.2015.7315151},
  doi          = {10.1109/DFT.2015.7315151},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ContrerasAWT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SadiTWW15,
  author       = {Mehdi Sadi and
                  Mark M. Tehranipoor and
                  Xiaoxiao Wang and
                  LeRoy Winemberg},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {Speed Binning Using Machine Learning And On-chip Slack Sensors},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {155--160},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742080},
  doi          = {10.1145/2742060.2742080},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SadiTWW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/XiaoFT15,
  author       = {Kan Xiao and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  title        = {Efficient and secure split manufacturing via obfuscated built-in self-authentication},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2015, Washington, DC, USA, 5-7 May, 2015},
  pages        = {14--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/HST.2015.7140229},
  doi          = {10.1109/HST.2015.7140229},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/XiaoFT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YangFT15,
  author       = {Kun Yang and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {Protecting Endpoint Devices in IoT Supply Chain},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {351--356},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372591},
  doi          = {10.1109/ICCAD.2015.7372591},
  timestamp    = {Mon, 26 Jun 2023 16:43:56 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YangFT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShakyaGTF15,
  author       = {Bicky Shakya and
                  Ujjwal Guin and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Performance optimization for on-chip sensors to detect recycled ICs},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {289--295},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357116},
  doi          = {10.1109/ICCD.2015.7357116},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShakyaGTF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RahmanFRT15,
  author       = {Md. Tauhidur Rahman and
                  Domenic Forte and
                  Fahim Rahman and
                  Mark M. Tehranipoor},
  title        = {A pair selection algorithm for robust {RO-PUF} against environmental
                  variations and aging},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {415--418},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357137},
  doi          = {10.1109/ICCD.2015.7357137},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RahmanFRT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ContrerasZAWT15,
  author       = {Gustavo K. Contreras and
                  Yang Zhao and
                  Nisar Ahmed and
                  LeRoy Winemberg and
                  Mohammad Tehranipoor},
  title        = {{LBIST} pattern reduction by learning {ATPG} test cube properties},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {147--153},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085415},
  doi          = {10.1109/ISQED.2015.7085415},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ContrerasZAWT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/ShakyaRTF15,
  author       = {Bicky Shakya and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Harnessing Nanoscale Device Properties for Hardware Security},
  booktitle    = {16th International Workshop on Microprocessor and {SOC} Test and Verification,
                  {MTV} 2015, Austin, TX, USA, December 3-4, 2015},
  pages        = {42--47},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/MTV.2015.18},
  doi          = {10.1109/MTV.2015.18},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/ShakyaRTF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rfidsec/YangFT15,
  author       = {Kun Yang and
                  Domenic Forte and
                  Mark M. Tehranipoor},
  editor       = {Stefan Mangard and
                  Patrick Schaumont},
  title        = {ReSC: RFID-Enabled Supply Chain Management and Traceability for Network
                  Devices},
  booktitle    = {Radio Frequency Identification. Security and Privacy Issues - 11th
                  International Workshop, RFIDsec 2015, New York, NY, USA, June 23-24,
                  2015, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {9440},
  pages        = {32--49},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-24837-0\_3},
  doi          = {10.1007/978-3-319-24837-0\_3},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rfidsec/YangFT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SadiWT15,
  author       = {Mehdi Sadi and
                  LeRoy Winemberg and
                  Mark M. Tehranipoor},
  title        = {A robust digital sensor {IP} and sensor insertion flow for in-situ
                  path timing slack monitoring in SoCs},
  booktitle    = {33rd {IEEE} {VLSI} Test Symposium, {VTS} 2015, Napa, CA, USA, April
                  27-29, 2015},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/VTS.2015.7116292},
  doi          = {10.1109/VTS.2015.7116292},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SadiWT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/CollierDWTLL14,
  author       = {Zachary A. Collier and
                  Daniel DiMase and
                  Steve Walters and
                  Mark Mohammad Tehranipoor and
                  James H. Lambert and
                  Igor Linkov},
  title        = {Cybersecurity Standards: Managing Risk and Creating Resilience},
  journal      = {Computer},
  volume       = {47},
  number       = {9},
  pages        = {70--76},
  year         = {2014},
  url          = {https://doi.org/10.1109/MC.2013.448},
  doi          = {10.1109/MC.2013.448},
  timestamp    = {Wed, 12 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/CollierDWTLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/GuinDT14,
  author       = {Ujjwal Guin and
                  Daniel DiMase and
                  Mohammad Tehranipoor},
  title        = {Counterfeit Integrated Circuits: Detection, Avoidance, and the Challenges
                  Ahead},
  journal      = {J. Electron. Test.},
  volume       = {30},
  number       = {1},
  pages        = {9--23},
  year         = {2014},
  url          = {https://doi.org/10.1007/s10836-013-5430-8},
  doi          = {10.1007/S10836-013-5430-8},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/GuinDT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/GuinDT14a,
  author       = {Ujjwal Guin and
                  Daniel DiMase and
                  Mohammad Tehranipoor},
  title        = {A Comprehensive Framework for Counterfeit Defect Coverage Analysis
                  and Detection Assessment},
  journal      = {J. Electron. Test.},
  volume       = {30},
  number       = {1},
  pages        = {25--40},
  year         = {2014},
  url          = {https://doi.org/10.1007/s10836-013-5428-2},
  doi          = {10.1007/S10836-013-5428-2},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/GuinDT14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/TomitaWSKMHGTW14,
  author       = {Akihiro Tomita and
                  Xiaoqing Wen and
                  Yasuo Sato and
                  Seiji Kajihara and
                  Kohei Miyase and
                  Stefan Holst and
                  Patrick Girard and
                  Mohammad Tehranipoor and
                  Laung{-}Terng Wang},
  title        = {On Achieving Capture Power Safety in At-Speed Scan-Based Logic {BIST}},
  journal      = {{IEICE} Trans. Inf. Syst.},
  volume       = {97-D},
  number       = {10},
  pages        = {2706--2718},
  year         = {2014},
  url          = {https://doi.org/10.1587/transinf.2014EDP7039},
  doi          = {10.1587/TRANSINF.2014EDP7039},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/TomitaWSKMHGTW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PolianT14,
  author       = {Ilia Polian and
                  Mohammad Tehranipoor},
  title        = {Guest Editorial},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {8},
  number       = {6},
  pages        = {237--238},
  year         = {2014},
  url          = {https://doi.org/10.1049/iet-cdt.2014.0194},
  doi          = {10.1049/IET-CDT.2014.0194},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PolianT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ChenWT14,
  author       = {Jifeng Chen and
                  Shuo Wang and
                  Mohammad Tehranipoor},
  title        = {Critical-reliability path identification and delay analysis},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {10},
  number       = {2},
  pages        = {12:1--12:21},
  year         = {2014},
  url          = {https://doi.org/10.1145/2564926},
  doi          = {10.1145/2564926},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/ChenWT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/GuinHDCTM14,
  author       = {Ujjwal Guin and
                  Ke Huang and
                  Daniel DiMase and
                  John M. Carulli and
                  Mohammad Tehranipoor and
                  Yiorgos Makris},
  title        = {Counterfeit Integrated Circuits: {A} Rising Threat in the Global Semiconductor
                  Supply Chain},
  journal      = {Proc. {IEEE}},
  volume       = {102},
  number       = {8},
  pages        = {1207--1228},
  year         = {2014},
  url          = {https://doi.org/10.1109/JPROC.2014.2332291},
  doi          = {10.1109/JPROC.2014.2332291},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/GuinHDCTM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XiaoFT14,
  author       = {Kan Xiao and
                  Domenic Forte and
                  Mohammad Tehranipoor},
  title        = {A Novel Built-In Self-Authentication Technique to Prevent Inserting
                  Hardware Trojans},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {12},
  pages        = {1778--1791},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2356453},
  doi          = {10.1109/TCAD.2014.2356453},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/XiaoFT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZhangT14,
  author       = {Xuehui Zhang and
                  Mohammad Tehranipoor},
  title        = {Design of On-Chip Lightweight Sensors for Effective Detection of Recycled
                  ICs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {5},
  pages        = {1016--1029},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2264063},
  doi          = {10.1109/TVLSI.2013.2264063},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZhangT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangT14,
  author       = {Shuo Wang and
                  Mohammad Tehranipoor},
  title        = {Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced
                  by Noise in Integrated Circuits},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {5},
  pages        = {1030--1041},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2263812},
  doi          = {10.1109/TVLSI.2013.2263812},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/SadiCEKBT14,
  author       = {Mehdi Sadi and
                  Zoe Conroy and
                  Bill Eklow and
                  Matthias Kamm and
                  Nematollah Bidokhti and
                  Mark Mohammad Tehranipoor},
  title        = {An All Digital Distributed Sensor Network Based Framework for Continuous
                  Noise Monitoring and Timing Failure Analysis in SoCs},
  booktitle    = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November
                  16-19, 2014},
  pages        = {269--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ATS.2014.64},
  doi          = {10.1109/ATS.2014.64},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/SadiCEKBT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/HoseyRXFT14,
  author       = {Alison Hosey and
                  Md. Tauhidur Rahman and
                  Kan Xiao and
                  Domenic Forte and
                  Mohammad Tehranipoor},
  title        = {Advanced Analysis of Cell Stability for Reliable {SRAM} PUFs},
  booktitle    = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November
                  16-19, 2014},
  pages        = {348--353},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ATS.2014.70},
  doi          = {10.1109/ATS.2014.70},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/HoseyRXFT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuinZFT14,
  author       = {Ujjwal Guin and
                  Xuehui Zhang and
                  Domenic Forte and
                  Mohammad Tehranipoor},
  title        = {Low-cost On-Chip Structures for Combating Die and {IC} Recycling},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {87:1--87:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593157},
  doi          = {10.1145/2593069.2593157},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GuinZFT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RahmanXFZST14,
  author       = {Md. Tauhidur Rahman and
                  Kan Xiao and
                  Domenic Forte and
                  Xuhei Zhang and
                  Zhijie Jerry Shi and
                  Mohammad Tehranipoor},
  title        = {{TI-TRNG:} Technology Independent True Random Number Generator},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {179:1--179:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593236},
  doi          = {10.1145/2593069.2593236},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RahmanXFZST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HamdiouiDNSBT14,
  author       = {Said Hamdioui and
                  Jean{-}Luc Danger and
                  Giorgio Di Natale and
                  Fethulah Smailbegovic and
                  Gerard van Battum and
                  Mark M. Tehranipoor},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Hacking and protecting {IC} hardware},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--7},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.112},
  doi          = {10.7873/DATE.2014.112},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HamdiouiDNSBT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RahmanFFT14,
  author       = {Md. Tauhidur Rahman and
                  Domenic Forte and
                  Jim Fahrny and
                  Mohammad Tehranipoor},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {{ARO-PUF:} An aging-resistant ring oscillator {PUF} design},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.082},
  doi          = {10.7873/DATE.2014.082},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RahmanFFT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/RahmanFSCT14,
  author       = {Md. Tauhidur Rahman and
                  Domenic Forte and
                  Quihang Shi and
                  Gustavo K. Contreras and
                  Mark Mohammad Tehranipoor},
  title        = {{CSST:} Preventing distribution of unlicensed and rejected ICs by
                  untrusted foundry and assembly},
  booktitle    = {2014 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands,
                  October 1-3, 2014},
  pages        = {46--51},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/DFT.2014.6962096},
  doi          = {10.1109/DFT.2014.6962096},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/RahmanFSCT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/DoganFT14,
  author       = {Halit Dogan and
                  Domenic Forte and
                  Mark Mohammad Tehranipoor},
  title        = {Aging analysis for recycled {FPGA} detection},
  booktitle    = {2014 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands,
                  October 1-3, 2014},
  pages        = {171--176},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/DFT.2014.6962099},
  doi          = {10.1109/DFT.2014.6962099},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/DoganFT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/HeT14,
  author       = {Miao Tony He and
                  Mohammad Tehranipoor},
  title        = {{SAM:} {A} comprehensive mechanism for accessing embedded sensors
                  in modern SoCs},
  booktitle    = {2014 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands,
                  October 1-3, 2014},
  pages        = {240--245},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/DFT.2014.6962097},
  doi          = {10.1109/DFT.2014.6962097},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/HeT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/XiaoRF0ST14,
  author       = {Kan Xiao and
                  Md. Tauhidur Rahman and
                  Domenic Forte and
                  Yu Huang and
                  Mei Su and
                  Mohammad Tehranipoor},
  title        = {Bit selection algorithm suitable for high-volume production of {SRAM-PUF}},
  booktitle    = {2014 {IEEE} International Symposium on Hardware-Oriented Security
                  and Trust, {HOST} 2014, Arlington, VA, USA, May 6-7, 2014},
  pages        = {101--106},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/HST.2014.6855578},
  doi          = {10.1109/HST.2014.6855578},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/XiaoRF0ST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/ShiTWW14,
  author       = {Qihang Shi and
                  Mohammad Tehranipoor and
                  Xiaoxiao Wang and
                  LeRoy Winemberg},
  title        = {On-chip sensor selection for effective speed-binning},
  booktitle    = {{IEEE} 57th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014},
  pages        = {1073--1076},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/MWSCAS.2014.6908604},
  doi          = {10.1109/MWSCAS.2014.6908604},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/ShiTWW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/natw/RahmanFSCT14,
  author       = {Md. Tauhidur Rahman and
                  Domenic Forte and
                  Quihang Shi and
                  Gustavo K. Contreras and
                  Mohammad Tehranipoor},
  title        = {{CSST:} An Efficient Secure Split-Test for Preventing {IC} Piracy},
  booktitle    = {{IEEE} 23rd North Atlantic Test Workshop, {NATW} 2014, Johnson City,
                  NY, USA, May 14-16, 2014},
  pages        = {43--47},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/NATW.2014.17},
  doi          = {10.1109/NATW.2014.17},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/natw/RahmanFSCT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/TehranipoorK14,
  author       = {Mohammad Tehranipoor and
                  Charles Knapp},
  editor       = {Kaijian Shi and
                  Thomas B{\"{u}}chner and
                  Danella Zhao and
                  Ramalingam Sridhar},
  title        = {{T1A:} Opportunities and challenges for secure hardware and verifying
                  trust in integrated circuits},
  booktitle    = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014,
                  Las Vegas, NV, USA, September 2-5, 2014},
  pages        = {xxxiii--xxxiv},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SOCC.2014.6948881},
  doi          = {10.1109/SOCC.2014.6948881},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/TehranipoorK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/TehranipoorF14,
  author       = {Mohammad Tehranipoor and
                  Domenic Forte},
  title        = {Tutorial {T4:} All You Need to Know about Hardware Trojans and Counterfeit
                  ICs},
  booktitle    = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014,
                  and 2014 13th International Conference on Embedded Systems, Mumbai,
                  India, January 5-9, 2014},
  pages        = {9--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSID.2014.119},
  doi          = {10.1109/VLSID.2014.119},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/TehranipoorF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenWT14,
  author       = {Jifeng Chen and
                  LeRoy Winemberg and
                  Mohammad Tehranipoor},
  title        = {Identification of testable representative paths for low-cost verification
                  of circuit performance during manufacturing and in-field tests},
  booktitle    = {32nd {IEEE} {VLSI} Test Symposium, {VTS} 2014, Napa, CA, USA, April
                  13-17, 2014},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/VTS.2014.6818782},
  doi          = {10.1109/VTS.2014.6818782},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChenWT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/crc/14/AhmedT14,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor},
  editor       = {Sandeep Kumar Goel and
                  Krishnendu Chakrabarty},
  title        = {Faster-than-at-Speed Test for Screening Small-Delay Defects},
  booktitle    = {Testing for Small-Delay Defects in Nanoscale {CMOS} Integrated Circuits},
  pages        = {73--94},
  publisher    = {{CRC} Press},
  year         = {2014},
  timestamp    = {Fri, 05 Jun 2020 14:24:01 +0200},
  biburl       = {https://dblp.org/rec/books/crc/14/AhmedT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/crc/14/PengYT14,
  author       = {Ke Peng and
                  Mahmut Yilmaz and
                  Mohammad Tehranipoor},
  editor       = {Sandeep Kumar Goel and
                  Krishnendu Chakrabarty},
  title        = {Circuit Path Grading Considering Layout, Process Variations, and Cross
                  Talk},
  booktitle    = {Testing for Small-Delay Defects in Nanoscale {CMOS} Integrated Circuits},
  pages        = {95--118},
  publisher    = {{CRC} Press},
  year         = {2014},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/crc/14/PengYT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/XiaoZT13,
  author       = {Kan Xiao and
                  Xuehui Zhang and
                  Mohammad Tehranipoor},
  title        = {A Clock Sweeping Technique for Detecting Hardware Trojans Impacting
                  Circuits Delay},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {2},
  pages        = {26--34},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDAT.2013.2249555},
  doi          = {10.1109/MDAT.2013.2249555},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/XiaoZT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BhuniaAABHPT13,
  author       = {Swarup Bhunia and
                  Miron Abramovici and
                  Dakshi Agrawal and
                  Paul Bradley and
                  Michael S. Hsiao and
                  Jim Plusquellic and
                  Mohammad Tehranipoor},
  title        = {Protection Against Hardware Trojan Attacks: Towards a Comprehensive
                  Solution},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {3},
  pages        = {6--17},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDT.2012.2196252},
  doi          = {10.1109/MDT.2012.2196252},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/BhuniaAABHPT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/DavoodiLT13,
  author       = {Azadeh Davoodi and
                  Min Li and
                  Mohammad Tehranipoor},
  title        = {A Sensor-Assisted Self-Authentication Framework for Hardware Trojan
                  Detection},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {5},
  pages        = {74--82},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDAT.2013.2255913},
  doi          = {10.1109/MDAT.2013.2255913},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/DavoodiLT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/BaoPYCWT13,
  author       = {Fang Bao and
                  Ke Peng and
                  Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  LeRoy Winemberg and
                  Mohammad Tehranipoor},
  title        = {Efficient Pattern Generation for Small-Delay Defects Using Selection
                  of Critical Faults},
  journal      = {J. Electron. Test.},
  volume       = {29},
  number       = {1},
  pages        = {35--48},
  year         = {2013},
  url          = {https://doi.org/10.1007/s10836-012-5345-9},
  doi          = {10.1007/S10836-012-5345-9},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/et/BaoPYCWT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/ZhangFT13,
  author       = {Xuehui Zhang and
                  Andrew Ferraiuolo and
                  Mohammad Tehranipoor},
  title        = {Detection of trojans using a combined ring oscillator network and
                  off-chip transient power analysis},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {9},
  number       = {3},
  pages        = {25:1--25:20},
  year         = {2013},
  url          = {https://doi.org/10.1145/2491677},
  doi          = {10.1145/2491677},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/ZhangFT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BaoPTC13,
  author       = {Fang Bao and
                  Ke Peng and
                  Mohammad Tehranipoor and
                  Krishnendu Chakrabarty},
  title        = {Generation of Effective 1-Detect {TDF} Patterns for Detecting Small-Delay
                  Defects},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {10},
  pages        = {1583--1594},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2013.2266374},
  doi          = {10.1109/TCAD.2013.2266374},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/BaoPTC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ZhaoMTC13,
  author       = {Wei Zhao and
                  Junxia Ma and
                  Mohammad Tehranipoor and
                  Sreejit Chakravarty},
  title        = {Power-safe application of tdf patterns to flip-chip designs during
                  wafer test},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {18},
  number       = {3},
  pages        = {43:1--43:20},
  year         = {2013},
  url          = {https://doi.org/10.1145/2491477.2491487},
  doi          = {10.1145/2491477.2491487},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ZhaoMTC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PengYCT13,
  author       = {Ke Peng and
                  Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  title        = {Crosstalk- and Process Variations-Aware High-Quality Tests for Small-Delay
                  Defects},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {6},
  pages        = {1129--1142},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2205026},
  doi          = {10.1109/TVLSI.2012.2205026},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PengYCT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/TomitaWSKGTW13,
  author       = {Akihiro Tomita and
                  Xiaoqing Wen and
                  Yasuo Sato and
                  Seiji Kajihara and
                  Patrick Girard and
                  Mohammad Tehranipoor and
                  Laung{-}Terng Wang},
  title        = {On Achieving Capture Power Safety in At-Speed Scan-Based Logic {BIST}},
  booktitle    = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November
                  18-21, 2013},
  pages        = {19--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ATS.2013.14},
  doi          = {10.1109/ATS.2013.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/TomitaWSKGTW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BaoTC13,
  author       = {Fang Bao and
                  Mohammad Tehranipoor and
                  Harry H. Chen},
  title        = {Worst-Case Critical-Path Delay Analysis Considering Power-Supply Noise},
  booktitle    = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November
                  18-21, 2013},
  pages        = {37--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ATS.2013.17},
  doi          = {10.1109/ATS.2013.17},
  timestamp    = {Mon, 17 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ats/BaoTC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChenT13,
  author       = {Jifeng Chen and
                  Mohammad Tehranipoor},
  title        = {Critical Paths Selection and Test Cost Reduction Considering Process
                  Variations},
  booktitle    = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November
                  18-21, 2013},
  pages        = {259--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ATS.2013.55},
  doi          = {10.1109/ATS.2013.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChenT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/SalmaniT13,
  author       = {Hassan Salmani and
                  Mohammad Tehranipoor},
  title        = {Analyzing circuit vulnerability to hardware Trojan insertion at the
                  behavioral level},
  booktitle    = {2013 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFTS} 2013, New York City,
                  NY, USA, October 2-4, 2013},
  pages        = {190--195},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/DFT.2013.6653605},
  doi          = {10.1109/DFT.2013.6653605},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/SalmaniT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ContrerasRT13,
  author       = {Gustavo K. Contreras and
                  Md. Tauhidur Rahman and
                  Mohammad Tehranipoor},
  title        = {Secure Split-Test for preventing {IC} piracy by untrusted foundry
                  and assembly},
  booktitle    = {2013 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFTS} 2013, New York City,
                  NY, USA, October 2-4, 2013},
  pages        = {196--203},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/DFT.2013.6653606},
  doi          = {10.1109/DFT.2013.6653606},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ContrerasRT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/XiaoT13,
  author       = {Kan Xiao and
                  Mohammad Tehranipoor},
  title        = {{BISA:} Built-in self-authentication for preventing hardware Trojan
                  insertion},
  booktitle    = {2013 {IEEE} International Symposium on Hardware-Oriented Security
                  and Trust, {HOST} 2013, Austin, TX, USA, June 2-3, 2013},
  pages        = {45--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/HST.2013.6581564},
  doi          = {10.1109/HST.2013.6581564},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/XiaoT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GuinCT13,
  author       = {Ujjwal Guin and
                  Tapan J. Chakraborty and
                  Mohammad Tehranipoor},
  title        = {Functional Fmax test-time reduction using novel DFTs for circuit initialization},
  booktitle    = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD}
                  2013, Asheville, NC, USA, October 6-9, 2013},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCD.2013.6657017},
  doi          = {10.1109/ICCD.2013.6657017},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GuinCT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SalmaniTK13,
  author       = {Hassan Salmani and
                  Mohammad Tehranipoor and
                  Ramesh Karri},
  title        = {On design vulnerability analysis and trust benchmarks development},
  booktitle    = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD}
                  2013, Asheville, NC, USA, October 6-9, 2013},
  pages        = {471--474},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCD.2013.6657085},
  doi          = {10.1109/ICCD.2013.6657085},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SalmaniTK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChenT13,
  author       = {Jifeng Chen and
                  Mohammad Tehranipoor},
  title        = {A novel flow for reducing clock skew considering {NBTI} effect and
                  process variations},
  booktitle    = {International Symposium on Quality Electronic Design, {ISQED} 2013,
                  Santa Clara, CA, USA, March 4-6, 2013},
  pages        = {327--334},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISQED.2013.6523630},
  doi          = {10.1109/ISQED.2013.6523630},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChenT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/GuinFT13,
  author       = {Ujjwal Guin and
                  Domenic Forte and
                  Mohammad Tehranipoor},
  title        = {Anti-counterfeit Techniques: From Design to Resign},
  booktitle    = {14th International Workshop on Microprocessor Test and Verification,
                  {MTV} 2013, Austin, TX, USA, December 11-13, 2013},
  pages        = {89--94},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/MTV.2013.28},
  doi          = {10.1109/MTV.2013.28},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/GuinFT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PolianT13,
  author       = {Ilia Polian and
                  Mohammad Tehranipoor},
  title        = {Special session 12A: Hot topic counterfeit {IC} identification: How
                  can test help?},
  booktitle    = {31st {IEEE} {VLSI} Test Symposium, {VTS} 2013, Berkeley, CA, USA,
                  April 29 - May 2, 2013},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/VTS.2013.6548944},
  doi          = {10.1109/VTS.2013.6548944},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PolianT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZhangXTRK13,
  author       = {Xuehui Zhang and
                  Kan Xiao and
                  Mohammad Tehranipoor and
                  Jeyavijayan Rajendran and
                  Ramesh Karri},
  title        = {A study on the effectiveness of Trojan detection techniques using
                  a red team blue team approach},
  booktitle    = {31st {IEEE} {VLSI} Test Symposium, {VTS} 2013, Berkeley, CA, USA,
                  April 29 - May 2, 2013},
  pages        = {1--3},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/VTS.2013.6548922},
  doi          = {10.1109/VTS.2013.6548922},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ZhangXTRK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/MaTG12,
  author       = {Junxia Ma and
                  Mohammad Tehranipoor and
                  Patrick Girard},
  title        = {A Layout-Aware Pattern Grading Procedure for Critical Paths Considering
                  Power Supply Noise and Crosstalk},
  journal      = {J. Electron. Test.},
  volume       = {28},
  number       = {2},
  pages        = {201--214},
  year         = {2012},
  url          = {https://doi.org/10.1007/s10836-011-5268-x},
  doi          = {10.1007/S10836-011-5268-X},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/MaTG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/ZhaoTC12,
  author       = {Wei Zhao and
                  Mohammad Tehranipoor and
                  Sreejit Chakravarty},
  title        = {Ensuring Power-Safe Application of Test Patterns Using an Effective
                  Gating Approach Considering Current Limits},
  journal      = {J. Low Power Electron.},
  volume       = {8},
  number       = {2},
  pages        = {235--247},
  year         = {2012},
  url          = {https://doi.org/10.1166/jolpe.2012.1187},
  doi          = {10.1166/JOLPE.2012.1187},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/ZhaoTC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/SalmaniZTCGW12,
  author       = {Hassan Salmani and
                  Wei Zhao and
                  Mohammad Tehranipoor and
                  Sreejit Chakravarty and
                  Patrick Girard and
                  Xiaoqing Wen},
  title        = {Layout-Aware Pattern Evaluation and Analysis for Power-Safe Application
                  of Transition Delay Fault Patterns},
  journal      = {J. Low Power Electron.},
  volume       = {8},
  number       = {2},
  pages        = {248--258},
  year         = {2012},
  url          = {https://doi.org/10.1166/jolpe.2012.1188},
  doi          = {10.1166/JOLPE.2012.1188},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/SalmaniZTCGW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/SalmaniT12,
  author       = {Hassan Salmani and
                  Mohammad Tehranipoor},
  title        = {Layout-Aware Switching Activity Localization to Enhance Hardware Trojan
                  Detection},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {7},
  number       = {1},
  pages        = {76--87},
  year         = {2012},
  url          = {https://doi.org/10.1109/TIFS.2011.2164908},
  doi          = {10.1109/TIFS.2011.2164908},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tifs/SalmaniT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/SalmaniTP12,
  author       = {Hassan Salmani and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {A Novel Technique for Improving Hardware Trojan Detection and Reducing
                  Trojan Activation Time},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {1},
  pages        = {112--125},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2010.2093547},
  doi          = {10.1109/TVLSI.2010.2093547},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/SalmaniTP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangTGTW12,
  author       = {Xiaoxiao Wang and
                  Mohammad Tehranipoor and
                  Saji George and
                  Dat Tran and
                  LeRoy Winemberg},
  title        = {Design and Analysis of a Delay Sensor Applicable to Process/Environmental
                  Variations and Aging Measurements},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {8},
  pages        = {1405--1418},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2158124},
  doi          = {10.1109/TVLSI.2011.2158124},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangTGTW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZhaoT12,
  author       = {Wei Zhao and
                  Mohammad Tehranipoor},
  title        = {PowerMAX: Fast Power Analysis during Test},
  booktitle    = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November
                  19-22, 2012},
  pages        = {227--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ATS.2012.60},
  doi          = {10.1109/ATS.2012.60},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZhaoT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangTT12,
  author       = {Xuehui Zhang and
                  Nicholas Tuzzio and
                  Mohammad Tehranipoor},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Identification of recovered ICs using fingerprints from a light-weight
                  on-chip sensor},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {703--708},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228486},
  doi          = {10.1145/2228360.2228486},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangTT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiDT12,
  author       = {Min Li and
                  Azadeh Davoodi and
                  Mohammad Tehranipoor},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {A sensor-assisted self-authentication framework for hardware trojan
                  detection},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1331--1336},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176698},
  doi          = {10.1109/DATE.2012.6176698},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiDT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ZhangXT12,
  author       = {Xuehui Zhang and
                  Kan Xiao and
                  Mohammad Tehranipoor},
  title        = {Path-delay fingerprinting for identification of recovered ICs},
  booktitle    = {2012 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2012, Austin, TX, USA,
                  October 3-5, 2012},
  pages        = {13--18},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/DFT.2012.6378192},
  doi          = {10.1109/DFT.2012.6378192},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ZhangXT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChenWT12,
  author       = {Jifeng Chen and
                  Shuo Wang and
                  Mohammad Tehranipoor},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {Efficient selection and analysis of critical-reliability paths and
                  gates},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {45--50},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206793},
  doi          = {10.1145/2206781.2206793},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChenWT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/TuzzioXZT12,
  author       = {Nicholas Tuzzio and
                  Kan Xiao and
                  Xuehui Zhang and
                  Mohammad Tehranipoor},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {A zero-overhead {IC} identification technique using clock sweeping
                  and path delay analysis},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {95--98},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206806},
  doi          = {10.1145/2206781.2206806},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/TuzzioXZT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/WangT12,
  author       = {Shuo Wang and
                  Mohammad Tehranipoor},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {{TSUNAMI:} a light-weight on-chip structure for measuring timing uncertainty
                  induced by noise during functional and test operations},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {183--188},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206826},
  doi          = {10.1145/2206781.2206826},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/WangT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FerraiuoloZT12,
  author       = {Andrew Ferraiuolo and
                  Xuehui Zhang and
                  Mohammad Tehranipoor},
  editor       = {Alan J. Hu},
  title        = {Experimental analysis of a ring oscillator network for hardware Trojan
                  detection in a 90nm {ASIC}},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {37--42},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429392},
  doi          = {10.1145/2429384.2429392},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/FerraiuoloZT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangCT12,
  author       = {Shuo Wang and
                  Jifeng Chen and
                  Mohammad Tehranipoor},
  editor       = {Alan J. Hu},
  title        = {Representative Critical Reliability Paths for low-cost and accurate
                  on-chip aging evaluation},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {736--741},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429543},
  doi          = {10.1145/2429384.2429543},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WangCT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangTGWAPDT12,
  author       = {Xiaoxiao Wang and
                  Dat Tran and
                  Saji George and
                  LeRoy Winemberg and
                  Nisar Ahmed and
                  Steve Palosh and
                  Allan Dobin and
                  Mohammad Tehranipoor},
  title        = {Radic: {A} standard-cell-based sensor for on-chip aging and flip-flop
                  metastability measurements},
  booktitle    = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA,
                  USA, November 5-8, 2012},
  pages        = {1--9},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/TEST.2012.6401593},
  doi          = {10.1109/TEST.2012.6401593},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangTGWAPDT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WenNMKGTW12,
  author       = {Xiaoqing Wen and
                  Y. Nishida and
                  Kohei Miyase and
                  Seiji Kajihara and
                  Patrick Girard and
                  Mohammad Tehranipoor and
                  Laung{-}Terng Wang},
  title        = {On pinpoint capture power management in at-speed scan test generation},
  booktitle    = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA,
                  USA, November 5-8, 2012},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/TEST.2012.6401548},
  doi          = {10.1109/TEST.2012.6401548},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WenNMKGTW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZhaoCMDYT12,
  author       = {Wei Zhao and
                  Sreejit Chakravarty and
                  Junxia Ma and
                  Narendra Devta{-}Prasanna and
                  Fan Yang and
                  Mohammad Tehranipoor},
  title        = {A novel method for fast identification of peak current during test},
  booktitle    = {30th {IEEE} {VLSI} Test Symposium, {VTS} 2012, Maui, Hawaii, USA,
                  23-26 April 2012},
  pages        = {191--196},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/VTS.2012.6231101},
  doi          = {10.1109/VTS.2012.6231101},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ZhaoCMDYT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/daglib/0028243,
  author       = {Mohammad Tehranipoor and
                  Ke Peng and
                  Krishnendu Chakrabarty},
  title        = {Test and Diagnosis for Small-Delay Defects},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-1-4419-8297-1},
  doi          = {10.1007/978-1-4419-8297-1},
  isbn         = {978-1-4419-8296-4},
  timestamp    = {Tue, 16 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/daglib/0028243.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/TehranipoorSZWKRR11,
  author       = {Mohammad Tehranipoor and
                  Hassan Salmani and
                  Xuehui Zhang and
                  Michel Wang and
                  Ramesh Karri and
                  Jeyavijayan Rajendran and
                  Kurt Rosenfeld},
  title        = {Trustworthy Hardware: Trojan Detection and Design-for-Trust Challenges},
  journal      = {Computer},
  volume       = {44},
  number       = {7},
  pages        = {66--74},
  year         = {2011},
  url          = {https://doi.org/10.1109/MC.2010.369},
  doi          = {10.1109/MC.2010.369},
  timestamp    = {Wed, 12 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/TehranipoorSZWKRR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/YilmazTC11,
  author       = {Mahmut Yilmaz and
                  Mohammad Tehranipoor and
                  Krishnendu Chakrabarty},
  title        = {A Metric to Target Small-Delay Defects in Industrial Circuits},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {28},
  number       = {2},
  pages        = {52--61},
  year         = {2011},
  url          = {https://doi.org/10.1109/MDT.2011.26},
  doi          = {10.1109/MDT.2011.26},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/YilmazTC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MaT11,
  author       = {Junxia Ma and
                  Mohammad Tehranipoor},
  title        = {Layout-Aware Critical Path Delay Test Under Maximum Power Supply Noise
                  Effects},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {12},
  pages        = {1923--1934},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2011.2163159},
  doi          = {10.1109/TCAD.2011.2163159},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/MaT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/LamechRTP11,
  author       = {Charles Lamech and
                  Reza M. Rad and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {An Experimental Analysis of Power and Delay Signal-to-Noise Requirements
                  for Detecting Trojans and Methods for Achieving the Required Detection
                  Sensitivities},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {6},
  number       = {3-2},
  pages        = {1170--1179},
  year         = {2011},
  url          = {https://doi.org/10.1109/TIFS.2011.2136339},
  doi          = {10.1109/TIFS.2011.2136339},
  timestamp    = {Thu, 06 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tifs/LamechRTP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/BaoPCT11,
  author       = {Fang Bao and
                  Ke Peng and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  title        = {On Generation of 1-Detect {TDF} Pattern Set with Significantly Increased
                  {SDD} Coverage},
  booktitle    = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New
                  Delhi, India, November 20-23, 2011},
  pages        = {120--125},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ATS.2011.10},
  doi          = {10.1109/ATS.2011.10},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/BaoPCT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangTW11,
  author       = {Shuo Wang and
                  Mohammad Tehranipoor and
                  LeRoy Winemberg},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {In-field aging measurement and calibration for power-performance optimization},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {706--711},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024883},
  doi          = {10.1145/2024724.2024883},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangTW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhangT11,
  author       = {Xuehui Zhang and
                  Mohammad Tehranipoor},
  title        = {{RON:} An on-chip ring oscillator network for hardware Trojan detection},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1638--1643},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763260},
  doi          = {10.1109/DATE.2011.5763260},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhangT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/BaoPYCWT11,
  author       = {Fang Bao and
                  Ke Peng and
                  Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  LeRoy Winemberg and
                  Mohammad Tehranipoor},
  title        = {Critical Fault-Based Pattern Generation for Screening SDDs},
  booktitle    = {16th European Test Symposium, {ETS} 2011, Trondheim, Norway, May 23-27,
                  2011},
  pages        = {177--182},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ETS.2011.26},
  doi          = {10.1109/ETS.2011.26},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/BaoPYCWT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/ZhaoT11,
  author       = {Wei Zhao and
                  Mohammad Tehranipoor},
  title        = {Peak power identification on power bumps during test application},
  booktitle    = {2011 International Green Computing Conference and Workshops, {IGCC}
                  2012, Orlando, FL, USA, July 25-28, 2011},
  pages        = {1--3},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/IGCC.2011.6008608},
  doi          = {10.1109/IGCC.2011.6008608},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/green/ZhaoT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/ZhangT11,
  author       = {Xuehui Zhang and
                  Mohammad Tehranipoor},
  title        = {Case study: Detecting hardware Trojans in third-party digital {IP}
                  cores},
  booktitle    = {{HOST} 2011, Proceedings of the 2011 {IEEE} International Symposium
                  on Hardware-Oriented Security and Trust (HOST), 5-6 June 2011, San
                  Diego, California, {USA}},
  pages        = {67--70},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/HST.2011.5954998},
  doi          = {10.1109/HST.2011.5954998},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/ZhangT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangTT11,
  author       = {Xuehui Zhang and
                  Nicholas Tuzzio and
                  Mohammad Tehranipoor},
  title        = {Red team: Design of intelligent hardware trojans with known defense
                  schemes},
  booktitle    = {{IEEE} 29th International Conference on Computer Design, {ICCD} 2011,
                  Amherst, MA, USA, October 9-12, 2011},
  pages        = {309--312},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCD.2011.6081416},
  doi          = {10.1109/ICCD.2011.6081416},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangTT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PengBSWT11,
  author       = {Ke Peng and
                  Fang Bao and
                  Geoff Shofner and
                  LeRoy Winemberg and
                  Mohammad Tehranipoor},
  title        = {Case Study: Efficient {SDD} test generation for very large integrated
                  circuits},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {78--83},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783759},
  doi          = {10.1109/VTS.2011.5783759},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/PengBSWT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WenTKBMW11,
  author       = {Xiaoqing Wen and
                  Mohammad Tehranipoor and
                  Rohit Kapur and
                  Anand Bhat and
                  Amitava Majumdar and
                  LeRoy Winemberg},
  title        = {Special session 5B: Panel How much toggle activity should we be testing
                  with?},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {114},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783768},
  doi          = {10.1109/VTS.2011.5783768},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/WenTKBMW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZhaoTC11,
  author       = {Wei Zhao and
                  Mohammad Tehranipoor and
                  Sreejit Chakravarty},
  title        = {Power-safe test application using an effective gating approach considering
                  current limits},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {160--165},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783777},
  doi          = {10.1109/VTS.2011.5783777},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ZhaoTC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WenEMYKKGT11,
  author       = {Xiaoqing Wen and
                  Kazunari Enokimoto and
                  Kohei Miyase and
                  Yuta Yamato and
                  Michael A. Kochte and
                  Seiji Kajihara and
                  Patrick Girard and
                  Mohammad Tehranipoor},
  title        = {Power-aware test generation with guaranteed launch safety for at-speed
                  scan testing},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {166--171},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783778},
  doi          = {10.1109/VTS.2011.5783778},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/WenEMYKKGT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MaAT11,
  author       = {Junxia Ma and
                  Nisar Ahmed and
                  Mohammad Tehranipoor},
  title        = {Low-cost diagnostic pattern generation and evaluation procedures for
                  noise-related failures},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {309--314},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783739},
  doi          = {10.1109/VTS.2011.5783739},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/MaAT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WinembergT11,
  author       = {LeRoy Winemberg and
                  Mohammad Tehranipoor},
  title        = {Special session: Hot topic: Smart silicon},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {323},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783742},
  doi          = {10.1109/VTS.2011.5783742},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/WinembergT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/KarriRRT10,
  author       = {Ramesh Karri and
                  Jeyavijayan Rajendran and
                  Kurt Rosenfeld and
                  Mohammad Tehranipoor},
  title        = {Trustworthy Hardware: Identifying and Classifying Hardware Trojans},
  journal      = {Computer},
  volume       = {43},
  number       = {10},
  pages        = {39--46},
  year         = {2010},
  url          = {https://doi.org/10.1109/MC.2010.299},
  doi          = {10.1109/MC.2010.299},
  timestamp    = {Wed, 12 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/KarriRRT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/TehranipoorK10,
  author       = {Mohammad Tehranipoor and
                  Farinaz Koushanfar},
  title        = {Guest Editors' Introduction: Confronting the Hardware Trustworthiness
                  Problem},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {1},
  pages        = {8--9},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.20},
  doi          = {10.1109/MDT.2010.20},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/TehranipoorK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/TehranipoorK10a,
  author       = {Mohammad Tehranipoor and
                  Farinaz Koushanfar},
  title        = {A Survey of Hardware Trojan Taxonomy and Detection},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {1},
  pages        = {10--25},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.7},
  doi          = {10.1109/MDT.2010.7},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/TehranipoorK10a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/TehranipoorB10,
  author       = {Mohammad Tehranipoor and
                  Kenneth M. Butler},
  title        = {Power Supply Noise: {A} Survey on Effects and Research},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {2},
  pages        = {51--67},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.52},
  doi          = {10.1109/MDT.2010.52},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/TehranipoorB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/MiyaseWFYKGWT10,
  author       = {Kohei Miyase and
                  Xiaoqing Wen and
                  Hiroshi Furukawa and
                  Yuta Yamato and
                  Seiji Kajihara and
                  Patrick Girard and
                  Laung{-}Terng Wang and
                  Mohammad Tehranipoor},
  title        = {High Launch Switching Activity Reduction in At-Speed Scan Testing
                  Using {CTX:} {A} Clock-Gating-Based Test Relaxation and X-Filling
                  Scheme},
  journal      = {{IEICE} Trans. Inf. Syst.},
  volume       = {93-D},
  number       = {1},
  pages        = {2--9},
  year         = {2010},
  url          = {https://doi.org/10.1587/transinf.E93.D.2},
  doi          = {10.1587/TRANSINF.E93.D.2},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/MiyaseWFYKGWT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/AhmedT10,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor},
  title        = {A Novel IR-Drop Tolerant Transition Delay Fault Test Pattern Generation
                  Procedure},
  journal      = {J. Low Power Electron.},
  volume       = {6},
  number       = {1},
  pages        = {150--159},
  year         = {2010},
  url          = {https://doi.org/10.1166/jolpe.2010.1065},
  doi          = {10.1166/JOLPE.2010.1065},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/AhmedT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/WuDBGPVTWA10,
  author       = {Fangmei Wu and
                  Luigi Dilillo and
                  Alberto Bosio and
                  Patrick Girard and
                  Serge Pravossoudovitch and
                  Arnaud Virazel and
                  Mohammad Tehranipoor and
                  Xiaoqing Wen and
                  Nisar Ahmed},
  title        = {A Comprehensive Analysis of Transition Fault Coverage and Test Power
                  Dissipation for Launch-Off-Shift and Launch-Off-Capture Schemes},
  journal      = {J. Low Power Electron.},
  volume       = {6},
  number       = {2},
  pages        = {359--374},
  year         = {2010},
  url          = {https://doi.org/10.1166/jolpe.2010.1086},
  doi          = {10.1166/JOLPE.2010.1086},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/WuDBGPVTWA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YilmazCT10,
  author       = {Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  title        = {Test-Pattern Selection for Screening Small-Delay Defects in Very-Deep
                  Submicrometer Integrated Circuits},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {5},
  pages        = {760--773},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2010.2043591},
  doi          = {10.1109/TCAD.2010.2043591},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/YilmazCT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/RadPT10,
  author       = {Reza M. Rad and
                  James F. Plusquellic and
                  Mohammad Tehranipoor},
  title        = {A Sensitivity Analysis of Power Signal Methods for Detecting Hardware
                  Trojans Under Real Process and Environmental Conditions},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {12},
  pages        = {1735--1744},
  year         = {2010},
  url          = {https://doi.org/10.1109/TVLSI.2009.2029117},
  doi          = {10.1109/TVLSI.2009.2029117},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/RadPT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PengHGCT10,
  author       = {Ke Peng and
                  Yu Huang and
                  Ruifeng Guo and
                  Wu{-}Tung Cheng and
                  Mohammad Tehranipoor},
  title        = {Emulating and diagnosing IR-drop by using dynamic {SDF}},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {511--516},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419829},
  doi          = {10.1109/ASPDAC.2010.5419829},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PengHGCT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ZhaoMTC10,
  author       = {Wei Zhao and
                  Junxia Ma and
                  Mohammad Tehranipoor and
                  Sreejit Chakravarty},
  title        = {Power-Safe Application of Transition Delay Fault Patterns Considering
                  Current Limit during Wafer Test},
  booktitle    = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4
                  December 2010, Shanghai, China},
  pages        = {301--306},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ATS.2010.58},
  doi          = {10.1109/ATS.2010.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ZhaoMTC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/GoelCYPT10,
  author       = {Sandeep Kumar Goel and
                  Krishnendu Chakrabarty and
                  Mahmut Yilmaz and
                  Ke Peng and
                  Mohammad Tehranipoor},
  title        = {Circuit Topology-Based Test Pattern Generation for Small-Delay Defects},
  booktitle    = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4
                  December 2010, Shanghai, China},
  pages        = {307--312},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ATS.2010.59},
  doi          = {10.1109/ATS.2010.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/GoelCYPT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/PengYCT10,
  author       = {Ke Peng and
                  Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  title        = {A Noise-Aware Hybrid Method for {SDD} Pattern Grading and Selection},
  booktitle    = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4
                  December 2010, Shanghai, China},
  pages        = {331--336},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ATS.2010.63},
  doi          = {10.1109/ATS.2010.63},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/PengYCT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangT10,
  author       = {Xiaoxiao Wang and
                  Mohammad Tehranipoor},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {Novel Physical Unclonable Function with process and environmental
                  variations},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {1065--1070},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5456967},
  doi          = {10.1109/DATE.2010.5456967},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PengYTC10,
  author       = {Ke Peng and
                  Mahmut Yilmaz and
                  Mohammad Tehranipoor and
                  Krishnendu Chakrabarty},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {High-quality pattern selection for screening small-delay defects considering
                  process variations and crosstalk},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {1426--1431},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5457036},
  doi          = {10.1109/DATE.2010.5457036},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/PengYTC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/WuDBGPVMZTW10,
  author       = {Fangmei Wu and
                  Luigi Dilillo and
                  Alberto Bosio and
                  Patrick Girard and
                  Serge Pravossoudovitch and
                  Arnaud Virazel and
                  Junxia Ma and
                  Wei Zhao and
                  Mohammad Tehranipoor and
                  Xiaoqing Wen},
  editor       = {Elena Gramatov{\'{a}} and
                  Zdenek Kot{\'{a}}sek and
                  Andreas Steininger and
                  Heinrich Theodor Vierhaus and
                  Horst Zimmermann},
  title        = {Analysis of power consumption and transition fault coverage for {LOS}
                  and {LOC} testing schemes},
  booktitle    = {13th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits and Systems, {DDECS} 2010, Vienna, Austria, April 14-16,
                  2010},
  pages        = {376--381},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DDECS.2010.5491748},
  doi          = {10.1109/DDECS.2010.5491748},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/WuDBGPVMZTW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/PengHMCT10,
  author       = {Ke Peng and
                  Yu Huang and
                  Pinki Mallick and
                  Wu{-}Tung Cheng and
                  Mohammad Tehranipoor},
  title        = {Full-circuit {SPICE} simulation based validation of dynamic delay
                  estimation},
  booktitle    = {15th European Test Symposium, {ETS} 2010, Prague, Czech Republic,
                  May 24-28, 2010},
  pages        = {101--106},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ETSYM.2010.5512775},
  doi          = {10.1109/ETSYM.2010.5512775},
  timestamp    = {Tue, 28 Apr 2020 11:43:44 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/PengHMCT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MaLTAG10,
  author       = {Junxia Ma and
                  Jeremy Lee and
                  Mohammad Tehranipoor and
                  Nisar Ahmed and
                  Patrick Girard},
  editor       = {R. Iris Bahar and
                  Fabrizio Lombardi and
                  David Atienza and
                  Erik Brunvand},
  title        = {Pattern grading for testing critical paths considering power supply
                  noise and crosstalk using a layout-aware quality metric},
  booktitle    = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Providence, Rhode Island, USA, May 16-18 2010},
  pages        = {127--130},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1785481.1785512},
  doi          = {10.1145/1785481.1785512},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MaLTAG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idt/MaTSA10,
  author       = {Junxia Ma and
                  Mohammad Tehranipoor and
                  Ozgur Sinanoglu and
                  Sobeeh Almukhaizim},
  editor       = {Yervant Zorian and
                  Imtinan Elahi and
                  Andr{\'{e}} Ivanov and
                  Ashraf Salem},
  title        = {Identification of IR-drop hot-spots in defective power distribution
                  network using {TDF} {ATPG}},
  booktitle    = {5th International Design and Test Workshop, {IDT} 2010, Abu Dhabi,
                  UAE, 14-15 December 2010},
  pages        = {122--127},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/IDT.2010.5724422},
  doi          = {10.1109/IDT.2010.5724422},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/idt/MaTSA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WuDBGPVTMWA10,
  author       = {Fangmei Wu and
                  Luigi Dilillo and
                  Alberto Bosio and
                  Patrick Girard and
                  Serge Pravossoudovitch and
                  Arnaud Virazel and
                  Mohammad Tehranipoor and
                  Kohei Miyase and
                  Xiaoqing Wen and
                  Nisar Ahmed},
  editor       = {Ron Press and
                  Erik H. Volkerink},
  title        = {Is test power reduction through X-filling good enough?},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX,
                  USA, November 2-4, 2010},
  pages        = {805},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/TEST.2010.5699297},
  doi          = {10.1109/TEST.2010.5699297},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WuDBGPVTMWA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/PengTYCT10,
  author       = {Ke Peng and
                  Jason Thibodeau and
                  Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  title        = {A novel hybrid method for {SDD} pattern grading and selection},
  booktitle    = {28th {IEEE} {VLSI} Test Symposium, {VTS} 2010, April 19-22, 2010,
                  Santa Cruz, California, {USA}},
  pages        = {45--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VTS.2010.5469619},
  doi          = {10.1109/VTS.2010.5469619},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/PengTYCT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wifs/SalmaniTP10,
  author       = {Hassan Salmani and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {A layout-aware approach for improving localized switching to detect
                  hardware Trojans in integrated circuits},
  booktitle    = {2010 {IEEE} International Workshop on Information Forensics and Security,
                  {WIFS} 2010, Seattle, WA, USA, December 12-15, 2010},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/WIFS.2010.5711438},
  doi          = {10.1109/WIFS.2010.5711438},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/wifs/SalmaniTP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:series/isc/TehranipoorS10,
  author       = {Mohammad Tehranipoor and
                  Berk Sunar},
  editor       = {Ahmad{-}Reza Sadeghi and
                  David Naccache},
  title        = {Hardware Trojan Horses},
  booktitle    = {Towards Hardware-Intrinsic Security - Foundations and Practice},
  series       = {Information Security and Cryptography},
  pages        = {167--187},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-14452-3\_7},
  doi          = {10.1007/978-3-642-14452-3\_7},
  timestamp    = {Tue, 16 May 2017 14:24:21 +0200},
  biburl       = {https://dblp.org/rec/series/isc/TehranipoorS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AhmedT09,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor},
  title        = {A Novel Faster-Than-at-Speed Transition-Delay Test Method Considering
                  IR-Drop Effects},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {10},
  pages        = {1573--1582},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2028679},
  doi          = {10.1109/TCAD.2009.2028679},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AhmedT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/SalmaniTP09,
  author       = {Hassan Salmani and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  editor       = {Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {New Design Strategy for Improving Hardware Trojan Detection and Reducing
                  Trojan Activation Time},
  booktitle    = {{IEEE} International Workshop on Hardware-Oriented Security and Trust,
                  {HOST} 2009, San Francisco, CA, USA, July 27, 2009. Proceedings},
  pages        = {66--73},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/HST.2009.5224968},
  doi          = {10.1109/HST.2009.5224968},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/SalmaniTP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangTD09,
  author       = {Xiaoxiao Wang and
                  Mohammad Tehranipoor and
                  Ramyanshu Datta},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {A novel architecture for on-chip path delay measurement},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355742},
  doi          = {10.1109/TEST.2009.5355742},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangTD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MaLT09,
  author       = {Junxia Ma and
                  Jeremy Lee and
                  Mohammad Tehranipoor},
  title        = {Layout-Aware Pattern Generation for Maximizing Supply Noise Effects
                  on Critical Paths},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {221--226},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.45},
  doi          = {10.1109/VTS.2009.45},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MaLT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/dft/2009,
  editor       = {Dimitris Gizopoulos and
                  Susumu Horiguchi and
                  Spyros Tragoudas and
                  Mohammad Tehranipoor},
  title        = {24th {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} Systems, {DFT} 2009, Chicago, Illinois, USA, October 7-9,
                  2009},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5372211/proceeding},
  isbn         = {978-0-7695-3839-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dft/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/host/2009,
  editor       = {Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {{IEEE} International Workshop on Hardware-Oriented Security and Trust,
                  {HOST} 2009, San Francisco, CA, USA, July 27, 2009. Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5209455/proceeding},
  isbn         = {978-1-4244-4805-0},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/TehranipoorR08,
  author       = {Mohammad Tehranipoor and
                  Reza M. Rad},
  title        = {Defect Tolerance for Nanoscale Crossbar-Based Devices},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {25},
  number       = {6},
  pages        = {549--559},
  year         = {2008},
  url          = {https://doi.org/10.1109/MDT.2008.162},
  doi          = {10.1109/MDT.2008.162},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/TehranipoorR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/RadT08,
  author       = {Reza M. Rad and
                  Mohammad Tehranipoor},
  title        = {{SCT:} {A} novel approach for testing and configuring nanoscale devices},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {4},
  number       = {3},
  pages        = {14:1--14:24},
  year         = {2008},
  url          = {https://doi.org/10.1145/1389089.1389094},
  doi          = {10.1145/1389089.1389094},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/RadT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/LeeT08,
  author       = {Jeremy Lee and
                  Mohammad Tehranipoor},
  title        = {Layout-Aware Transition-Delay Fault Pattern Generation with Evenly
                  Distributed Switching Activity},
  journal      = {J. Low Power Electron.},
  volume       = {4},
  number       = {3},
  pages        = {360--371},
  year         = {2008},
  url          = {https://doi.org/10.1166/jolpe.2008.179},
  doi          = {10.1166/JOLPE.2008.179},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/LeeT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/NouraniTA08,
  author       = {Mehrdad Nourani and
                  Mohammad Tehranipoor and
                  Nisar Ahmed},
  title        = {Low-Transition Test Pattern Generation for BIST-Based Applications},
  journal      = {{IEEE} Trans. Computers},
  volume       = {57},
  number       = {3},
  pages        = {303--315},
  year         = {2008},
  url          = {https://doi.org/10.1109/TC.2007.70794},
  doi          = {10.1109/TC.2007.70794},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/NouraniTA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/FurukawaWMYKGWT08,
  author       = {Hiroshi Furukawa and
                  Xiaoqing Wen and
                  Kohei Miyase and
                  Yuta Yamato and
                  Seiji Kajihara and
                  Patrick Girard and
                  Laung{-}Terng Wang and
                  Mohammad Tehranipoor},
  title        = {{CTX:} {A} Clock-Gating-Based Test Relaxation and X-Filling Scheme
                  for Reducing Yield Loss Risk in At-Speed Scan Testing},
  booktitle    = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November
                  24-27, 2008},
  pages        = {397--402},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ATS.2008.27},
  doi          = {10.1109/ATS.2008.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/FurukawaWMYKGWT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LeeNKT08,
  author       = {Jeremy Lee and
                  Sumit Narayan and
                  Mike Kapralos and
                  Mohammad Tehranipoor},
  editor       = {Donatella Sciuto},
  title        = {Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {1172--1177},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484837},
  doi          = {10.1109/DATE.2008.4484837},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LeeNKT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/WangSTP08,
  author       = {Xiaoxiao Wang and
                  Hassan Salmani and
                  Mohammad Tehranipoor and
                  James F. Plusquellic},
  editor       = {Cristiana Bolchini and
                  Yong{-}Bin Kim and
                  Dimitris Gizopoulos and
                  Mohammad Tehranipoor},
  title        = {Hardware Trojan Detection and Isolation Using Current Integration
                  and Localized Current Analysis},
  booktitle    = {23rd {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2008), 1-3 October 2008, Boston, MA, {USA}},
  pages        = {87--95},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DFT.2008.61},
  doi          = {10.1109/DFT.2008.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/WangSTP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/RadPT08,
  author       = {Reza M. Rad and
                  Jim Plusquellic and
                  Mohammad Tehranipoor},
  editor       = {Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {Sensitivity Analysis to Hardware Trojans using Power Supply Transient
                  Signals},
  booktitle    = {{IEEE} International Workshop on Hardware-Oriented Security and Trust,
                  {HOST} 2008, Anaheim, CA, USA, June 9, 2008. Proceedings},
  pages        = {3--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/HST.2008.4559037},
  doi          = {10.1109/HST.2008.4559037},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/RadPT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/WangTP08,
  author       = {Xiaoxiao Wang and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  editor       = {Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {Detecting Malicious Inclusions in Secure Hardware: Challenges and
                  Solutions},
  booktitle    = {{IEEE} International Workshop on Hardware-Oriented Security and Trust,
                  {HOST} 2008, Anaheim, CA, USA, June 9, 2008. Proceedings},
  pages        = {15--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/HST.2008.4559039},
  doi          = {10.1109/HST.2008.4559039},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/WangTP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RadWTP08,
  author       = {Reza M. Rad and
                  Xiaoxiao Wang and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Power supply signal calibration techniques for improving detection
                  resolution to hardware Trojans},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {632--639},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681643},
  doi          = {10.1109/ICCAD.2008.4681643},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RadWTP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangTD08,
  author       = {Xiaoxiao Wang and
                  Mohammad Tehranipoor and
                  Ramyanshu Datta},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Path-RO: a novel on-chip critical path delay measurement under process
                  variations},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {640--646},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681644},
  doi          = {10.1109/ICCAD.2008.4681644},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangTD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LeeT08,
  author       = {Jeremy Lee and
                  Mohammad Tehranipoor},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {A Novel Pattern Generation Framework for Inducing Maximum Crosstalk
                  Effects on Delay-Sensitive Paths},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700641},
  doi          = {10.1109/TEST.2008.4700641},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LeeT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/MaLT08,
  author       = {Junxia Ma and
                  Jeremy Lee and
                  Mohammad Tehranipoor},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {Power Distribution Failure Analysis Using Transition-Delay Fault Patterns},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700696},
  doi          = {10.1109/TEST.2008.4700696},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/MaLT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/YilmazCT08,
  author       = {Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {Interconnect-Aware and Layout-Oriented Test-Pattern Selection for
                  Small-Delay Defects},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700627},
  doi          = {10.1109/TEST.2008.4700627},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/YilmazCT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LeeT08,
  author       = {Jeremy Lee and
                  Mohammad Tehranipoor},
  title        = {{LS-TDF:} Low-Switching Transition Delay Fault Pattern Generation},
  booktitle    = {26th {IEEE} {VLSI} Test Symposium {(VTS} 2008), April 27 - May 1,
                  2008, San Diego, California, {USA}},
  pages        = {227--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VTS.2008.48},
  doi          = {10.1109/VTS.2008.48},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LeeT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/YilmazCT08,
  author       = {Mahmut Yilmaz and
                  Krishnendu Chakrabarty and
                  Mohammad Tehranipoor},
  title        = {Test-Pattern Grading and Pattern Selection for Small-Delay Defects},
  booktitle    = {26th {IEEE} {VLSI} Test Symposium {(VTS} 2008), April 27 - May 1,
                  2008, San Diego, California, {USA}},
  pages        = {233--239},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VTS.2008.32},
  doi          = {10.1109/VTS.2008.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/YilmazCT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/dft/2008,
  editor       = {Cristiana Bolchini and
                  Yong{-}Bin Kim and
                  Dimitris Gizopoulos and
                  Mohammad Tehranipoor},
  title        = {23rd {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2008), 1-3 October 2008, Boston, MA, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4641141/proceeding},
  isbn         = {978-0-7695-3365-0},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dft/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/host/2008,
  editor       = {Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {{IEEE} International Workshop on Hardware-Oriented Security and Trust,
                  {HOST} 2008, Anaheim, CA, USA, June 9, 2008. Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4556640/proceeding},
  isbn         = {978-1-4244-2401-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/TehranipoorB07,
  author       = {Mohammad Tehranipoor and
                  Kenneth M. Butler},
  title        = {Guest Editors' Introduction: {IR} Drop in Very Deep-Submicron Designs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {24},
  number       = {3},
  pages        = {214--215},
  year         = {2007},
  url          = {https://doi.org/10.1109/MDT.2007.72},
  doi          = {10.1109/MDT.2007.72},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/TehranipoorB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/Tehranipoor07,
  author       = {Mohammad Tehranipoor},
  title        = {Guest Editorial},
  journal      = {J. Electron. Test.},
  volume       = {23},
  number       = {2-3},
  pages        = {115--116},
  year         = {2007},
  url          = {https://doi.org/10.1007/s10836-006-0641-x},
  doi          = {10.1007/S10836-006-0641-X},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/Tehranipoor07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/RadT07,
  author       = {Reza M. Rad and
                  Mohammad Tehranipoor},
  title        = {Evaluating area and performance of hybrid FPGAs with nanoscale clusters
                  and {CMOS} routing},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {3},
  number       = {3},
  pages        = {15},
  year         = {2007},
  url          = {https://doi.org/10.1145/1295231.1295236},
  doi          = {10.1145/1295231.1295236},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/RadT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AhmedTRB07,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor and
                  C. P. Ravikumar and
                  Kenneth M. Butler},
  title        = {Local At-Speed Scan Enable Generation for Transition Fault Testing
                  Using Low-Cost Testers},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {5},
  pages        = {896--906},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.8361583},
  doi          = {10.1109/TCAD.2007.8361583},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AhmedTRB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/TehranipoorR07,
  author       = {Mohammad Tehranipoor and
                  Reza M. Rad},
  title        = {Built-In Self-Test and Recovery Procedures for Molecular Electronics-Based
                  Nanofabrics},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {5},
  pages        = {943--958},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.8361587},
  doi          = {10.1109/TCAD.2007.8361587},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/TehranipoorR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tdsc/LeeTPP07,
  author       = {Jeremy Lee and
                  Mohammad Tehranipoor and
                  Chintan Patel and
                  Jim Plusquellic},
  title        = {Securing Designs against Scan-Based Side-Channel Attacks},
  journal      = {{IEEE} Trans. Dependable Secur. Comput.},
  volume       = {4},
  number       = {4},
  pages        = {325--336},
  year         = {2007},
  url          = {https://doi.org/10.1109/TDSC.2007.70215},
  doi          = {10.1109/TDSC.2007.70215},
  timestamp    = {Thu, 09 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tdsc/LeeTPP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ElShoukryTR07,
  author       = {Mohammed ElShoukry and
                  Mohammad Tehranipoor and
                  C. P. Ravikumar},
  title        = {A critical-path-aware partial gating approach for test power reduction},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {12},
  number       = {2},
  pages        = {17},
  year         = {2007},
  url          = {https://doi.org/10.1145/1230800.1230809},
  doi          = {10.1145/1230800.1230809},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ElShoukryTR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AhmedTJ07,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor and
                  Vinay Jayaram},
  title        = {Transition Delay Fault Test Pattern Generation Considering Supply
                  Voltage Noise in a {SOC} Design},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {533--538},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278616},
  doi          = {10.1145/1278480.1278616},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AhmedTJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AhmedTJ07,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor and
                  Vinay Jayaram},
  title        = {Supply Voltage Noise Aware {ATPG} for Transition Delay Faults},
  booktitle    = {25th {IEEE} {VLSI} Test Symposium {(VTS} 2007), 6-10 May 2007, Berkeley,
                  California, {USA}},
  pages        = {179--186},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/VTS.2007.77},
  doi          = {10.1109/VTS.2007.77},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AhmedTJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PlusquellicASTP06,
  author       = {Jim Plusquellic and
                  Dhruva Acharyya and
                  Abhishek Singh and
                  Mohammad Tehranipoor and
                  Chintan Patel},
  title        = {Quiescent-Signal Analysis: {A} Multiple Supply Pad {IDDQ} Method},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {23},
  number       = {4},
  pages        = {278--293},
  year         = {2006},
  url          = {https://doi.org/10.1109/MDT.2006.102},
  doi          = {10.1109/MDT.2006.102},
  timestamp    = {Thu, 03 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/PlusquellicASTP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/AhmedT06,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor},
  title        = {Improving Transition Delay Test Using a Hybrid Method},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {23},
  number       = {5},
  pages        = {402--412},
  year         = {2006},
  url          = {https://doi.org/10.1109/MDT.2006.127},
  doi          = {10.1109/MDT.2006.127},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/AhmedT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AhmedTJ06,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor and
                  Vinay Jayaram},
  editor       = {Ellen Sentovich},
  title        = {Timing-based delay test for screening small delay defects},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {320--325},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1146993},
  doi          = {10.1145/1146909.1146993},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AhmedTJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RadT06,
  author       = {Reza M. Rad and
                  Mohammad Tehranipoor},
  editor       = {Ellen Sentovich},
  title        = {A new hybrid {FPGA} with nanoscale clusters and {CMOS} routing},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {727--730},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147094},
  doi          = {10.1145/1146909.1147094},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RadT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/RadT06,
  author       = {Reza M. Rad and
                  Mohammad Tehranipoor},
  title        = {A Reconfiguration-based Defect Tolerance Method for Nanoscale Devices},
  booktitle    = {21th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2006), 4-6 October 2006, Arlington, Virginia,
                  {USA}},
  pages        = {107--118},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/DFT.2006.10},
  doi          = {10.1109/DFT.2006.10},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/RadT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/TehranipoorR06,
  author       = {Mohammad Tehranipoor and
                  Reza M. Rad},
  editor       = {Steven J. E. Wilton and
                  Andr{\'{e}} DeHon},
  title        = {Fine-grained island style architecture for molecular electronic devices},
  booktitle    = {Proceedings of the {ACM/SIGDA} 14th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2006, Monterey, California, USA,
                  February 22-24, 2006},
  pages        = {226},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1117201.1117241},
  doi          = {10.1145/1117201.1117241},
  timestamp    = {Tue, 06 Nov 2018 16:58:23 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/TehranipoorR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/TehranipoorR06a,
  author       = {Mohammad Tehranipoor and
                  Reza M. Rad},
  editor       = {Steven J. E. Wilton and
                  Andr{\'{e}} DeHon},
  title        = {Test and recovery for fine-grained nanoscale architectures},
  booktitle    = {Proceedings of the {ACM/SIGDA} 14th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2006, Monterey, California, USA,
                  February 22-24, 2006},
  pages        = {226},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1117201.1117240},
  doi          = {10.1145/1117201.1117240},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/TehranipoorR06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AhmedTJ06,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor and
                  Vinay Jayaram},
  editor       = {Soha Hassoun},
  title        = {A novel framework for faster-than-at-speed delay test considering
                  IR-drop effects},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {198--203},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233541},
  doi          = {10.1145/1233501.1233541},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AhmedTJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LeeTP06,
  author       = {Jeremy Lee and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {A Low-Cost Solution for Protecting IPs Against Scan-Based Side-Channel
                  Attacks},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {94--99},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.7},
  doi          = {10.1109/VTS.2006.7},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LeeTP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KimT06,
  author       = {Kee Sup Kim and
                  Mohammad Tehranipoor},
  title        = {Session Abstract},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {292--293},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.66},
  doi          = {10.1109/VTS.2006.66},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KimT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/RadT06,
  author       = {Reza M. Rad and
                  Mohammad Tehranipoor},
  title        = {{SCT:} An Approach For Testing and Configuring Nanoscale Devices},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {370--377},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.61},
  doi          = {10.1109/VTS.2006.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/RadT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/TehranipoorNC05,
  author       = {Mohammad Tehranipoor and
                  Mehrdad Nourani and
                  Krishnendu Chakrabarty},
  title        = {Nine-coded compression technique for testing embedded cores in SoCs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {13},
  number       = {6},
  pages        = {719--731},
  year         = {2005},
  url          = {https://doi.org/10.1109/TVLSI.2005.844311},
  doi          = {10.1109/TVLSI.2005.844311},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/TehranipoorNC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/TehranipoorNA05,
  author       = {Mohammad Tehranipoor and
                  Mehrdad Nourani and
                  Nisar Ahmed},
  title        = {Low Transition {LFSR} for BIST-Based Applications},
  booktitle    = {14th Asian Test Symposium {(ATS} 2005), 18-21 December 2005, Calcutta,
                  India},
  pages        = {138--143},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ATS.2005.77},
  doi          = {10.1109/ATS.2005.77},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/TehranipoorNA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ElShoukryTR05,
  author       = {Mohammed ElShoukry and
                  Mohammad Tehranipoor and
                  C. P. Ravikumar},
  title        = {Partial Gating Optimization for Power Reduction During Test Application},
  booktitle    = {14th Asian Test Symposium {(ATS} 2005), 18-21 December 2005, Calcutta,
                  India},
  pages        = {242--247},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ATS.2005.87},
  doi          = {10.1109/ATS.2005.87},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ElShoukryTR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/LeeTPP05,
  author       = {Jeremy Lee and
                  Mohammad Tehranipoor and
                  Chintan Patel and
                  Jim Plusquellic},
  title        = {Securing Scan Design Using Lock and Key Technique},
  booktitle    = {20th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}},
  pages        = {51--62},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DFTVS.2005.58},
  doi          = {10.1109/DFTVS.2005.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/LeeTPP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/AhmedT05,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor},
  title        = {Improving Transition Delay Fault Coverage Using Hybrid Scan-Based
                  Technique},
  booktitle    = {20th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}},
  pages        = {187--198},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DFTVS.2005.69},
  doi          = {10.1109/DFTVS.2005.69},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/AhmedT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/Tehranipoor05,
  author       = {Mohammad Tehranipoor},
  title        = {Defect Tolerance for Molecular Electronics-Based NanoFabrics Using
                  Built-In Self-Test Procedure},
  booktitle    = {20th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}},
  pages        = {305--313},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DFTVS.2005.27},
  doi          = {10.1109/DFTVS.2005.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/Tehranipoor05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/AhmedTR05,
  author       = {Nisar Ahmed and
                  Mohammad Tehranipoor and
                  C. P. Ravikumar},
  title        = {Enhanced launch-off-capture transition fault testing},
  booktitle    = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005,
                  Austin, TX, USA, November 8-10, 2005},
  pages        = {10},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/TEST.2005.1583982},
  doi          = {10.1109/TEST.2005.1583982},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/AhmedTR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AhmedRTP05,
  author       = {Nisar Ahmed and
                  C. P. Ravikumar and
                  Mohammad Tehranipoor and
                  Jim Plusquellic},
  title        = {At-Speed Transition Fault Testing With Low Speed Scan Enable},
  booktitle    = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm
                  Springs, CA, {USA}},
  pages        = {42--47},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/VTS.2005.31},
  doi          = {10.1109/VTS.2005.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AhmedRTP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/NouraniTA05,
  author       = {Mehrdad Nourani and
                  Mohammad Tehranipoor and
                  Nisar Ahmed},
  title        = {Pattern Generation and Estimation for Power Supply Noise Analysis},
  booktitle    = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm
                  Springs, CA, {USA}},
  pages        = {439--444},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/VTS.2005.65},
  doi          = {10.1109/VTS.2005.65},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/NouraniTA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics