BibTeX records: Shibin Tang

download as .bib file

@inproceedings{DBLP:conf/dac/DengZWLDTGHXLWY22,
  author       = {Jinyi Deng and
                  Linyun Zhang and
                  Lei Wang and
                  Jiawei Liu and
                  Kexiang Deng and
                  Shibin Tang and
                  Jiangyuan Gu and
                  Boxiao Han and
                  Fei Xu and
                  Leibo Liu and
                  Shaojun Wei and
                  Shouyi Yin},
  editor       = {Rob Oshana},
  title        = {Mixed-granularity parallel coarse-grained reconfigurable architecture},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {343--348},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530454},
  doi          = {10.1145/3489517.3530454},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DengZWLDTGHXLWY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tim/ZhouYOLT21,
  author       = {Kai Zhou and
                  Shouyi Yin and
                  Peng Ouyang and
                  Yinan Liu and
                  Shibin Tang},
  title        = {Flexible Rectification of a Speckle Projection System for Depth Sensing},
  journal      = {{IEEE} Trans. Instrum. Meas.},
  volume       = {70},
  pages        = {1--13},
  year         = {2021},
  url          = {https://doi.org/10.1109/TIM.2021.3085950},
  doi          = {10.1109/TIM.2021.3085950},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tim/ZhouYOLT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhengZOTLWY20,
  author       = {Shixuan Zheng and
                  Xianjue Zhang and
                  Daoli Ou and
                  Shibin Tang and
                  Leibo Liu and
                  Shaojun Wei and
                  Shouyi Yin},
  title        = {Efficient Scheduling of Irregular Network Structures on {CNN} Accelerators},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {11},
  pages        = {3408--3419},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.3012215},
  doi          = {10.1109/TCAD.2020.3012215},
  timestamp    = {Thu, 17 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhengZOTLWY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YinTLOTLW19,
  author       = {Shouyi Yin and
                  Shibin Tang and
                  Xinhan Lin and
                  Peng Ouyang and
                  Fengbin Tu and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {A High Throughput Acceleration for Hybrid Neural Networks With Efficient
                  Resource Management on {FPGA}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {4},
  pages        = {678--691},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2821561},
  doi          = {10.1109/TCAD.2018.2821561},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YinTLOTLW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/YinTLOTLZXLXW19,
  author       = {Shouyi Yin and
                  Shibin Tang and
                  Xinhan Lin and
                  Peng Ouyang and
                  Fengbin Tu and
                  Leibo Liu and
                  Jishen Zhao and
                  Cong Xu and
                  Shuangchen Li and
                  Yuan Xie and
                  Shaojun Wei},
  title        = {Parana: {A} Parallel Neural Architecture Considering Thermal Problem
                  of 3D Stacked Memory},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {30},
  number       = {1},
  pages        = {146--160},
  year         = {2019},
  url          = {https://doi.org/10.1109/TPDS.2018.2858230},
  doi          = {10.1109/TPDS.2018.2858230},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/YinTLOTLZXLXW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YinOTTLZLGLW18,
  author       = {Shouyi Yin and
                  Peng Ouyang and
                  Shibin Tang and
                  Fengbin Tu and
                  Xiudong Li and
                  Shixuan Zheng and
                  Tianyi Lu and
                  Jiangyuan Gu and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {A High Energy Efficient Reconfigurable Hybrid Neural Network Processor
                  for Deep Learning Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {53},
  number       = {4},
  pages        = {968--982},
  year         = {2018},
  url          = {https://doi.org/10.1109/JSSC.2017.2778281},
  doi          = {10.1109/JSSC.2017.2778281},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YinOTTLZLGLW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoYOTTLW18,
  author       = {Jianxin Guo and
                  Shouyi Yin and
                  Peng Ouyang and
                  Fengbin Tu and
                  Shibin Tang and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {Bit-width Adaptive Accelerator Design for Convolution Neural Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351666},
  doi          = {10.1109/ISCAS.2018.8351666},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoYOTTLW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/TuYOTLW17,
  author       = {Fengbin Tu and
                  Shouyi Yin and
                  Peng Ouyang and
                  Shibin Tang and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {Deep Convolutional Neural Network Architecture With Reconfigurable
                  Computation Patterns},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {8},
  pages        = {2220--2233},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2688340},
  doi          = {10.1109/TVLSI.2017.2688340},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/TuYOTLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/ZhangLRZTOCRC17,
  author       = {Xiaofan Zhang and
                  Xinheng Liu and
                  Anand Ramachandran and
                  Chuanhao Zhuge and
                  Shibin Tang and
                  Peng Ouyang and
                  Zuofu Cheng and
                  Kyle Rupnow and
                  Deming Chen},
  editor       = {Marco D. Santambrogio and
                  Diana G{\"{o}}hringer and
                  Dirk Stroobandt and
                  Nele Mentens and
                  Jari Nurmi},
  title        = {High-performance video content recognition with long-term recurrent
                  convolutional network for {FPGA}},
  booktitle    = {27th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2017, Ghent, Belgium, September 4-8, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/FPL.2017.8056833},
  doi          = {10.23919/FPL.2017.8056833},
  timestamp    = {Fri, 08 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/ZhangLRZTOCRC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nvmsa/TangYZOTYWCLW17,
  author       = {Shibin Tang and
                  Shouyi Yin and
                  Shixuan Zheng and
                  Peng Ouyang and
                  Fengbin Tu and
                  Leiyue Yao and
                  JinZhou Wu and
                  Wenming Cheng and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {{AEPE:} An area and power efficient {RRAM} crossbar-based accelerator
                  for deep CNNs},
  booktitle    = {{IEEE} 6th Non-Volatile Memory Systems and Applications Symposium,
                  {NVMSA} 2017, Hsinchu, Taiwan, August 16-18, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NVMSA.2017.8064475},
  doi          = {10.1109/NVMSA.2017.8064475},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nvmsa/TangYZOTYWCLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/SongTLMZFL14,
  author       = {Fenglong Song and
                  Shibin Tang and
                  Wenming Li and
                  Futao Miao and
                  Hao Zhang and
                  Dongrui Fan and
                  Zhiyong Liu},
  title        = {CRANarch: {A} feasible processor micro-architecture for Cloud Radio
                  Access Network},
  journal      = {Microprocess. Microsystems},
  volume       = {38},
  number       = {8},
  pages        = {1025--1036},
  year         = {2014},
  url          = {https://doi.org/10.1016/j.micpro.2014.08.003},
  doi          = {10.1016/J.MICPRO.2014.08.003},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/SongTLMZFL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijdsn/LiangXMTT13,
  author       = {Zhengzhao Liang and
                  Nuwen Xu and
                  Ke Ma and
                  Shibin Tang and
                  Chunan Tang},
  title        = {Microseismic Monitoring and Numerical Simulation of Rock Slope Failure},
  journal      = {Int. J. Distributed Sens. Networks},
  volume       = {9},
  year         = {2013},
  url          = {https://doi.org/10.1155/2013/845191},
  doi          = {10.1155/2013/845191},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijdsn/LiangXMTT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YeFSTZZ13,
  author       = {Xiaochun Ye and
                  Dongrui Fan and
                  Ninghui Sun and
                  Shibin Tang and
                  Mingzhe Zhang and
                  Hao Zhang},
  editor       = {Pai H. Chou and
                  Ru Huang and
                  Yuan Xie and
                  Tanay Karnik},
  title        = {SimICT: {A} fast and flexible framework for performance and power
                  evaluation of large-scale architecture},
  booktitle    = {International Symposium on Low Power Electronics and Design (ISLPED),
                  Beijing, China, September 4-6, 2013},
  pages        = {273--278},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISLPED.2013.6629308},
  doi          = {10.1109/ISLPED.2013.6629308},
  timestamp    = {Thu, 06 Jun 2024 10:53:08 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/YeFSTZZ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdcat/TangSFXFL13,
  author       = {Shibin Tang and
                  Fenglong Song and
                  Lingjun Fan and
                  Yuanchao Xu and
                  Dongrui Fan and
                  Zhiyong Liu},
  editor       = {Shi{-}Jinn Horng},
  title        = {{HRUL:} {A} Hardware Assisted Recorder for User-Level Application},
  booktitle    = {International Conference on Parallel and Distributed Computing, Applications
                  and Technologies, {PDCAT} 2013, Taipei, Taiwan, December 16-18, 2013},
  pages        = {134--140},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/PDCAT.2013.28},
  doi          = {10.1109/PDCAT.2013.28},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/pdcat/TangSFXFL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdcat/FanSTYF11,
  author       = {Lingjun Fan and
                  Weisong Shi and
                  Shibin Tang and
                  Chenggang Yan and
                  Dongrui Fan},
  editor       = {Sang{-}Soo Yeo and
                  Binod Vaidya and
                  George A. Papadopoulos},
  title        = {Optimizing Web Browser on Many-Core Architectures},
  booktitle    = {12th International Conference on Parallel and Distributed Computing,
                  Applications and Technologies, {PDCAT} 2011, Gwangju, Korea, October
                  20-22, 2011},
  pages        = {173--178},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/PDCAT.2011.61},
  doi          = {10.1109/PDCAT.2011.61},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/pdcat/FanSTYF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/11/KavkaORTBCPSZMF0T11,
  author       = {Carlos Kavka and
                  Luka Onesti and
                  Enrico Rigoni and
                  Alessandro Turco and
                  Sara Bocchio and
                  Fabrizio Castro and
                  Gianluca Palermo and
                  Cristina Silvano and
                  Vittorio Zaccaria and
                  Giovanni Mariani and
                  Dongrui Fan and
                  Hao Zhang and
                  Shibin Tang},
  editor       = {Cristina Silvano and
                  William Fornaciari and
                  Eugenio Villar},
  title        = {Design Space Exploration of Parallel Architectures},
  booktitle    = {Multi-objective Design Space Exploration of Multiprocessor SoC Architectures,
                  The {MULTICUBE} Approach},
  pages        = {171--188},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-1-4419-8837-9\_8},
  doi          = {10.1007/978-1-4419-8837-9\_8},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/sp/11/KavkaORTBCPSZMF0T11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/europar/SongLFZYT10,
  author       = {Fenglong Song and
                  Zhiyong Liu and
                  Dongrui Fan and
                  Hao Zhang and
                  Lei Yu and
                  Shibin Tang},
  editor       = {Pasqua D'Ambra and
                  Mario Rosario Guarracino and
                  Domenico Talia},
  title        = {Thread Owned Block Cache: Managing Latency in Many-Core Architecture},
  booktitle    = {Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference,
                  Ischia, Italy, August 31 - September 3, 2010, Proceedings, Part {I}},
  series       = {Lecture Notes in Computer Science},
  volume       = {6271},
  pages        = {292--303},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-15277-1\_28},
  doi          = {10.1007/978-3-642-15277-1\_28},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/europar/SongLFZYT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LeiZSTF10,
  author       = {Zhengmeng Lei and
                  Lunkai Zhang and
                  Fenglong Song and
                  Shibin Tang and
                  Dongrui Fan},
  editor       = {Jinian Bian and
                  Qiang Zhou and
                  Peter Athanas and
                  Yajun Ha and
                  Kang Zhao},
  title        = {{GVE:} Godson-T Verification Engine for many-core architecture rapid
                  prototyping and debugging},
  booktitle    = {Proceedings of the International Conference on Field-Programmable
                  Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing,
                  China},
  pages        = {253--256},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPT.2010.5681490},
  doi          = {10.1109/FPT.2010.5681490},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/LeiZSTF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/SilvanoFPZCMBZA10,
  author       = {Cristina Silvano and
                  William Fornaciari and
                  Gianluca Palermo and
                  Vittorio Zaccaria and
                  Fabrizio Castro and
                  Marcos Mart{\'{\i}}nez and
                  Sara Bocchio and
                  Roberto Zafalon and
                  Prabhat Avasare and
                  Geert Vanmeerbeeck and
                  Chantal Ykman{-}Couvreur and
                  Maryse Wouters and
                  Carlos Kavka and
                  Luka Onesti and
                  Alessandro Turco and
                  Umberto Bondi and
                  Giovanni Mariani and
                  Hector Posadas and
                  Eugenio Villar and
                  Chris Wu and
                  Dongrui Fan and
                  Hao Zhang and
                  Shibin Tang},
  editor       = {Nikolaos S. Voros and
                  Amar Mukherjee and
                  Nicolas Sklavos and
                  Konstantinos Masselos and
                  Michael H{\"{u}}bner},
  title        = {{MULTICUBE:} Multi-Objective Design Space Exploration of Multi-Core
                  Architectures},
  booktitle    = {{VLSI} 2010 Annual Symposium - Selected papers},
  series       = {Lecture Notes in Electrical Engineering},
  volume       = {105},
  pages        = {47--63},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-94-007-1488-5\_4},
  doi          = {10.1007/978-94-007-1488-5\_4},
  timestamp    = {Thu, 20 Jan 2022 09:49:09 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/SilvanoFPZCMBZA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/SilvanoFPZCMBZAVYWKOTBMPVWFHT10,
  author       = {Cristina Silvano and
                  William Fornaciari and
                  Gianluca Palermo and
                  Vittorio Zaccaria and
                  Fabrizio Castro and
                  Marcos Mart{\'{\i}}nez and
                  Sara Bocchio and
                  Roberto Zafalon and
                  Prabhat Avasare and
                  Geert Vanmeerbeeck and
                  Chantal Ykman{-}Couvreur and
                  Maryse Wouters and
                  Carlos Kavka and
                  Luka Onesti and
                  Alessandro Turco and
                  Umberto Bondi and
                  Giovanni Mariani and
                  Hector Posadas and
                  Eugenio Villar and
                  Chris Wu and
                  Dongrui Fan and
                  Hao Zhang and
                  Shibin Tang},
  title        = {{MULTICUBE:} Multi-objective Design Space Exploration of Multi-core
                  Architectures},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7
                  July 2010, Lixouri Kefalonia, Greece},
  pages        = {488--493},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISVLSI.2010.67},
  doi          = {10.1109/ISVLSI.2010.67},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/SilvanoFPZCMBZAVYWKOTBMPVWFHT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}