default search action
BibTeX records: Timothy Sherwood
@inproceedings{DBLP:conf/asplos/GretschSMLS24, author = {Rhys Gretsch and Peiyang Song and Advait Madhavan and Jeremy Lau and Timothy Sherwood}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {Energy Efficient Convolutions with Temporal Arithmetic}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {354--368}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620665.3640395}, doi = {10.1145/3620665.3640395}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/GretschSMLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/Sherwood24, author = {Timothy Sherwood}, editor = {Zhiru Zhang and Andrew Putnam}, title = {Security, Synapses, Sustainability, and Superconducting: {A} Look at Possible Futures for the {FPGA}}, booktitle = {Proceedings of the 2024 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2024, Monterey, CA, USA, March 3-5, 2024}, pages = {1}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626202.3644812}, doi = {10.1145/3626202.3644812}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/Sherwood24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/SiscoBSH23, author = {Zachary D. Sisco and Jonathan Balkind and Timothy Sherwood and Ben Hardekopf}, title = {Loop Rerolling for Hardware Decompilation}, journal = {Proc. {ACM} Program. Lang.}, volume = {7}, number = {{PLDI}}, pages = {420--442}, year = {2023}, url = {https://doi.org/10.1145/3591237}, doi = {10.1145/3591237}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/SiscoBSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/0002GSB23, author = {Zhizhou Zhang and Alvin Oliver Glova and Timothy Sherwood and Jonathan Balkind}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {A Prediction System Service}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {48--60}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3575693.3575714}, doi = {10.1145/3575693.3575714}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/0002GSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HuLCDJDDGSX22, author = {Xing Hu and Ling Liang and Xiaobing Chen and Lei Deng and Yu Ji and Yufei Ding and Zidong Du and Qi Guo and Timothy Sherwood and Yuan Xie}, title = {A Systematic View of Model Leakage Risks in Deep Neural Network Systems}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {12}, pages = {3254--3267}, year = {2022}, url = {https://doi.org/10.1109/TC.2022.3148235}, doi = {10.1109/TC.2022.3148235}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HuLCDJDDGSX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/0001TKVSH22, author = {Michael Christensen and Georgios Tzimpragos and Harlan Kringen and Jennifer Volk and Timothy Sherwood and Ben Hardekopf}, editor = {Ranjit Jhala and Isil Dillig}, title = {PyLSE: a pulse-transfer level language for superconductor electronics}, booktitle = {{PLDI} '22: 43rd {ACM} {SIGPLAN} International Conference on Programming Language Design and Implementation, San Diego, CA, USA, June 13 - 17, 2022}, pages = {671--686}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3519939.3523438}, doi = {10.1145/3519939.3523438}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/0001TKVSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seed/GlovaYW0MBS22, author = {Alvin Oliver Glova and Yukai Yang and Yiyao Wan and Zhizhou Zhang and George Michelogiannakis and Jonathan Balkind and Timothy Sherwood}, title = {Establishing Cooperative Computation with Hardware Embassies}, booktitle = {2022 {IEEE} International Symposium on Secure and Private Execution Environment Design (SEED), Storrs, CT, USA, September 26-27, 2022}, pages = {85--96}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SEED55351.2022.00015}, doi = {10.1109/SEED55351.2022.00015}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seed/GlovaYW0MBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/0002RRPSC22, author = {Zhizhou Zhang and Murali Krishna Ramanathan and Prithvi Raj and Abhishek Parwal and Timothy Sherwood and Milind Chabbi}, editor = {Jiri Schindler and Noa Zilberman}, title = {{CRISP:} Critical Path Analysis of Large-Scale Microservice Architectures}, booktitle = {Proceedings of the 2022 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2022, Carlsbad, CA, USA, July 11-13, 2022}, pages = {655--672}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/atc22/presentation/zhang-zhizhou}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/0002RRPSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-08016, author = {Georgios Tzimpragos and Jennifer Volk and Alex Wynn and Evan Golden and Timothy Sherwood}, title = {Pulsar: {A} Superconducting Delay-Line Memory}, journal = {CoRR}, volume = {abs/2205.08016}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.08016}, doi = {10.48550/ARXIV.2205.08016}, eprinttype = {arXiv}, eprint = {2205.08016}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-08016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07817, author = {Jennifer Volk and George Tzimpragos and Alex Wynn and Evan Golden and Timothy Sherwood}, title = {Low-Cost Superconducting Fan-Out with Repurposed Josephson Junctions}, journal = {CoRR}, volume = {abs/2206.07817}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07817}, doi = {10.48550/ARXIV.2206.07817}, eprinttype = {arXiv}, eprint = {2206.07817}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07817.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/TzimpragosMVSS21, author = {Georgios Tzimpragos and Advait Madhavan and Dilip Vasudevan and Dmitri B. Strukov and Timothy Sherwood}, title = {In-sensor classification with boosted race trees}, journal = {Commun. {ACM}}, volume = {64}, number = {6}, pages = {99--105}, year = {2021}, url = {https://doi.org/10.1145/3460223}, doi = {10.1145/3460223}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/TzimpragosMVSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Sherwood21, author = {Timothy Sherwood}, title = {Technical perspective: {A} recipe for protecting against speculation attacks}, journal = {Commun. {ACM}}, volume = {64}, number = {12}, pages = {104}, year = {2021}, url = {https://doi.org/10.1145/3491198}, doi = {10.1145/3491198}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/Sherwood21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/TzimpragosVVTMM21, author = {Georgios Tzimpragos and Jennifer Volk and Dilip Vasudevan and Nestan Tsiskaridze and George Michelogiannakis and Advait Madhavan and John Shalf and Timothy Sherwood}, title = {Temporal Computing With Superconductors}, journal = {{IEEE} Micro}, volume = {41}, number = {3}, pages = {71--79}, year = {2021}, url = {https://doi.org/10.1109/MM.2021.3066377}, doi = {10.1109/MM.2021.3066377}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/TzimpragosVVTMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ChristensenMNRS21, author = {Michael Christensen and Joseph McMahan and Lawton Nichols and Jared Roesch and Timothy Sherwood and Ben Hardekopf}, title = {Safe functional systems through integrity types and verified assembly}, journal = {Theor. Comput. Sci.}, volume = {851}, pages = {39--61}, year = {2021}, url = {https://doi.org/10.1016/j.tcs.2020.09.039}, doi = {10.1016/J.TCS.2020.09.039}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/ChristensenMNRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/DangwalLKSCSTRS21, author = {Deeksha Dangwal and Vincent T. Lee and Hyo Jin Kim and Tianwei Shen and Meghan Cowan and Rajvi Shah and Caroline Trippel and Brandon Reagen and Timothy Sherwood and Vasileios Balntas and Armin Alaghi and Eddy Ilg}, title = {Mitigating Reverse Engineering Attacks on Local Feature Descriptors}, booktitle = {32nd British Machine Vision Conference 2021, {BMVC} 2021, Online, November 22-25, 2021}, pages = {106}, publisher = {{BMVA} Press}, year = {2021}, url = {https://www.bmvc2021-virtualconference.com/assets/papers/1603.pdf}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/DangwalLKSCSTRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/TzimpragosVWSS21, author = {Georgios Tzimpragos and Jennifer Volk and Alex Wynn and James E. Smith and Timothy Sherwood}, title = {Superconducting Computing with Alternating Logic Elements}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {651--664}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00057}, doi = {10.1109/ISCA52012.2021.00057}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/TzimpragosVWSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/0001SBH21, author = {Michael Christensen and Timothy Sherwood and Jonathan Balkind and Ben Hardekopf}, editor = {Stephen N. Freund and Eran Yahav}, title = {Wire sorts: a language abstraction for safe hardware composition}, booktitle = {{PLDI} '21: 42nd {ACM} {SIGPLAN} International Conference on Programming Language Design and Implementation, Virtual Event, Canada, June 20-25, 2021}, pages = {175--189}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3453483.3454037}, doi = {10.1145/3453483.3454037}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/0001SBH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seed/Dangwal0CS21, author = {Deeksha Dangwal and Zhizhou Zhang and Jedidiah R. Crandall and Timothy Sherwood}, title = {Context-Aware Privacy-Optimizing Address Tracing}, booktitle = {2021 International Symposium on Secure and Private Execution Environment Design (SEED), Washington, DC, USA, September 20-21, 2021}, pages = {150--162}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SEED51797.2021.00027}, doi = {10.1109/SEED51797.2021.00027}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seed/Dangwal0CS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/0002CWS21, author = {Zhizhou Zhang and Milind Chabbi and Adam Welc and Timothy Sherwood}, editor = {Irina Calciu and Geoff Kuenning}, title = {Optimistic Concurrency Control for Real-world Go Programs}, booktitle = {Proceedings of the 2021 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2021, July 14-16, 2021}, pages = {939--955}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/atc21/presentation/zhang-zhizhou}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/0002CWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asplos/2021, editor = {Tim Sherwood and Emery D. Berger and Christos Kozyrakis}, title = {{ASPLOS} '21: 26th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Virtual Event, USA, April 19-23, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3445814}, doi = {10.1145/3445814}, isbn = {978-1-4503-8317-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-03812, author = {Deeksha Dangwal and Vincent T. Lee and Hyo Jin Kim and Tianwei Shen and Meghan Cowan and Rajvi Shah and Caroline Trippel and Brandon Reagen and Timothy Sherwood and Vasileios Balntas and Armin Alaghi and Eddy Ilg}, title = {Analysis and Mitigations of Reverse Engineering Attacks on Local Feature Descriptors}, journal = {CoRR}, volume = {abs/2105.03812}, year = {2021}, url = {https://arxiv.org/abs/2105.03812}, eprinttype = {arXiv}, eprint = {2105.03812}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-03812.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01710, author = {Zhizhou Zhang and Milind Chabbi and Adam Welc and Timothy Sherwood}, title = {Optimistic Concurrency Control for Real-world Go Programs (Extended Version with Appendix)}, journal = {CoRR}, volume = {abs/2106.01710}, year = {2021}, url = {https://arxiv.org/abs/2106.01710}, eprinttype = {arXiv}, eprint = {2106.01710}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/CuiTTMDTMVS20, author = {Weilong Cui and Georgios Tzimpragos and Yu Tao and Joseph McMahan and Deeksha Dangwal and Nestan Tsiskaridze and George Michelogiannakis and Dilip P. Vasudevan and Timothy Sherwood}, title = {Language Support for Navigating Architecture Design in Closed Form}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {16}, number = {1}, pages = {9:1--9:28}, year = {2020}, url = {https://doi.org/10.1145/3360047}, doi = {10.1145/3360047}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/CuiTTMDTMVS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/DangwalCMS20, author = {Deeksha Dangwal and Weilong Cui and Joseph McMahan and Timothy Sherwood}, title = {Trace Wringing for Program Trace Privacy}, journal = {{IEEE} Micro}, volume = {40}, number = {3}, pages = {108--115}, year = {2020}, url = {https://doi.org/10.1109/MM.2020.2986113}, doi = {10.1109/MM.2020.2986113}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/DangwalCMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/DangwalTS20, author = {Deeksha Dangwal and Georgios Tzimpragos and Timothy Sherwood}, title = {Agile Hardware Development and Instrumentation With PyRTL}, journal = {{IEEE} Micro}, volume = {40}, number = {4}, pages = {76--84}, year = {2020}, url = {https://doi.org/10.1109/MM.2020.2997704}, doi = {10.1109/MM.2020.2997704}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/DangwalTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/HuLL0Z0XDLSX20, author = {Xing Hu and Ling Liang and Shuangchen Li and Lei Deng and Pengfei Zuo and Yu Ji and Xinfeng Xie and Yufei Ding and Chang Liu and Timothy Sherwood and Yuan Xie}, editor = {James R. Larus and Luis Ceze and Karin Strauss}, title = {DeepSniffer: {A} {DNN} Model Extraction Framework Based on Learning Architectural Hints}, booktitle = {{ASPLOS} '20: Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, March 16-20, 2020}, pages = {385--399}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373376.3378460}, doi = {10.1145/3373376.3378460}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/HuLL0Z0XDLSX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/TzimpragosVTMMV20, author = {Georgios Tzimpragos and Dilip Vasudevan and Nestan Tsiskaridze and George Michelogiannakis and Advait Madhavan and Jennifer Volk and John Shalf and Timothy Sherwood}, editor = {James R. Larus and Luis Ceze and Karin Strauss}, title = {A Computational Temporal Logic for Superconducting Accelerators}, booktitle = {{ASPLOS} '20: Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, March 16-20, 2020}, pages = {435--448}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373376.3378517}, doi = {10.1145/3373376.3378517}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/TzimpragosVTMMV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ShaoJGQ0S20, author = {Jinjin Shao and Shiyu Ji and Alvin Oliver Glova and Yifan Qiao and Tao Yang and Tim Sherwood}, editor = {Mathieu d'Aquin and Stefan Dietze and Claudia Hauff and Edward Curry and Philippe Cudr{\'{e}}{-}Mauroux}, title = {Index Obfuscation for Oblivious Document Retrieval in a Trusted Execution Environment}, booktitle = {{CIKM} '20: The 29th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Ireland, October 19-23, 2020}, pages = {1345--1354}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3340531.3412035}, doi = {10.1145/3340531.3412035}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ShaoJGQ0S20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/TzimpragosMVSS19, author = {Georgios Tzimpragos and Advait Madhavan and Dilip Vasudevan and Dmitri B. Strukov and Timothy Sherwood}, editor = {Iris Bahar and Maurice Herlihy and Emmett Witchel and Alvin R. Lebeck}, title = {Boosted Race Trees for Low Energy Classification}, booktitle = {Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2019, Providence, RI, USA, April 13-17, 2019}, pages = {215--228}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297858.3304036}, doi = {10.1145/3297858.3304036}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/TzimpragosMVSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/WuSCL19, author = {Xin{-}Chuan Wu and Timothy Sherwood and Frederic T. Chong and Yanjing Li}, editor = {Iris Bahar and Maurice Herlihy and Emmett Witchel and Alvin R. Lebeck}, title = {Protecting Page Tables from RowHammer Attacks using Monotonic Pointers in {DRAM} True-Cells}, booktitle = {Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2019, Providence, RI, USA, April 13-17, 2019}, pages = {645--657}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297858.3304039}, doi = {10.1145/3297858.3304039}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/WuSCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/DangwalCMS19, author = {Deeksha Dangwal and Weilong Cui and Joseph McMahan and Timothy Sherwood}, editor = {Iris Bahar and Maurice Herlihy and Emmett Witchel and Alvin R. Lebeck}, title = {Safer Program Behavior Sharing Through Trace Wringing}, booktitle = {Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2019, Providence, RI, USA, April 13-17, 2019}, pages = {1059--1072}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297858.3304074}, doi = {10.1145/3297858.3304074}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/DangwalCMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc2/AboyeKLMDMS19, author = {Dawit Aboye and Dylan Kupsh and Maggie Lim and Jacqueline Mai and Deeksha Dangwal and Diba Mirza and Timothy Sherwood}, title = {PyRTLMatrix: An Object-Oriented Hardware Design Pattern for Prototyping {ML} Accelerators}, booktitle = {2nd Workshop on Energy Efficient Machine Learning and Cognitive Computing for Embedded Applications, EMC2@HPCA 2019, Washington, DC, USA, February 17, 2019}, pages = {36--40}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMC249363.2019.00015}, doi = {10.1109/EMC249363.2019.00015}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emc2/AboyeKLMDMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeCCSZ19, author = {Zichang He and Weilong Cui and Chunfeng Cui and Timothy Sherwood and Zheng Zhang}, editor = {David Z. Pan}, title = {Efficient Uncertainty Modeling for System Design via Mixed Integer Programming}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942139}, doi = {10.1109/ICCAD45719.2019.8942139}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HeCCSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/McMahanCDHS19, author = {Joseph McMahan and Michael Christensen and Kyle Dewey and Ben Hardekopf and Timothy Sherwood}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {Bouncer: static program analysis in hardware}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {711--722}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322256}, doi = {10.1145/3307650.3322256}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/McMahanCDHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/MirzaDS19, author = {Diba Mirza and Deeksha Dangwal and Timothy Sherwood}, title = {PyRTL in Early Undergraduate Research}, booktitle = {Proceedings of the Workshop on Computer Architecture Education, WCAE@ISCA 2019, Phoenix, AZ, USA, June 22, 2019}, pages = {6:1--6:8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338698.3338890}, doi = {10.1145/3338698.3338890}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcae/MirzaDS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-03916, author = {Xing Hu and Ling Liang and Lei Deng and Shuangchen Li and Xinfeng Xie and Yu Ji and Yufei Ding and Chang Liu and Timothy Sherwood and Yuan Xie}, title = {Neural Network Model Extraction Attacks in Edge Devices by Hearing Architectural Hints}, journal = {CoRR}, volume = {abs/1903.03916}, year = {2019}, url = {http://arxiv.org/abs/1903.03916}, eprinttype = {arXiv}, eprint = {1903.03916}, timestamp = {Sun, 27 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-03916.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-05700, author = {Zichang He and Weilong Cui and Chunfeng Cui and Timothy Sherwood and Zheng Zhang}, title = {Efficient Uncertainty Modeling for System Design via Mixed Integer Programming}, journal = {CoRR}, volume = {abs/1907.05700}, year = {2019}, url = {http://arxiv.org/abs/1907.05700}, eprinttype = {arXiv}, eprint = {1907.05700}, timestamp = {Sat, 25 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-05700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/McMahanCNRGHS18, author = {Joseph McMahan and Michael Christensen and Lawton Nichols and Jared Roesch and Sung{-}Yee Guo and Ben Hardekopf and Timothy Sherwood}, title = {An Architecture for Analysis}, journal = {{IEEE} Micro}, volume = {38}, number = {3}, pages = {107--115}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.032271067}, doi = {10.1109/MM.2018.032271067}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/McMahanCNRGHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/CuiS18, author = {Weilong Cui and Timothy Sherwood}, title = {Architectural Risk}, journal = {{IEEE} Micro}, volume = {38}, number = {3}, pages = {116--125}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.032271068}, doi = {10.1109/MM.2018.032271068}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/CuiS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MaoHAMTMSK18, author = {Baolei Mao and Wei Hu and Alric Althoff and Janarbek Matai and Yu Tai and Dejun Mu and Timothy Sherwood and Ryan Kastner}, title = {Quantitative Analysis of Timing Channel Security in Cryptographic Hardware Design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {9}, pages = {1719--1732}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2768420}, doi = {10.1109/TCAD.2017.2768420}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MaoHAMTMSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MadhavanSS18, author = {Advait Madhavan and Tim Sherwood and Dmitri B. Strukov}, title = {High-Throughput Pattern Matching With {CMOL} {FPGA} Circuits: Case for Logic-in-Memory Computing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {12}, pages = {2759--2772}, year = {2018}, url = {https://doi.org/10.1109/TVLSI.2018.2809644}, doi = {10.1109/TVLSI.2018.2809644}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MadhavanSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atva/TsiskaridzeBMBS18, author = {Nestan Tsiskaridze and Lucas Bang and Joseph McMahan and Tevfik Bultan and Timothy Sherwood}, editor = {Shuvendu K. Lahiri and Chao Wang}, title = {Information Leakage in Arbiter Protocols}, booktitle = {Automated Technology for Verification and Analysis - 16th International Symposium, {ATVA} 2018, Los Angeles, CA, USA, October 7-10, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11138}, pages = {404--421}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01090-4\_24}, doi = {10.1007/978-3-030-01090-4\_24}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atva/TsiskaridzeBMBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CuiDDHMJTCS18, author = {Weilong Cui and Yongshan Ding and Deeksha Dangwal and Adam Holmes and Joseph McMahan and Ali JavadiAbhari and Georgios Tzimpragos and Frederic T. Chong and Timothy Sherwood}, editor = {Murali Annavaram and Timothy Mark Pinkston and Babak Falsafi}, title = {Charm: {A} Language for Closed-Form High-Level Architecture Modeling}, booktitle = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018}, pages = {152--165}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISCA.2018.00023}, doi = {10.1109/ISCA.2018.00023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/CuiDDHMJTCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AlthoffMGDSTK18, author = {Alric Althoff and Joseph McMahan and Luis Vega and Scott Davidson and Timothy Sherwood and Michael B. Taylor and Ryan Kastner}, editor = {Murali Annavaram and Timothy Mark Pinkston and Babak Falsafi}, title = {Hiding Intermittent Information Leakage with Architectural Support for Blinking}, booktitle = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018}, pages = {638--649}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISCA.2018.00059}, doi = {10.1109/ISCA.2018.00059}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/AlthoffMGDSTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/McMahanCNRGHS17, author = {Joseph McMahan and Michael Christensen and Lawton Nichols and Jared Roesch and Sung{-}Yee Guo and Ben Hardekopf and Timothy Sherwood}, editor = {Yunji Chen and Olivier Temam and John Carter}, title = {An Architecture Supporting Formal and Compositional Binary Analysis}, booktitle = {Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2017, Xi'an, China, April 8-12, 2017}, pages = {177--191}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3037697.3037733}, doi = {10.1145/3037697.3037733}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/McMahanCNRGHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/MadhavanSS17, author = {Advait Madhavan and Timothy Sherwood and Dmitri B. Strukov}, title = {A 4-mm\({}^{\mbox{2}}\) 180-nm-CMOS 15-Giga-cell-updates-per-second {DNA} sequence alignment engine based on asynchronous race conditions}, booktitle = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin, TX, USA, April 30 - May 3, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CICC.2017.7993630}, doi = {10.1109/CICC.2017.7993630}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/MadhavanSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/ClowTDGMS17, author = {John Clow and Georgios Tzimpragos and Deeksha Dangwal and Sammy Guo and Joseph McMahan and Timothy Sherwood}, editor = {Marco D. Santambrogio and Diana G{\"{o}}hringer and Dirk Stroobandt and Nele Mentens and Jari Nurmi}, title = {A pythonic approach for rapid hardware prototyping and instrumentation}, booktitle = {27th International Conference on Field Programmable Logic and Applications, {FPL} 2017, Ghent, Belgium, September 4-8, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/FPL.2017.8056860}, doi = {10.23919/FPL.2017.8056860}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/ClowTDGMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/McMahanCXHCS17, author = {Joseph McMahan and Weilong Cui and Liang Xia and Jeff Heckey and Frederic T. Chong and Timothy Sherwood}, title = {Challenging on-chip {SRAM} security with boot-state statistics}, booktitle = {2017 {IEEE} International Symposium on Hardware Oriented Security and Trust, {HOST} 2017, McLean, VA, USA, May 1-5, 2017}, pages = {101--105}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HST.2017.7951806}, doi = {10.1109/HST.2017.7951806}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/McMahanCXHCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/SaadeldeenDSC17, author = {Heba Saadeldeen and Zhaoxia Deng and Timothy Sherwood and Frederic T. Chong}, title = {Thermal-aware, heterogeneous materials for improved energy and reliability in 3D {PCM} architectures}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2017, Alexandria, VA, USA, October 02 - 05, 2017}, pages = {223--236}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3132402.3132407}, doi = {10.1145/3132402.3132407}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memsys/SaadeldeenDSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/CuiS17, author = {Weilong Cui and Timothy Sherwood}, editor = {Hillery C. Hunter and Jaime Moreno and Joel S. Emer and Daniel S{\'{a}}nchez}, title = {Estimating and understanding architectural risk}, booktitle = {Proceedings of the 50th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2017, Cambridge, MA, USA, October 14-18, 2017}, pages = {651--664}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123939.3124541}, doi = {10.1145/3123939.3124541}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/CuiS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/GaoS16, author = {Ying Gao and Timothy Sherwood}, editor = {Frank Hannig and Jo{\~{a}}o M. P. Cardoso and Thilo Pionteck and Dietmar Fey and Wolfgang Schr{\"{o}}der{-}Preikschat and J{\"{u}}rgen Teich}, title = {Hardware-Assisted Context Management for Accelerator Virtualization: {A} Case Study with {RSA}}, booktitle = {Architecture of Computing Systems - {ARCS} 2016 - 29th International Conference, Nuremberg, Germany, April 4-7, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9637}, pages = {72--83}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-30695-7\_6}, doi = {10.1007/978-3-319-30695-7\_6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arcs/GaoS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MadhavanSS16, author = {Advait Madhavan and Timothy Sherwood and Dmitri B. Strukov}, title = {Energy efficient computation with asynchronous races}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {108:1--108:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898019}, doi = {10.1145/2897937.2898019}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MadhavanSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChongGDMPSSZ16, author = {Stephen Chong and Joshua D. Guttman and Anupam Datta and Andrew C. Myers and Benjamin C. Pierce and Patrick Schaumont and Tim Sherwood and Nickolai Zeldovich}, title = {Report on the {NSF} Workshop on Formal Methods for Security}, journal = {CoRR}, volume = {abs/1608.00678}, year = {2016}, url = {http://arxiv.org/abs/1608.00678}, eprinttype = {arXiv}, eprint = {1608.00678}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ChongGDMPSSZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/MadhavanSS15, author = {Advait Madhavan and Timothy Sherwood and Dmitri B. Strukov}, title = {Race Logic: Abusing Hardware Race Conditions to Perform Useful Computation}, journal = {{IEEE} Micro}, volume = {35}, number = {3}, pages = {48--57}, year = {2015}, url = {https://doi.org/10.1109/MM.2015.43}, doi = {10.1109/MM.2015.43}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/MadhavanSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaoHAMOMSK15, author = {Baolei Mao and Wei Hu and Alric Althoff and Janarbek Matai and Jason Oberg and Dejun Mu and Timothy Sherwood and Ryan Kastner}, editor = {Diana Marculescu and Frank Liu}, title = {Quantifying Timing-Based Information Flow in Cryptographic Hardware}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {552--559}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372618}, doi = {10.1109/ICCAD.2015.7372618}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MaoHAMOMSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/WasselGOHKCS14, author = {Hassan M. G. Wassel and Ying Gao and Jason K. Oberg and Ted Huffmire and Ryan Kastner and Frederic T. Chong and Timothy Sherwood}, title = {Networks on Chip with Provable Security Properties}, journal = {{IEEE} Micro}, volume = {34}, number = {3}, pages = {57--68}, year = {2014}, url = {https://doi.org/10.1109/MM.2014.46}, doi = {10.1109/MM.2014.46}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/WasselGOHKCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ObergMSK14, author = {Jason Oberg and Sarah Meiklejohn and Timothy Sherwood and Ryan Kastner}, title = {Leveraging Gate-Level Properties to Identify Hardware Timing Channels}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {9}, pages = {1288--1301}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2331332}, doi = {10.1109/TCAD.2014.2331332}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ObergMSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/HuMOMTSK14, author = {Wei Hu and Dejun Mu and Jason Oberg and Baolei Mao and Mohit Tiwari and Timothy Sherwood and Ryan Kastner}, title = {Gate-Level Information Flow Tracking for Security Lattices}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {1}, pages = {2:1--2:25}, year = {2014}, url = {https://doi.org/10.1145/2676548}, doi = {10.1145/2676548}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/HuMOMTSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/0001KOTRKSHC14, author = {Xun Li and Vineeth Kashyap and Jason K. Oberg and Mohit Tiwari and Vasanth Ram Rajarathinam and Ryan Kastner and Timothy Sherwood and Ben Hardekopf and Frederic T. Chong}, editor = {Rajeev Balasubramonian and Al Davis and Sarita V. Adve}, title = {Sapper: a language for hardware-level security policy enforcement}, booktitle = {Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2014, Salt Lake City, UT, USA, March 1-5, 2014}, pages = {97--112}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2541940.2541947}, doi = {10.1145/2541940.2541947}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/0001KOTRKSHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/MadhavanSS14, author = {Advait Madhavan and Timothy Sherwood and Dmitri B. Strukov}, title = {Race Logic: {A} hardware acceleration for dynamic programming algorithms}, booktitle = {{ACM/IEEE} 41st International Symposium on Computer Architecture, {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014}, pages = {517--528}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISCA.2014.6853226}, doi = {10.1109/ISCA.2014.6853226}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/MadhavanSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ObergSK13, author = {Jason Oberg and Timothy Sherwood and Ryan Kastner}, title = {Eliminating Timing Information Flows in a Mix-Trusted System-on-Chip}, journal = {{IEEE} Des. Test}, volume = {30}, number = {2}, pages = {55--62}, year = {2013}, url = {https://doi.org/10.1109/MDT.2013.2247457}, doi = {10.1109/MDT.2013.2247457}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ObergSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ValamehrCKPSVS13, author = {Jonathan Kaveh Valamehr and Melissa Chase and Seny Kamara and Andrew Putnam and Daniel Shumow and Vinod Vaikuntanathan and Timothy Sherwood}, title = {Inspection-Resistant Memory Architectures}, journal = {{IEEE} Micro}, volume = {33}, number = {3}, pages = {48--56}, year = {2013}, url = {https://doi.org/10.1109/MM.2013.27}, doi = {10.1109/MM.2013.27}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ValamehrCKPSVS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ValamehrSKMHIL13, author = {Jonathan Valamehr and Timothy Sherwood and Ryan Kastner and David Marangoni{-}Simonsen and Ted Huffmire and Cynthia E. Irvine and Timothy E. Levin}, title = {A 3-D Split Manufacturing Approach to Trustworthy System Development}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {4}, pages = {611--615}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2227257}, doi = {10.1109/TCAD.2012.2227257}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ValamehrSKMHIL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/SaadeldeenFLHBSSC13, author = {Hebatallah Saadeldeen and Diana Franklin and Guoping Long and Charlotte Hill and Aisha Browne and Dmitri B. Strukov and Timothy Sherwood and Frederic T. Chong}, editor = {Hubertus Franke and Alexander Heinecke and Krishna V. Palem and Eli Upfal}, title = {Memristors for neural branch prediction: a case study in strict latency and write endurance challenges}, booktitle = {Computing Frontiers Conference, CF'13, Ischia, Italy, May 14 - 16, 2013}, pages = {26:1--26:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2482767.2482801}, doi = {10.1145/2482767.2482801}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/SaadeldeenFLHBSSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ObergMSK13, author = {Jason Oberg and Sarah Meiklejohn and Timothy Sherwood and Ryan Kastner}, editor = {Enrico Macii}, title = {A practical testing framework for isolating hardware timing channels}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1281--1284}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.265}, doi = {10.7873/DATE.2013.265}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ObergMSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WasselGOHKCS13, author = {Hassan M. G. Wassel and Ying Gao and Jason Oberg and Ted Huffmire and Ryan Kastner and Frederic T. Chong and Timothy Sherwood}, editor = {Avi Mendelson}, title = {SurfNoC: a low latency and provably non-interfering approach to secure networks-on-chip}, booktitle = {The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013}, pages = {583--594}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2485922.2485972}, doi = {10.1145/2485922.2485972}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/WasselGOHKCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/0001KOTRKSHC13, author = {Xun Li and Vineeth Kashyap and Jason K. Oberg and Mohit Tiwari and Vasanth Ram Rajarathinam and Ryan Kastner and Timothy Sherwood and Ben Hardekopf and Frederic T. Chong}, editor = {Prasad Naldurg and Nikhil Swamy}, title = {Position paper: Sapper - a language for provable hardware policy enforcement}, booktitle = {Proceedings of the 2013 {ACM} {SIGPLAN} Workshop on Programming Languages and Analysis for Security, {PLAS} 2013, Seattle, WA, USA, June 20, 2013}, pages = {39--44}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2465106.2465214}, doi = {10.1145/2465106.2465214}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/0001KOTRKSHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/WasselDTVTDCS12, author = {Hassan M. G. Wassel and Daoxin Dai and Mohit Tiwari and Jonathan Valamehr and Luke Theogarajan and Jennifer A. Dionne and Frederic T. Chong and Timothy Sherwood}, title = {Opportunities and Challenges of Using Plasmonic Components in Nanophotonic Architectures}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {2}, number = {2}, pages = {154--168}, year = {2012}, url = {https://doi.org/10.1109/JETCAS.2012.2193934}, doi = {10.1109/JETCAS.2012.2193934}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/WasselDTVTDCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/Trujillo-OlayaSK12, author = {Vladimir Trujillo{-}Olaya and Timothy Sherwood and {\c{C}}etin Kaya Ko{\c{c}}}, title = {Analysis of performance versus security in hardware realizations of small elliptic curves for lightweight applications}, journal = {J. Cryptogr. Eng.}, volume = {2}, number = {3}, pages = {179--188}, year = {2012}, url = {https://doi.org/10.1007/s13389-012-0039-x}, doi = {10.1007/S13389-012-0039-X}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jce/Trujillo-OlayaSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/MazloomMTAS12, author = {Bita Mazloom and Shashidhar Mysore and Mohit Tiwari and Banit Agrawal and Timothy Sherwood}, title = {Dataflow Tomography: Information Flow Tracking For Understanding and Visualizing Full Systems}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {9}, number = {1}, pages = {3:1--3:26}, year = {2012}, url = {https://doi.org/10.1145/2133382.2133385}, doi = {10.1145/2133382.2133385}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/MazloomMTAS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/HuOITSMK12, author = {Wei Hu and Jason Oberg and Ali Irturk and Mohit Tiwari and Timothy Sherwood and Dejun Mu and Ryan Kastner}, title = {On the Complexity of Generating Gate Level Information Flow Tracking Logic}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {7}, number = {3}, pages = {1067--1080}, year = {2012}, url = {https://doi.org/10.1109/TIFS.2012.2189105}, doi = {10.1109/TIFS.2012.2189105}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/HuOITSMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/ValamehrHIKKLS12, author = {Jonathan Valamehr and Ted Huffmire and Cynthia E. Irvine and Ryan Kastner and {\c{C}}etin Kaya Ko{\c{c}} and Timothy E. Levin and Timothy Sherwood}, editor = {David Naccache}, title = {A Qualitative Security Analysis of a New Class of 3-D Integrated Crypto Co-processors}, booktitle = {Cryptography and Security: From Theory to Applications - Essays Dedicated to Jean-Jacques Quisquater on the Occasion of His 65th Birthday}, series = {Lecture Notes in Computer Science}, volume = {6805}, pages = {364--382}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28368-0\_24}, doi = {10.1007/978-3-642-28368-0\_24}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/birthday/ValamehrHIKKLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ValamehrCKPSVS12, author = {Jonathan Valamehr and Melissa Chase and Seny Kamara and Andrew Putnam and Daniel Shumow and Vinod Vaikuntanathan and Timothy Sherwood}, title = {Inspection resistant memory: Architectural support for security from physical examination}, booktitle = {39th International Symposium on Computer Architecture {(ISCA} 2012), June 9-13, 2012, Portland, OR, {USA}}, pages = {130--141}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISCA.2012.6237012}, doi = {10.1109/ISCA.2012.6237012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ValamehrCKPSVS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbm/BrowneS12, author = {Jeffrey Browne and Timothy Sherwood}, editor = {Karan Singh and Levent Burak Kara}, title = {Mobile Vision-Based Sketch Recognition with {SPARK}}, booktitle = {9th International Symposium on Sketch-Based Interfaces and Modeling, SBIM@Expressive 2012, Annecy, France, June 4-6, 2012, Proceedings}, pages = {87--96}, publisher = {Eurographics Association}, year = {2012}, url = {https://doi.org/10.2312/SBM/SBM12/087-096}, doi = {10.2312/SBM/SBM12/087-096}, timestamp = {Wed, 19 Aug 2020 10:27:10 +0200}, biburl = {https://dblp.org/rec/conf/sbm/BrowneS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuOITSMK11, author = {Wei Hu and Jason Oberg and Ali Irturk and Mohit Tiwari and Timothy Sherwood and Dejun Mu and Ryan Kastner}, title = {Theoretical Fundamentals of Gate Level Information Flow Tracking}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {8}, pages = {1128--1140}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2120970}, doi = {10.1109/TCAD.2011.2120970}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuOITSMK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/AlibartSS11, author = {Fabien Alibart and Timothy Sherwood and Dmitri B. Strukov}, editor = {David Merodio and Tughrul Arslan and Umeshkumar D. Patel and Didier Keymeulen and Khaled Benkrid and Ahmet T. Erdogan and Michael Newell and Luca Fossati and Duane Armstrong}, title = {Hybrid CMOS/nanodevice circuits for high throughput pattern matching applications}, booktitle = {2011 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2011, San Diego, California, USA, June 6-9, 2011}, pages = {279--286}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/AHS.2011.5963948}, doi = {10.1109/AHS.2011.5963948}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahs/AlibartSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ObergHITSK11, author = {Jason Oberg and Wei Hu and Ali Irturk and Mohit Tiwari and Timothy Sherwood and Ryan Kastner}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Information flow isolation in {I2C} and {USB}}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {254--259}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2024724.2024782}, doi = {10.1145/2024724.2024782}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ObergHITSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BiswasSSFSC11, author = {Susmit Biswas and Bronis R. de Supinski and Martin Schulz and Diana Franklin and Timothy Sherwood and Frederic T. Chong}, title = {Exploiting Data Similarity to Reduce Memory Footprints}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings}, pages = {152--163}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.24}, doi = {10.1109/IPDPS.2011.24}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/BiswasSSFSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/TiwariOLVLHKCS11, author = {Mohit Tiwari and Jason Oberg and Xun Li and Jonathan Valamehr and Timothy E. Levin and Ben Hardekopf and Ryan Kastner and Frederic T. Chong and Timothy Sherwood}, editor = {Ravi R. Iyer and Qing Yang and Antonio Gonz{\'{a}}lez}, title = {Crafting a usable microkernel, processor, and {I/O} system with strict and provable information flow security}, booktitle = {38th International Symposium on Computer Architecture {(ISCA} 2011), June 4-8, 2011, San Jose, CA, {USA}}, pages = {189--200}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2000064.2000087}, doi = {10.1145/2000064.2000087}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/TiwariOLVLHKCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BiswasTSTC11, author = {Susmit Biswas and Mohit Tiwari and Timothy Sherwood and Luke Theogarajan and Frederic T. Chong}, editor = {Ravi R. Iyer and Qing Yang and Antonio Gonz{\'{a}}lez}, title = {Fighting fire with fire: modeling the datacenter-scale effects of targeted superlattice thermal management}, booktitle = {38th International Symposium on Computer Architecture {(ISCA} 2011), June 4-8, 2011, San Jose, CA, {USA}}, pages = {331--340}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2000064.2000104}, doi = {10.1145/2000064.2000104}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/BiswasTSTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HaySSLB11, author = {Andrew W. Hay and Karin Strauss and Timothy Sherwood and Gabriel H. Loh and Doug Burger}, editor = {Carlo Galuzzi and Luigi Carro and Andreas Moshovos and Milos Prvulovic}, title = {Preventing {PCM} banks from seizing too much power}, booktitle = {44rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2011, Porto Alegre, Brazil, December 3-7, 2011}, pages = {186--195}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2155620.2155642}, doi = {10.1145/2155620.2155642}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HaySSLB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/LiTOKCSH11, author = {Xun Li and Mohit Tiwari and Jason Oberg and Vineeth Kashyap and Frederic T. Chong and Timothy Sherwood and Ben Hardekopf}, editor = {Mary W. Hall and David A. Padua}, title = {Caisson: a hardware description language for secure information flow}, booktitle = {Proceedings of the 32nd {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2011, San Jose, CA, USA, June 4-8, 2011}, pages = {109--120}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1993498.1993512}, doi = {10.1145/1993498.1993512}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/LiTOKCSH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tabletop/BrowneLCRS11, author = {Jeffrey Browne and Bongshin Lee and Sheelagh Carpendale and Nathalie Henry Riche and Timothy Sherwood}, editor = {Jun Rekimoto and Hideki Koike and Kentaro Fukuchi and Yoshifumi Kitamura and Daniel Wigdor}, title = {Data analysis on interactive whiteboards through sketch-based interaction}, booktitle = {{ACM} International Conference on Interactive Tabletops and Surfaces, {ITS} 2011, Kobe, Japan, November 13-16, 2011}, pages = {154--157}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2076354.2076383}, doi = {10.1145/2076354.2076383}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tabletop/BrowneLCRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/TiwariLWMMCS10, author = {Mohit Tiwari and Xun Li and Hassan M. G. Wassel and Bita Mazloom and Shashidhar Mysore and Frederic T. Chong and Timothy Sherwood}, title = {Gate-Level Information-Flow Tracking for Secure Architectures}, journal = {{IEEE} Micro}, volume = {30}, number = {1}, pages = {92--100}, year = {2010}, url = {https://doi.org/10.1109/MM.2010.17}, doi = {10.1109/MM.2010.17}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/TiwariLWMMCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/HuffmireLNIBWSK10, author = {Ted Huffmire and Timothy E. Levin and Thuy D. Nguyen and Cynthia E. Irvine and Brett Brotherton and Gang Wang and Timothy Sherwood and Ryan Kastner}, title = {Security Primitives for Reconfigurable Hardware-Based Systems}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {3}, number = {2}, pages = {10:1--10:35}, year = {2010}, url = {https://doi.org/10.1145/1754386.1754391}, doi = {10.1145/1754386.1754391}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/HuffmireLNIBWSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/ValamehrTSKHIL10, author = {Jonathan Valamehr and Mohit Tiwari and Timothy Sherwood and Ryan Kastner and Ted Huffmire and Cynthia E. Irvine and Timothy E. Levin}, editor = {Carrie Gates and Michael Franz and John P. McDermott}, title = {Hardware assistance for trustworthy systems through 3-D integration}, booktitle = {Twenty-Sixth Annual Computer Security Applications Conference, {ACSAC} 2010, Austin, Texas, USA, 6-10 December 2010}, pages = {199--210}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1920261.1920292}, doi = {10.1145/1920261.1920292}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acsac/ValamehrTSKHIL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/LiTSC10, author = {Xun Li and Mohit Tiwari and Timothy Sherwood and Frederic T. Chong}, editor = {Fran{\c{c}}ois Charot and Frank Hannig and J{\"{u}}rgen Teich and Christophe Wolinski}, title = {Function flattening for lease-based, information-leak-free systems}, booktitle = {21st {IEEE} International Conference on Application-specific Systems Architectures and Processors, {ASAP} 2010, Rennes, France, 7-9 July 2010}, pages = {349--352}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ASAP.2010.5540946}, doi = {10.1109/ASAP.2010.5540946}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asap/LiTSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/HuffmireLBIVTSK10, author = {Ted Huffmire and Timothy E. Levin and Michael Bilzor and Cynthia E. Irvine and Jonathan Valamehr and Mohit Tiwari and Timothy Sherwood and Ryan Kastner}, title = {Hardware trust implications of 3-D integration}, booktitle = {Proceedings of the 5th Workshop on Embedded Systems Security, {WESS} 2010, Scottsdale, AZ, USA, October 24, 2010}, pages = {1}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1873548.1873549}, doi = {10.1145/1873548.1873549}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/HuffmireLBIVTSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ObergHITSK10, author = {Jason Oberg and Wei Hu and Ali Irturk and Mohit Tiwari and Timothy Sherwood and Ryan Kastner}, editor = {Sachin S. Sapatnekar}, title = {Theoretical analysis of gate level information flow tracking}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {244--247}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837337}, doi = {10.1145/1837274.1837337}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ObergHITSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/MousaDSO10, author = {Hussam Mousa and Kshitij A. Doshi and Timothy Sherwood and ElMoustapha Ould{-}Ahmed{-}Vall}, title = {VrtProf: Vertical Profiling for System Virtualization}, booktitle = {43rd Hawaii International International Conference on Systems Science {(HICSS-43} 2010), Proceedings, 5-8 January 2010, Koloa, Kauai, HI, {USA}}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HICSS.2010.440}, doi = {10.1109/HICSS.2010.440}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/MousaDSO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/0001THSC10, author = {Xun Li and Mohit Tiwari and Ben Hardekopf and Timothy Sherwood and Frederic T. Chong}, editor = {Anindya Banerjee and Deepak Garg}, title = {Secure information flow analysis for hardware design: using the right abstraction for the job}, booktitle = {Proceedings of the 2010 Workshop on Programming Languages and Analysis for Security, {PLAS} 2010, Toronto, ON, Canada, 10 June, 2010}, pages = {8}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1814217.1814225}, doi = {10.1145/1814217.1814225}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/0001THSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbm/ZamoraS10, author = {Shane Zamora and Timothy Sherwood}, editor = {Marc Alexa and Ellen Yi{-}Luen Do}, title = {Sketch-Based Recognition System for General Articulated Skeletal Figures}, booktitle = {7th {ACM} {SIGGRAPH} / Eurographics Symposium on Sketch-Based Interfaces and Modeling, {SBIM} 2010, Annecy, France, June 7-10, 2010, Proceedings}, pages = {119--126}, publisher = {Eurographics Association}, year = {2010}, url = {https://doi.org/10.2312/SBM/SBM10/119-126}, doi = {10.2312/SBM/SBM10/119-126}, timestamp = {Wed, 19 Aug 2020 10:18:50 +0200}, biburl = {https://dblp.org/rec/conf/sbm/ZamoraS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfcs/DixonES09, author = {Ryan Dixon and {\"{O}}mer Egecioglu and Timothy Sherwood}, title = {Analysis of Bit-Split Languages for Packet Scanning and Experiments with Wildcard Matching}, journal = {Int. J. Found. Comput. Sci.}, volume = {20}, number = {4}, pages = {597--612}, year = {2009}, url = {https://doi.org/10.1142/S0129054109006760}, doi = {10.1142/S0129054109006760}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfcs/DixonES09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/KatariaBHS09, author = {Nitin Kataria and Forrest Brewer and Jo{\~{a}}o Pedro Hespanha and Timothy Sherwood}, title = {Metric Based Multi-Timescale Control for Reducing Power in Embedded Systems}, journal = {J. Low Power Electron.}, volume = {5}, number = {3}, pages = {354--362}, year = {2009}, url = {https://doi.org/10.1166/jolpe.2009.1035}, doi = {10.1166/JOLPE.2009.1035}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/KatariaBHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/AgrawalS09, author = {Banit Agrawal and Timothy Sherwood}, title = {High-bandwidth network memory system through virtual pipelines}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {17}, number = {4}, pages = {1029--1041}, year = {2009}, url = {http://doi.acm.org/10.1145/1618562.1618564}, doi = {10.1145/1618562.1618564}, timestamp = {Wed, 23 Sep 2009 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/AgrawalS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/TiwariMS09, author = {Mohit Tiwari and Shashidhar Mysore and Timothy Sherwood}, title = {Quantifying the Potential of Program Analysis Peripherals}, booktitle = {{PACT} 2009, Proceedings of the 18th International Conference on Parallel Architectures and Compilation Techniques, 12-16 September 2009, Raleigh, North Carolina, {USA}}, pages = {53--63}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/PACT.2009.38}, doi = {10.1109/PACT.2009.38}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/TiwariMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/TiwariWMMCS09, author = {Mohit Tiwari and Hassan M. G. Wassel and Bita Mazloom and Shashidhar Mysore and Frederic T. Chong and Timothy Sherwood}, editor = {Mary Lou Soffa and Mary Jane Irwin}, title = {Complete information flow tracking from the gates up}, booktitle = {Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2009, Washington, DC, USA, March 7-11, 2009}, pages = {109--120}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1508244.1508258}, doi = {10.1145/1508244.1508258}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/TiwariWMMCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BiswasFSDSC09, author = {Susmit Biswas and Diana Franklin and Alan Savage and Ryan Dixon and Timothy Sherwood and Frederic T. Chong}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {Multi-execution: multicore caching for data-similar executions}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {164--173}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555777}, doi = {10.1145/1555754.1555777}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/BiswasFSDSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/BiswasFSC09, author = {Susmit Biswas and Diana Franklin and Timothy Sherwood and Frederic T. Chong}, title = {Conflict-Avoidance in Multicore Caching for Data-Similar Executions}, booktitle = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, pages = {80--85}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/I-SPAN.2009.58}, doi = {10.1109/I-SPAN.2009.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/BiswasFSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medea/BiswasFSCS009, author = {Susmit Biswas and Diana Franklin and Timothy Sherwood and Frederic T. Chong and Bronis R. de Supinski and Martin Schulz}, editor = {Sandro Bartolini and Pierfrancesco Foglia and Roberto Giorgi and Cosimo Antonio Prete}, title = {PSMalloc: content based memory management for {MPI} applications}, booktitle = {Proceedings of the 10th workshop on MEmory performance - DEaling with Applications, systems and architecture, {MEDEA} '09, Raleigh, North Carolina, USA, September 13, 2009}, pages = {43--48}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1621960.1621968}, doi = {10.1145/1621960.1621968}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/medea/BiswasFSCS009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/TiwariLWCS09, author = {Mohit Tiwari and Xun Li and Hassan M. G. Wassel and Frederic T. Chong and Timothy Sherwood}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Execution leases: a hardware-supported mechanism for enforcing strong non-interference}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {493--504}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669174}, doi = {10.1145/1669112.1669174}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/TiwariLWCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KatariaBHS09, author = {Nitin Kataria and Forrest Brewer and Jo{\~{a}}o Pedro Hespanha and Timothy Sherwood}, title = {Metric Based Multi-Timescale Control for Reducing Power in Embedded Systems}, booktitle = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on {VLSI} Design, New Delhi, India, 5-9 January 2009}, pages = {407--412}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/VLSI.Design.2009.16}, doi = {10.1109/VLSI.DESIGN.2009.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KatariaBHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/HuffmireSKL08, author = {Ted Huffmire and Timothy Sherwood and Ryan Kastner and Timothy E. Levin}, title = {Enforcing memory policy specifications in reconfigurable hardware}, journal = {Comput. Secur.}, volume = {27}, number = {5-6}, pages = {197--215}, year = {2008}, url = {https://doi.org/10.1016/j.cose.2008.05.002}, doi = {10.1016/J.COSE.2008.05.002}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/HuffmireSKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuffmireBSKLNI08, author = {Ted Huffmire and Brett Brotherton and Timothy Sherwood and Ryan Kastner and Timothy E. Levin and Thuy D. Nguyen and Cynthia E. Irvine}, title = {Managing Security in FPGA-Based Embedded Systems}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {6}, pages = {590--598}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.166}, doi = {10.1109/MDT.2008.166}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HuffmireBSKLNI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigbed/HooverBS08, author = {Greg Hoover and Forrest Brewer and Timothy Sherwood}, title = {Structural integrity: safety in miniature technology}, journal = {{SIGBED} Rev.}, volume = {5}, number = {1}, pages = {14}, year = {2008}, url = {https://doi.org/10.1145/1366283.1366297}, doi = {10.1145/1366283.1366297}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigbed/HooverBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/MysoreANSSS08, author = {Shashidhar Mysore and Banit Agrawal and Rodolfo Neuber and Timothy Sherwood and Nisheeth Shrivastava and Subhash Suri}, title = {Formulating and implementing profiling over adaptive ranges}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {5}, number = {1}, pages = {2:1--2:32}, year = {2008}, url = {https://doi.org/10.1145/1369396.1369398}, doi = {10.1145/1369396.1369398}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/MysoreANSSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/HuffmireBCVWKS08, author = {Ted Huffmire and Brett Brotherton and Nick Callegari and Jonathan Valamehr and Jeff White and Ryan Kastner and Timothy Sherwood}, title = {Designing secure systems on reconfigurable hardware}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {3}, pages = {44:1--44:24}, year = {2008}, url = {https://doi.org/10.1145/1367045.1367053}, doi = {10.1145/1367045.1367053}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/HuffmireBCVWKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AgrawalS08, author = {Banit Agrawal and Timothy Sherwood}, title = {Ternary {CAM} Power and Delay Model: Extensions and Uses}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {5}, pages = {554--564}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.917538}, doi = {10.1109/TVLSI.2008.917538}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/AgrawalS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MysoreMAS08, author = {Shashidhar Mysore and Bita Mazloom and Banit Agrawal and Timothy Sherwood}, editor = {Susan J. Eggers and James R. Larus}, title = {Understanding and visualizing full systems with data flow tomography}, booktitle = {Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2008, Seattle, WA, USA, March 1-5, 2008}, pages = {211--221}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1346281.1346308}, doi = {10.1145/1346281.1346308}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/MysoreMAS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/HuffmireVSKLDI08, author = {Ted Huffmire and Jonathan Valamehr and Timothy Sherwood and Ryan Kastner and Timothy E. Levin and Thuy D. Nguyen and Cynthia E. Irvine}, editor = {Mohammad Tehranipoor and Jim Plusquellic}, title = {Trustworthy System Security through 3-D Integrated Hardware}, booktitle = {{IEEE} International Workshop on Hardware-Oriented Security and Trust, {HOST} 2008, Anaheim, CA, USA, June 9, 2008. Proceedings}, pages = {91--92}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/HST.2008.4559061}, doi = {10.1109/HST.2008.4559061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/HuffmireVSKLDI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/DixonS08, author = {Ryan Dixon and Timothy Sherwood}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {Whiteboards that compute: {A} workload analysis}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {69--78}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636092}, doi = {10.1109/IISWC.2008.4636092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/DixonS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/TiwariAMVS08, author = {Mohit Tiwari and Banit Agrawal and Shashidhar Mysore and Jonathan Valamehr and Timothy Sherwood}, title = {A small cache of large ranges: Hardware methods for efficiently searching, storing, and updating big dataflow tags}, booktitle = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy}, pages = {94--105}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MICRO.2008.4771782}, doi = {10.1109/MICRO.2008.4771782}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/TiwariAMVS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MysoreACS08, author = {Shashidhar Mysore and Banit Agrawal and Frederic T. Chong and Timothy Sherwood}, title = {Exploring the Processor and {ISA} Design for Wireless Sensor Network Applications}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {59--64}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.72}, doi = {10.1109/VLSI.2008.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MysoreACS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/AgrawalSSY08, author = {Banit Agrawal and Timothy Sherwood and Chulho Shin and Simon Yoon}, title = {Addressing the Challenges of Synchronization/Communication and Debugging Support in Hardware/Software Cosimulation}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {354--361}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.74}, doi = {10.1109/VLSI.2008.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/AgrawalSSY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wia/DixonES08, author = {Ryan Dixon and {\"{O}}mer Egecioglu and Timothy Sherwood}, editor = {Oscar H. Ibarra and Bala Ravikumar}, title = {Automata-Theoretic Analysis of Bit-Split Languages for Packet Scanning}, booktitle = {Implementation and Applications of Automata, 13th International Conference, {CIAA} 2008, San Francisco, California, USA, July 21-24, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5148}, pages = {141--150}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-70844-5\_15}, doi = {10.1007/978-3-540-70844-5\_15}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wia/DixonES08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/MysoreASLBS07, author = {Shashidhar Mysore and Banit Agrawal and Navin Srivastava and Sheng{-}Chih Lin and Kaustav Banerjee and Timothy Sherwood}, title = {3D Integration for Introspection}, journal = {{IEEE} Micro}, volume = {27}, number = {1}, pages = {77--83}, year = {2007}, url = {https://doi.org/10.1109/MM.2007.1}, doi = {10.1109/MM.2007.1}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/MysoreASLBS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/HooverBS07, author = {Greg Hoover and Forrest Brewer and Timothy Sherwood}, editor = {Taewhan Kim and Pascal Sainrat and Steven S. Lumetta and Nacho Navarro}, title = {Towards understanding architectural tradeoffs in {MEMS} closed-loop feedback control}, booktitle = {Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {95--102}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289881.1289901}, doi = {10.1145/1289881.1289901}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/HooverBS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sp/HuffmireBWSKLNI07, author = {Ted Huffmire and Brett Brotherton and Gang Wang and Timothy Sherwood and Ryan Kastner and Timothy E. Levin and Thuy D. Nguyen and Cynthia E. Irvine}, title = {Moats and Drawbridges: An Isolation Primitive for Reconfigurable Hardware Based Systems}, booktitle = {2007 {IEEE} Symposium on Security and Privacy (S{\&}P 2007), 20-23 May 2007, Oakland, California, {USA}}, pages = {281--295}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SP.2007.28}, doi = {10.1109/SP.2007.28}, timestamp = {Thu, 21 Sep 2023 15:57:31 +0200}, biburl = {https://dblp.org/rec/conf/sp/HuffmireBWSKLNI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jilp/KursunSSSR06, author = {Eren Kursun and Anahita Shayesteh and Suleyman Sair and Timothy Sherwood and Glenn Reinman}, title = {An Evaluation of Deeply Decoupled Cores}, journal = {J. Instr. Level Parallelism}, volume = {8}, year = {2006}, url = {http://www.jilp.org/vol8/v8paper4.pdf}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jilp/KursunSSSR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/HamerlyPLCS06, author = {Greg Hamerly and Erez Perelman and Jeremy Lau and Brad Calder and Timothy Sherwood}, title = {Using Machine Learning to Guide Architecture Simulation}, journal = {J. Mach. Learn. Res.}, volume = {7}, pages = {343--378}, year = {2006}, url = {https://jmlr.org/papers/v7/hamerly06a.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/HamerlyPLCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/TanS06, author = {Lin Tan and Timothy Sherwood}, title = {Architectures for Bit-Split String Scanning in Intrusion Detection}, journal = {{IEEE} Micro}, volume = {26}, number = {1}, pages = {110--117}, year = {2006}, url = {https://doi.org/10.1109/MM.2006.5}, doi = {10.1109/MM.2006.5}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/TanS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SherwoodY06, author = {Timothy Sherwood and Joshua J. Yi}, title = {Guest Editors' Introduction: Computer Architecture Simulation and Modeling}, journal = {{IEEE} Micro}, volume = {26}, number = {4}, pages = {5--7}, year = {2006}, url = {https://doi.org/10.1109/MM.2006.70}, doi = {10.1109/MM.2006.70}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SherwoodY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/TanBS06, author = {Lin Tan and Brett Brotherton and Timothy Sherwood}, title = {Bit-split string-matching engines for intrusion detection and prevention}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {3}, number = {1}, pages = {3--34}, year = {2006}, url = {https://doi.org/10.1145/1132462.1132464}, doi = {10.1145/1132462.1132464}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/TanBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/NagpurkarMKS06, author = {Priya Nagpurkar and Hussam Mousa and Chandra Krintz and Timothy Sherwood}, title = {Efficient remote profiling for resource-constrained devices}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {3}, number = {1}, pages = {35--66}, year = {2006}, url = {https://doi.org/10.1145/1132462.1132465}, doi = {10.1145/1132462.1132465}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/NagpurkarMKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/HuffmireS06, author = {Ted Huffmire and Timothy Sherwood}, editor = {Erik R. Altman and Kevin Skadron and Benjamin G. Zorn}, title = {Wavelet-based phase classification}, booktitle = {15th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2006), Seattle, Washington, USA, September 16-20, 2006}, pages = {95--104}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1152154.1152172}, doi = {10.1145/1152154.1152172}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/HuffmireS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MysoreASLBS06, author = {Shashidhar Mysore and Banit Agrawal and Navin Srivastava and Sheng{-}Chih Lin and Kaustav Banerjee and Timothy Sherwood}, editor = {John Paul Shen and Margaret Martonosi}, title = {Introspective 3D chips}, booktitle = {Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2006, San Jose, CA, USA, October 21-25, 2006}, pages = {264--273}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1168857.1168890}, doi = {10.1145/1168857.1168890}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/MysoreASLBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/HooverBS06, author = {Greg Hoover and Forrest Brewer and Timothy Sherwood}, editor = {Seongsoo Hong and Wayne H. Wolf and Kriszti{\'{a}}n Flautner and Taewhan Kim}, title = {Extensible control architectures}, booktitle = {Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October 22-25, 2006}, pages = {323--333}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176760.1176800}, doi = {10.1145/1176760.1176800}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/HooverBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/ShayestehRJSS06, author = {Anahita Shayesteh and Glenn Reinman and Norman P. Jouppi and Timothy Sherwood and Suleyman Sair}, editor = {Seongsoo Hong and Wayne H. Wolf and Kriszti{\'{a}}n Flautner and Taewhan Kim}, title = {Improving the performance and power efficiency of shared helpers in CMPs}, booktitle = {Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October 22-25, 2006}, pages = {345--356}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176760.1176802}, doi = {10.1145/1176760.1176802}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/ShayestehRJSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/HooverBS06a, author = {Greg Hoover and Forrest Brewer and Timothy Sherwood}, editor = {Seongsoo Hong and Wayne H. Wolf and Kriszti{\'{a}}n Flautner and Taewhan Kim}, title = {A case study of multi-threading in the embedded space}, booktitle = {Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October 22-25, 2006}, pages = {357--367}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176760.1176803}, doi = {10.1145/1176760.1176803}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/HooverBS06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/MysoreASSS06, author = {Shashidhar Mysore and Banit Agrawal and Timothy Sherwood and Nisheeth Shrivastava and Subhash Suri}, title = {Profiling over Adaptive Ranges}, booktitle = {Fourth {IEEE/ACM} International Symposium on Code Generation and Optimization {(CGO} 2006), 26-29 March 2006, New York, New York, {USA}}, pages = {147--158}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CGO.2006.30}, doi = {10.1109/CGO.2006.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/MysoreASSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MengSK06, author = {Yan Meng and Timothy Sherwood and Ryan Kastner}, editor = {Ellen Sentovich}, title = {Leakage power reduction of embedded memories on FPGAs through location assignment}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {612--617}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147067}, doi = {10.1145/1146909.1147067}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MengSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LoiASLSB06, author = {Gian Luca Loi and Banit Agrawal and Navin Srivastava and Sheng{-}Chih Lin and Timothy Sherwood and Kaustav Banerjee}, editor = {Ellen Sentovich}, title = {A thermally-aware performance analysis of vertically integrated {(3-D)} processor-memory hierarchy}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {991--996}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147160}, doi = {10.1145/1146909.1147160}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LoiASLSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esorics/HuffmirePSK06, author = {Ted Huffmire and Shreyas Prasad and Timothy Sherwood and Ryan Kastner}, editor = {Dieter Gollmann and Jan Meier and Andrei Sabelfeld}, title = {Policy-Driven Memory Protection for Reconfigurable Hardware}, booktitle = {Computer Security - {ESORICS} 2006, 11th European Symposium on Research in Computer Security, Hamburg, Germany, September 18-20, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4189}, pages = {461--478}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11863908\_28}, doi = {10.1007/11863908\_28}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esorics/HuffmirePSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AgrawalS06, author = {Banit Agrawal and Timothy Sherwood}, title = {Guiding Architectural {SRAM} Models}, booktitle = {24th International Conference on Computer Design {(ICCD} 2006), 1-4 October 2006, San Jose, CA, {USA}}, pages = {376--382}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICCD.2006.4380844}, doi = {10.1109/ICCD.2006.4380844}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AgrawalS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AgrawalS06, author = {Banit Agrawal and Timothy Sherwood}, title = {Modeling {TCAM} power for next generation network devices}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {120--129}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620796}, doi = {10.1109/ISPASS.2006.1620796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AgrawalS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/AgrawalS06, author = {Banit Agrawal and Timothy Sherwood}, title = {Virtually Pipelined Network Memory}, booktitle = {39th Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-39} 2006), 9-13 December 2006, Orlando, Florida, {USA}}, pages = {197--207}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MICRO.2006.51}, doi = {10.1109/MICRO.2006.51}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/AgrawalS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/MengGKS05, author = {Yan Meng and Wenrui Gong and Ryan Kastner and Timothy Sherwood}, title = {Algorithm/Architecture Co-exploration for Designing Energy Efficient Wireless Channel Estimator}, journal = {J. Low Power Electron.}, volume = {1}, number = {3}, pages = {238--248}, year = {2005}, url = {https://doi.org/10.1166/jolpe.2005.049}, doi = {10.1166/JOLPE.2005.049}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/MengGKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/ShayestehRJSS05, author = {Anahita Shayesteh and Glenn Reinman and Norman P. Jouppi and Suleyman Sair and Timothy Sherwood}, title = {Dynamically configurable shared {CMP} helper engines for improved performance}, journal = {{SIGARCH} Comput. Archit. News}, volume = {33}, number = {4}, pages = {70--79}, year = {2005}, url = {https://doi.org/10.1145/1105734.1105744}, doi = {10.1145/1105734.1105744}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/ShayestehRJSS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/MengSK05, author = {Yan Meng and Timothy Sherwood and Ryan Kastner}, title = {Exploring the limits of leakage power reduction in caches}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {2}, number = {3}, pages = {221--246}, year = {2005}, url = {https://doi.org/10.1145/1089008.1089009}, doi = {10.1145/1089008.1089009}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/MengSK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/NagpurkarKS05, author = {Priya Nagpurkar and Chandra Krintz and Timothy Sherwood}, title = {Phase-Aware Remote Profiling}, booktitle = {3nd {IEEE} / {ACM} International Symposium on Code Generation and Optimization {(CGO} 2005), 20-23 March 2005, San Jose, CA, {USA}}, pages = {191--202}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CGO.2005.26}, doi = {10.1109/CGO.2005.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/NagpurkarKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SherwoodMV05, author = {Timothy Sherwood and Farilee Mintz and Miroslava Vomela}, editor = {Gerrit C. van der Veer and Carolyn Gale}, title = {Project {VIRGO:} creation of a surrogate companion for the elderly}, booktitle = {Extended Abstracts Proceedings of the 2005 Conference on Human Factors in Computing Systems, {CHI} 2005, Portland, Oregon, USA, April 2-7, 2005}, pages = {2104--2108}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1056808.1057108}, doi = {10.1145/1056808.1057108}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SherwoodMV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MengBISLK05, author = {Yan Meng and Andrew P. Brown and Ronald A. Iltis and Timothy Sherwood and Hua Lee and Ryan Kastner}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {{MP} core: algorithm and design techniques for efficient channel estimation in wireless applications}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {297--302}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065658}, doi = {10.1145/1065579.1065658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MengBISLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/GongMWKS05, author = {Wenrui Gong and Yan Meng and Gang Wang and Ryan Kastner and Timothy Sherwood}, editor = {Toomas P. Plaks}, title = {Data Partitioning and Optimizations for Reconfigurable Architectures}, booktitle = {Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, {ERSA} 2005, Las Vegas, Nevada, USA, June 27-30, 2005}, pages = {239--242}, publisher = {{CSREA} Press}, year = {2005}, timestamp = {Thu, 14 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ersa/GongMWKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/MengSK05, author = {Yan Meng and Timothy Sherwood and Ryan Kastner}, title = {On the Limits of Leakage Power Reduction in Caches}, booktitle = {11th International Conference on High-Performance Computer Architecture {(HPCA-11} 2005), 12-16 February 2005, San Francisco, CA, {USA}}, pages = {154--165}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/HPCA.2005.23}, doi = {10.1109/HPCA.2005.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/MengSK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShayestehKSSR05, author = {Anahita Shayesteh and Eren Kursun and Timothy Sherwood and Suleyman Sair and Glenn Reinman}, title = {Reducing the Latency and Area Cost of Core Swapping through Shared Helper Engines}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {17--23}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.93}, doi = {10.1109/ICCD.2005.93}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShayestehKSSR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/TanS05, author = {Lin Tan and Timothy Sherwood}, title = {A High Throughput String Matching Architecture for Intrusion Detection and Prevention}, booktitle = {32st International Symposium on Computer Architecture {(ISCA} 2005), 4-8 June 2005, Madison, Wisconsin, {USA}}, pages = {112--122}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISCA.2005.5}, doi = {10.1109/ISCA.2005.5}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/TanS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LauPHSC05, author = {Jeremy Lau and Erez Perelman and Greg Hamerly and Timothy Sherwood and Brad Calder}, title = {Motivation for Variable Length Intervals and Hierarchical Phase Behavior}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2005, March 20-22, 2005, Austin, Texas, USA, Proceedings}, pages = {135--146}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPASS.2005.1430568}, doi = {10.1109/ISPASS.2005.1430568}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LauPHSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/SherwoodOC04, author = {Timothy Sherwood and Mark Oskin and Brad Calder}, editor = {Mary Jane Irwin and Wei Zhao and Luciano Lavagno and Scott A. Mahlke}, title = {Balancing design options with Sherpa}, booktitle = {Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2004, Washington DC, USA, September 22 - 25, 2004}, pages = {57--68}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1023833.1023843}, doi = {10.1145/1023833.1023843}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/SherwoodOC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/MasonSRV04, author = {Mathew Mason and Timothy Sherwood and Mohammad Rahman and Miroslava Vomela}, editor = {Elizabeth Dykstra{-}Erickson and Manfred Tscheligi}, title = {Development of an Olympic audience judging system}, booktitle = {Extended abstracts of the 2004 Conference on Human Factors in Computing Systems, {CHI} 2004, Vienna, Austria, April 24 - 29, 2004}, pages = {1626--1630}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/985921.986175}, doi = {10.1145/985921.986175}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/MasonSRV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/TuckSCV04, author = {Nathan Tuck and Timothy Sherwood and Brad Calder and George Varghese}, title = {Deterministic Memory-Efficient String Matching Algorithms for Intrusion Detection}, booktitle = {Proceedings {IEEE} {INFOCOM} 2004, The 23rd Annual Joint Conference of the {IEEE} Computer and Communications Societies, Hong Kong, China, March 7-11, 2004}, pages = {2628--2639}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/INFCOM.2004.1354682}, doi = {10.1109/INFCOM.2004.1354682}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/TuckSCV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/BiesbrouckSC04, author = {Michael Van Biesbrouck and Timothy Sherwood and Brad Calder}, title = {A co-phase matrix to guide simultaneous multithreading simulation}, booktitle = {2004 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings}, pages = {45--56}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPASS.2004.1291355}, doi = {10.1109/ISPASS.2004.1291355}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/BiesbrouckSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacs/KursunRSSS04, author = {Eren Kursun and Glenn Reinman and Suleyman Sair and Anahita Shayesteh and Timothy Sherwood}, editor = {Babak Falsafi and T. N. Vijaykumar}, title = {Low-Overhead Core Swapping for Thermal Management}, booktitle = {Power-Aware Computer Systems, 4th International Workshop, {PACS} 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3471}, pages = {46--60}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/11574859\_4}, doi = {10.1007/11574859\_4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pacs/KursunRSSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SherwoodPHSC03, author = {Timothy Sherwood and Erez Perelman and Greg Hamerly and Suleyman Sair and Brad Calder}, title = {Discovering and Exploiting Program Phases}, journal = {{IEEE} Micro}, volume = {23}, number = {6}, pages = {84--93}, year = {2003}, url = {https://doi.org/10.1109/MM.2003.1261391}, doi = {10.1109/MM.2003.1261391}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SherwoodPHSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SairSC03, author = {Suleyman Sair and Timothy Sherwood and Brad Calder}, title = {A Decoupled Predictor-Directed Stream Prefetching Architecture}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {3}, pages = {260--276}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1183943}, doi = {10.1109/TC.2003.1183943}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SairSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/LauSSC03, author = {Jeremy Lau and Stefan Schoenmackers and Timothy Sherwood and Brad Calder}, editor = {Jaime H. Moreno and Praveen K. Murthy and Thomas M. Conte and Paolo Faraboschi}, title = {Reducing code size with echo instructions}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California, USA, October 30 - November 1, 2003}, pages = {84--94}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/951710.951724}, doi = {10.1145/951710.951724}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/LauSSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/NarayanasamySSCV03, author = {Satish Narayanasamy and Timothy Sherwood and Suleyman Sair and Brad Calder and George Varghese}, title = {Catching Accurate Profiles in Hardwar}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {269--280}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183545}, doi = {10.1109/HPCA.2003.1183545}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/NarayanasamySSCV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SherwoodVC03, author = {Timothy Sherwood and George Varghese and Brad Calder}, editor = {Allan Gottlieb and Kai Li}, title = {A Pipelined Memory Architecture for High Throughput Network Processors}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {288--299}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ISCA.2003.1207008}, doi = {10.1109/ISCA.2003.1207008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SherwoodVC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SherwoodSC03, author = {Timothy Sherwood and Suleyman Sair and Brad Calder}, editor = {Allan Gottlieb and Kai Li}, title = {Phase Tracking and Prediction}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {336--347}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISCA.2003.1207012}, doi = {10.1109/ISCA.2003.1207012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SherwoodSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/PerelmanHBSC03, author = {Erez Perelman and Greg Hamerly and Michael Van Biesbrouck and Timothy Sherwood and Brad Calder}, editor = {Bill Cheng and Satish K. Tripathi and Jennifer Rexford and William H. Sanders}, title = {Using SimPoint for accurate and efficient simulation}, booktitle = {Proceedings of the International Conference on Measurements and Modeling of Computer Systems, {SIGMETRICS} 2003, June 9-14, 2003, San Diego, CA, {USA}}, pages = {318--319}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/781027.781076}, doi = {10.1145/781027.781076}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/PerelmanHBSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SherwoodPHC02, author = {Timothy Sherwood and Erez Perelman and Greg Hamerly and Brad Calder}, editor = {Kourosh Gharachorloo and David A. Wood}, title = {Automatically characterizing large scale program behavior}, booktitle = {Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), San Jose, California, USA, October 5-9, 2002}, pages = {45--57}, publisher = {{ACM} Press}, year = {2002}, url = {https://doi.org/10.1145/605397.605403}, doi = {10.1145/605397.605403}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SherwoodPHC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SairSC02, author = {Suleyman Sair and Timothy Sherwood and Brad Calder}, title = {Quantifying Load Stream Behavior}, booktitle = {Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002}, pages = {197--208}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HPCA.2002.995710}, doi = {10.1109/HPCA.2002.995710}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SairSC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MahlkeRSSS01, author = {Scott A. Mahlke and Rajiv A. Ravindran and Michael S. Schlansker and Robert Schreiber and Timothy Sherwood}, title = {Bitwidth cognizant architecture synthesis of custom hardwareaccelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {11}, pages = {1355--1371}, year = {2001}, url = {https://doi.org/10.1109/43.959864}, doi = {10.1109/43.959864}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MahlkeRSSS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/SherwoodPC01, author = {Timothy Sherwood and Erez Perelman and Brad Calder}, title = {Basic Block Distribution Analysis to Find Periodic Behavior and Simulation Points in Applications}, booktitle = {2001 International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2001), 8-12 September 2001, Barcelona, Spain}, pages = {3--14}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/PACT.2001.953283}, doi = {10.1109/PACT.2001.953283}, timestamp = {Tue, 31 May 2022 13:36:22 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/SherwoodPC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/SherwoodC01, author = {Timothy Sherwood and Brad Calder}, editor = {Guang R. Gao and Trevor N. Mudge and Krishna V. Palem}, title = {Patchable instruction {ROM} architecture}, booktitle = {Proceedings of the 2001 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2001, Atlanta, Georgia, USA, November 16-17, 2001}, pages = {24--33}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/502217.502222}, doi = {10.1145/502217.502222}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/SherwoodC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SherwoodC01, author = {Timothy Sherwood and Brad Calder}, editor = {Per Stenstr{\"{o}}m}, title = {Automated design of finite state machine predictors for customized processors}, booktitle = {Proceedings of the 28th Annual International Symposium on Computer Architecture, {ISCA} 2001, G{\"{o}}teborg, Sweden, June 30-July 4, 2001}, pages = {86--97}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/379240.379254}, doi = {10.1145/379240.379254}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SherwoodC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/SherwoodC00, author = {Timothy Sherwood and Brad Calder}, editor = {Arndt Bode and Thomas Ludwig and Wolfgang Karl and Roland Wism{\"{u}}ller}, title = {ToolBlocks: An Infrastructure for the Construction of Memory Hierarchy Analysis Tools (Research Note)}, booktitle = {Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1900}, pages = {70--74}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44520-X\_10}, doi = {10.1007/3-540-44520-X\_10}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/SherwoodC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/SherwoodC00, author = {Timothy Sherwood and Brad Calder}, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {Loop Termination Prediction}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, pages = {73--87}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2\_8}, doi = {10.1007/3-540-39999-2\_8}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/SherwoodC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SherwoodSC00, author = {Timothy Sherwood and Suleyman Sair and Brad Calder}, editor = {Andrew Wolfe and Michael S. Schlansker}, title = {Predictor-directed stream buffers}, booktitle = {Proceedings of the 33rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 33, Monterey, California, USA, December 10-13, 2000}, pages = {42--53}, publisher = {{ACM/IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/MICRO.2000.898057}, doi = {10.1109/MICRO.2000.898057}, timestamp = {Tue, 31 May 2022 14:30:45 +0200}, biburl = {https://dblp.org/rec/conf/micro/SherwoodSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OskinCS99, author = {Mark Oskin and Frederic T. Chong and Timothy Sherwood}, title = {ActiveOS: Virtualizing Intelligent Memory}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design, {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA, October 10-13, 1999}, pages = {202}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCD.1999.808426}, doi = {10.1109/ICCD.1999.808426}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OskinCS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SherwoodCE99, author = {Timothy Sherwood and Brad Calder and Joel S. Emer}, editor = {Theodore S. Papatheodorou and Mateo Valero and Constantine D. Polychronopoulos and Yoichi Muraoka and Jes{\'{u}}s Labarta}, title = {Reducing cache misses using hardware and software page placement}, booktitle = {Proceedings of the 13th international conference on Supercomputing, {ICS} 1999, Rhodes, Greece, June 20-25, 1999}, pages = {155--164}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/305138.305189}, doi = {10.1145/305138.305189}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/SherwoodCE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/OskinCS98, author = {Mark Oskin and Frederic T. Chong and Timothy Sherwood}, editor = {Mateo Valero and Gurindar S. Sohi and Doug DeGroot}, title = {Active Pages: {A} Computation Model for Intelligent Memory}, booktitle = {Proceedings of the 25th Annual International Symposium on Computer Architecture, {ISCA} 1998, Barcelona, Spain, June 27 - July 1, 1998}, pages = {192--203}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISCA.1998.694774}, doi = {10.1109/ISCA.1998.694774}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/OskinCS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.