Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Julio Sahuquillo
@article{DBLP:journals/fgcs/PonsFSGPPH23, author = {Lucia Pons and Josu{\'{e}} Feliu and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Salvador Petit and Julio Pons and Chaoyi Huang}, title = {Cloud White: Detecting and Estimating QoS Degradation of Latency-Critical Workloads in the Public Cloud}, journal = {Future Gener. Comput. Syst.}, volume = {138}, pages = {13--25}, year = {2023}, url = {https://doi.org/10.1016/j.future.2022.08.012}, doi = {10.1016/J.FUTURE.2022.08.012}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/PonsFSGPPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbd/AvarguesLPGYZWS23, author = {Miguel A. Avargues and Manel Lurbe and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Rui Yang and Xiaoping Zhu and Guanhao Wang and Julio Sahuquillo}, title = {Main memory controller with multiple media technologies for big data workloads}, journal = {J. Big Data}, volume = {10}, number = {1}, pages = {75}, year = {2023}, url = {https://doi.org/10.1186/s40537-023-00761-0}, doi = {10.1186/S40537-023-00761-0}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbd/AvarguesLPGYZWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/PonsSJ23, author = {Lucia Pons and Julio Sahuquillo and Timothy M. Jones}, title = {Dynamic Allocation of Processor Cores to Graph Applications on Commodity Servers}, booktitle = {32nd International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2023, Vienna, Austria, October 21-25, 2023}, pages = {323--324}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PACT58117.2023.00035}, doi = {10.1109/PACT58117.2023.00035}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/PonsSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/NavarroFPGS23, author = {Marta Navarro and Josu{\'{e}} Feliu and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Thread-to-Core Allocation in {ARM} Processors Building Synergistic Pairs}, booktitle = {32nd International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2023, Vienna, Austria, October 21-25, 2023}, pages = {335--336}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PACT58117.2023.00040}, doi = {10.1109/PACT58117.2023.00040}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/NavarroFPGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/PonsPPGHS23, author = {Lucia Pons and Salvador Petit and Julio Pons and Mar{\'{\i}}a Engracia G{\'{o}}mez and Chaoyi Huang and Julio Sahuquillo}, editor = {Raffaele Montella and Javier Garc{\'{\i}}a Blas and Daniele D'Agostino}, title = {Stratus: {A} Hardware/Software Infrastructure for Controlled Cloud Research}, booktitle = {31st Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2023, Naples, Italy, March 1-3, 2023}, pages = {299--306}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PDP59025.2023.00053}, doi = {10.1109/PDP59025.2023.00053}, timestamp = {Wed, 07 Jun 2023 22:08:04 +0200}, biburl = {https://dblp.org/rec/conf/pdp/PonsPPGHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12786, author = {Marta Navarro and Josu{\'{e}} Feliu and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {{SYNPA:} {SMT} Performance Analysis and Allocation of Threads to Cores in {ARM} Processors}, journal = {CoRR}, volume = {abs/2310.12786}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12786}, doi = {10.48550/ARXIV.2310.12786}, eprinttype = {arXiv}, eprint = {2310.12786}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12786.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PonsFPHPPGS22, author = {Lucia Pons and Josu{\'{e}} Feliu and Jos{\'{e}} Puche and Chaoyi Huang and Salvador Petit and Julio Pons and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Effect of Hyper-Threading in Latency-Critical Multithreaded Cloud Applications and Utilization Analysis of the Major System Resources}, journal = {Future Gener. Comput. Syst.}, volume = {131}, pages = {194--208}, year = {2022}, url = {https://doi.org/10.1016/j.future.2022.01.025}, doi = {10.1016/J.FUTURE.2022.01.025}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/PonsFPHPPGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FeliuNSPQE22, author = {Josu{\'{e}} Feliu and Ajeya Naithani and Julio Sahuquillo and Salvador Petit and Moinuddin K. Qureshi and Lieven Eeckhout}, title = {{VMT:} Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {6}, pages = {1386--1398}, year = {2022}, url = {https://doi.org/10.1109/TC.2021.3086069}, doi = {10.1109/TC.2021.3086069}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FeliuNSPQE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LurbeFPGS22, author = {Manel Lurbe and Josu{\'{e}} Feliu and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {DeepP: Deep Learning Multi-Program Prefetch Configuration for the {IBM} {POWER} 8}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {10}, pages = {2646--2658}, year = {2022}, url = {https://doi.org/10.1109/TC.2021.3139997}, doi = {10.1109/TC.2021.3139997}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LurbeFPGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BiagioniCFCLMPP22, author = {Andrea Biagioni and Paolo Cretaro and Ottorino Frezza and Francesca Lo Cicero and Alessandro Lonardo and Michele Martinelli and Pier Stanislao Paolucci and Elena Pastorelli and Francesco Simula and Matteo Turisini and Piero Vicini and Roberto Ammendola and Pascale Bernier{-}Bruna and Claire Chen and Said Derradji and St{\'{e}}phane Guez and Pierre{-}Axel Lagadec and Gregoire Pichon and Etienne Walter and Gaetan De Gassowski and Matthieu Hautreaux and Stephane Mathieu and Gilles Moreau and Marc P{\'{e}}rache and Hugo Taboada and Torsten Hoefler and Timo Schneider and Matteo Barnaba and Giuseppe Piero Brandino and Francesco De Giorgi and Matteo Poggi and Iakovos Mavroidis and Yannis Papaefstathiou and Nikolaos Tampouratzis and Benjamin Kalisch and Ulrich Krackhardt and Mondrian Nuessle and Pantelis Xirouchakis and Vangelis Mageiropoulos and Michalis Gianioudis and Harisis Loukas and Aggelos Ioannou and Nikos Kallimanis and Nikos Chrysos and Manolis Katevenis and Wolfang Frings and Dominik Gottwald and Felime Guimaraes and Max Holicki and Volker Marx and Yannik Muller and Carsten Clauss and Hugo Falter and Xu Huang and Jennifer Lopez Barillao and Thomas Moschny and Simon Pickartz and Francisco J. Alfaro and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez and Adri{\'{a}}n Castell{\'{o}} and Jose Duro and Mar{\'{\i}}a Engracia G{\'{o}}mez and Enrique S. Quintana{-}Ort{\'{\i}} and Julio Sahuquillo and Eugenio Stabile}, title = {{RED-SEA:} Network Solution for Exascale Architectures}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {712--719}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00100}, doi = {10.1109/DSD57027.2022.00100}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/BiagioniCFCLMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PonsSPP22, author = {Lucia Pons and Julio Sahuquillo and Salvador Petit and Julio Pons}, title = {Cache-Poll: Containing Pollution in Non-Inclusive Caches Through Cache Partitioning}, booktitle = {Proceedings of the 51st International Conference on Parallel Processing, {ICPP} 2022, Bordeaux, France, 29 August 2022 - 1 September 2022}, pages = {33:1--33:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3545008.3545083}, doi = {10.1145/3545008.3545083}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/PonsSPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/TarregaVLPS22, author = {Hugo T{\'{a}}rrega and Alejandro Valero and Vicente Lorente and Salvador Petit and Julio Sahuquillo}, editor = {Lawrence Rauchwerger and Kirk W. Cameron and Dimitrios S. Nikolopoulos and Dionisios N. Pnevmatikatos}, title = {Fast-track cache: a huge racetrack memory {L1} data cache}, booktitle = {{ICS} '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022}, pages = {23:1--23:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524059.3532383}, doi = {10.1145/3524059.3532383}, timestamp = {Wed, 22 Jun 2022 13:49:53 +0200}, biburl = {https://dblp.org/rec/conf/ics/TarregaVLPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/LurbeFPGS22, author = {Manel Lurbe and Josu{\'{e}} Feliu and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, editor = {Arturo Gonz{\'{a}}lez{-}Escribano and Jos{\'{e}} Daniel Garc{\'{\i}}a and Massimo Torquati and Amund Skavhaug}, title = {A Neural Network to Estimate Isolated Performance from Multi-Program Execution}, booktitle = {30th Euromicro International Conference on Parallel, Distributed and Network-based Processing, {PDP} 2022, Valladolid, Spain, March 9-11, 2022}, pages = {63--66}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PDP55904.2022.00018}, doi = {10.1109/PDP55904.2022.00018}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/LurbeFPGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DuroPGS21, author = {Jose Duro and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Segment Switching: {A} New Switching Strategy for Optical {HPC} Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {43095--43106}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3058135}, doi = {10.1109/ACCESS.2021.3058135}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DuroPGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/NavarroPS21, author = {Marta Navarro and Lucia Pons and Julio Sahuquillo}, title = {Hy-Sched: {A} Simple Hyperthreading-Aware Thread to Core Allocation Strategy}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {1}, pages = {26--29}, year = {2021}, url = {https://doi.org/10.1109/LCA.2021.3051393}, doi = {10.1109/LCA.2021.3051393}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/NavarroPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PuchePGS20, author = {Jos{\'{e}} Puche and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {An efficient cache flat storage organization for multithreaded workloads for low power processors}, journal = {Future Gener. Comput. Syst.}, volume = {110}, pages = {1037--1054}, year = {2020}, url = {https://doi.org/10.1016/j.future.2019.11.024}, doi = {10.1016/J.FUTURE.2019.11.024}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/PuchePGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FeliuSPE20, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Lieven Eeckhout}, title = {Thread Isolation to Improve Symbiotic Scheduling on {SMT} Multicore Processors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {31}, number = {2}, pages = {359--373}, year = {2020}, url = {https://doi.org/10.1109/TPDS.2019.2934955}, doi = {10.1109/TPDS.2019.2934955}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/FeliuSPE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/NavarroFPGS20, author = {Carlos Navarro and Josu{\'{e}} Feliu and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Bandwidth-Aware Dynamic Prefetch Configuration for {IBM} {POWER8}}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {31}, number = {8}, pages = {1970--1982}, year = {2020}, url = {https://doi.org/10.1109/TPDS.2020.2982392}, doi = {10.1109/TPDS.2020.2982392}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/NavarroFPGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PonsSSPP20, author = {Lucia Pons and Julio Sahuquillo and Vicent Selfa and Salvador Petit and Julio Pons}, title = {Phase-Aware Cache Partitioning to Target Both Turnaround Time and System Performance}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {31}, number = {11}, pages = {2556--2568}, year = {2020}, url = {https://doi.org/10.1109/TPDS.2020.2996031}, doi = {10.1109/TPDS.2020.2996031}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/PonsSSPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/YagoCPGS20, author = {Eduardo Yago and Pau Castell{\'{o}} and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Impact of the Array Shape and Memory Bandwidth on the Execution Time of {CNN} Systolic Arrays}, booktitle = {23rd Euromicro Conference on Digital System Design, {DSD} 2020, Kranj, Slovenia, August 26-28, 2020}, pages = {510--517}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DSD51259.2020.00086}, doi = {10.1109/DSD51259.2020.00086}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/YagoCPGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-05031, author = {Lucia Pons and Josu{\'{e}} Feliu and Jos{\'{e}} Puche and Chaoyi Huang and Salvador Petit and Julio Pons and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Understanding Cloud Workloads Performance in a Production like Environment}, journal = {CoRR}, volume = {abs/2010.05031}, year = {2020}, url = {https://arxiv.org/abs/2010.05031}, eprinttype = {arXiv}, eprint = {2010.05031}, timestamp = {Tue, 22 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-05031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/AcacioS19, author = {Manuel E. Acacio and Julio Sahuquillo}, title = {Foreword to the Special Issue on Processors, Interconnects, Storage, and Caches for Exascale Systems}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {21}, year = {2019}, url = {https://doi.org/10.1002/cpe.5408}, doi = {10.1002/CPE.5408}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/AcacioS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/DuroPPSG19, author = {Jose Duro and Jose Antonio Pascual and Salvador Petit and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {Modeling and analysis of the performance of exascale photonic networks}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {21}, year = {2019}, url = {https://doi.org/10.1002/cpe.4773}, doi = {10.1002/CPE.4773}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/DuroPPSG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ValeroCGPS19, author = {Alejandro Valero and Francisco Candel and Dar{\'{\i}}o Su{\'{a}}rez Gracia and Salvador Petit and Julio Sahuquillo}, title = {An Aging-Aware {GPU} Register File Design Based on Data Redundancy}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {1}, pages = {4--20}, year = {2019}, url = {https://doi.org/10.1109/TC.2018.2849376}, doi = {10.1109/TC.2018.2849376}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ValeroCGPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CandelVPS19, author = {Francisco Candel and Alejandro Valero and Salvador Petit and Julio Sahuquillo}, title = {Efficient Management of Cache Accesses to Boost {GPGPU} Memory Subsystem Performance}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {10}, pages = {1442--1454}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2907591}, doi = {10.1109/TC.2019.2907591}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/CandelVPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PuchePSG19, author = {Jos{\'{e}} Puche and Salvador Petit and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {{FOS:} a low-power cache organization for multicores}, journal = {J. Supercomput.}, volume = {75}, number = {10}, pages = {6542--6573}, year = {2019}, url = {https://doi.org/10.1007/s11227-019-02858-x}, doi = {10.1007/S11227-019-02858-X}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PuchePSG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/GilFPRPSA19, author = {J. Rub{\'{e}}n Titos Gil and Antonio Flores and Ricardo Fern{\'{a}}ndez Pascual and Alberto Ros and Salvador Petit and Julio Sahuquillo and Manuel E. Acacio}, title = {Way Combination for an Adaptive and Scalable Coherence Directory}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {30}, number = {11}, pages = {2608--2623}, year = {2019}, url = {https://doi.org/10.1109/TPDS.2019.2917185}, doi = {10.1109/TPDS.2019.2917185}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/GilFPRPSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/CandelPSD18, author = {Francisco Candel and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Accurately modeling the on-chip and off-chip {GPU} memory subsystem}, journal = {Future Gener. Comput. Syst.}, volume = {82}, pages = {510--519}, year = {2018}, url = {https://doi.org/10.1016/j.future.2017.02.012}, doi = {10.1016/J.FUTURE.2017.02.012}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/CandelPSD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/FeliuSP18, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit}, title = {Designing lab sessions focusing on real processors for computer architecture courses: {A} practical perspective}, journal = {J. Parallel Distributed Comput.}, volume = {118}, number = {Part}, pages = {128--139}, year = {2018}, url = {https://doi.org/10.1016/j.jpdc.2018.02.026}, doi = {10.1016/J.JPDC.2018.02.026}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/FeliuSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/SelfaSGR18, author = {Vicent Selfa and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Crisp{\'{\i}}n G{\'{o}}mez Requena}, title = {Efficient selective multicore prefetching under limited memory bandwidth}, journal = {J. Parallel Distributed Comput.}, volume = {120}, pages = {32--43}, year = {2018}, url = {https://doi.org/10.1016/j.jpdc.2018.05.002}, doi = {10.1016/J.JPDC.2018.05.002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/SelfaSGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/CandelPVS18, author = {Francisco Candel and Salvador Petit and Alejandro Valero and Julio Sahuquillo}, editor = {Marco Aldinucci and Luca Padovani and Massimo Torquati}, title = {Improving {GPU} Cache Hierarchy Performance with a Fetch and Replacement Cache}, booktitle = {Euro-Par 2018: Parallel Processing - 24th International Conference on Parallel and Distributed Computing, Turin, Italy, August 27-31, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11014}, pages = {235--248}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-96983-1\_17}, doi = {10.1007/978-3-319-96983-1\_17}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/CandelPVS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/PonsSSPP18, author = {Lucia Pons and Vicent Selfa and Julio Sahuquillo and Salvador Petit and Julio Pons Terol}, editor = {Marco Aldinucci and Luca Padovani and Massimo Torquati}, title = {Improving System Turnaround Time with Intel {CAT} by Identifying {LLC} Critical Applications}, booktitle = {Euro-Par 2018: Parallel Processing - 24th International Conference on Parallel and Distributed Computing, Turin, Italy, August 27-31, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11014}, pages = {603--615}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-96983-1\_43}, doi = {10.1007/978-3-319-96983-1\_43}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/PonsSSPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/FurioFPDS18, author = {Clara Furi{\'{o}} and Josu{\'{e}} Feliu and Salvador Petit and Jose Duro and Julio Sahuquillo}, title = {A Workload Generator for Evaluating {SMT} Real-Time Systems}, booktitle = {2018 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2018, Orleans, France, July 16-20, 2018}, pages = {367--374}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCS.2018.00067}, doi = {10.1109/HPCS.2018.00067}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeehpcs/FurioFPDS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/DuroPSG18, author = {Jose Duro and Salvador Petit and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {Workload Characterization for Exascale Computing Networks}, booktitle = {2018 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2018, Orleans, France, July 16-20, 2018}, pages = {383--389}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCS.2018.00069}, doi = {10.1109/HPCS.2018.00069}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/DuroPSG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/VallsRGS17, author = {Joan J. Valls and Alberto Ros and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {The Tag Filter Architecture: An energy-efficient cache and directory design}, journal = {J. Parallel Distributed Comput.}, volume = {100}, pages = {193--202}, year = {2017}, url = {https://doi.org/10.1016/j.jpdc.2016.04.016}, doi = {10.1016/J.JPDC.2016.04.016}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/VallsRGS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/PetitSGS17, author = {Salvador Petit and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Vicent Selfa}, title = {A research-oriented course on Advanced Multicore Architecture: Contents and active learning methodologies}, journal = {J. Parallel Distributed Comput.}, volume = {105}, pages = {63--72}, year = {2017}, url = {https://doi.org/10.1016/j.jpdc.2017.01.011}, doi = {10.1016/J.JPDC.2017.01.011}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/PetitSGS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FeliuSPD17, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Perf{\&}Fair: {A} Progress-Aware Scheduler to Enhance Performance and Fairness in {SMT} Multicores}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {5}, pages = {905--911}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2620977}, doi = {10.1109/TC.2016.2620977}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FeliuSPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FeliuESPE17, author = {Josu{\'{e}} Feliu and Stijn Eyerman and Julio Sahuquillo and Salvador Petit and Lieven Eeckhout}, title = {Improving {IBM} {POWER8} Performance Through Symbiotic Job Scheduling}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {10}, pages = {2838--2851}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2017.2691708}, doi = {10.1109/TPDS.2017.2691708}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/FeliuESPE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SelfaSPG17, author = {Vicent Selfa and Julio Sahuquillo and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {A Hardware Approach to Fairly Balance the Inter-Thread Interference in Shared Caches}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {11}, pages = {3021--3032}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2017.2713778}, doi = {10.1109/TPDS.2017.2713778}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SelfaSPG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ValeroMPSJ17, author = {Alejandro Valero and Negar Miralaei and Salvador Petit and Julio Sahuquillo and Timothy M. Jones}, title = {On Microarchitectural Mechanisms for Cache Wearout Reduction}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {3}, pages = {857--871}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2625809}, doi = {10.1109/TVLSI.2016.2625809}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ValeroMPSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/SelfaSEPG17, author = {Vicent Selfa and Julio Sahuquillo and Lieven Eeckhout and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {Application Clustering Policies to Address System Fairness with Intel's Cache Allocation Technology}, booktitle = {26th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2017, Portland, OR, USA, September 9-13, 2017}, pages = {194--205}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PACT.2017.19}, doi = {10.1109/PACT.2017.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/SelfaSEPG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/DuroPSG17, author = {Jose Duro and Salvador Petit and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {Modeling a Photonic Network for Exascale Computing}, booktitle = {2017 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2017, Genoa, Italy, July 17-21, 2017}, pages = {511--518}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HPCS.2017.82}, doi = {10.1109/HPCS.2017.82}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/DuroPSG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/CandelVPGS17, author = {Francisco Candel and Alejandro Valero and Salvador Petit and Dar{\'{\i}}o Su{\'{a}}rez Gracia and Julio Sahuquillo}, title = {Exploiting Data Compression to Mitigate Aging in {GPU} Register Files}, booktitle = {29th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2017, Campinas, Brazil, October 17-20, 2017}, pages = {57--64}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SBAC-PAD.2017.15}, doi = {10.1109/SBAC-PAD.2017.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/CandelVPGS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ValeroMPSJ16, author = {Alejandro Valero and Negar Miralaei and Salvador Petit and Julio Sahuquillo and Timothy M. Jones}, title = {Enhancing the {L1} Data Cache Design to Mitigate {HCI}}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {15}, number = {2}, pages = {93--96}, year = {2016}, url = {https://doi.org/10.1109/LCA.2015.2460736}, doi = {10.1109/LCA.2015.2460736}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/ValeroMPSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/SahuquilloHPMD16, author = {Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Luis March and Jos{\'{e}} Duato}, title = {A dynamic execution time estimation model to save energy in heterogeneous multicores running periodic tasks}, journal = {Future Gener. Comput. Syst.}, volume = {56}, pages = {211--219}, year = {2016}, url = {https://doi.org/10.1016/j.future.2015.06.011}, doi = {10.1016/J.FUTURE.2015.06.011}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/SahuquilloHPMD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FeliuSPD16, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Bandwidth-Aware On-Line Scheduling in {SMT} Multicores}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {2}, pages = {422--434}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2428694}, doi = {10.1109/TC.2015.2428694}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FeliuSPD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/SelfaSPG16, author = {Vicent Selfa and Julio Sahuquillo and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez}, editor = {Ayal Zaks and Bilha Mendelson and Lawrence Rauchwerger and Wen{-}mei W. Hwu}, title = {Student Research Poster: {A} Low Complexity Cache Sharing Mechanism to Address System Fairness}, booktitle = {Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, {PACT} 2016, Haifa, Israel, September 11-15, 2016}, pages = {455}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2967938.2971464}, doi = {10.1145/2967938.2971464}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/SelfaSPG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KatevenisCMMCKN16, author = {Manolis Katevenis and Nikolaos Chrysos and Manolis Marazakis and Iakovos Mavroidis and Fabien Chaix and Nikolaos D. Kallimanis and Javier Navaridas and John Goodacre and Piero Vicini and Andrea Biagioni and Pier Stanislao Paolucci and Alessandro Lonardo and Elena Pastorelli and Francesca Lo Cicero and Roberto Ammendola and P. Hopton and P. Coates and Giuliano Taffoni and Stefano Cozzini and Martin L. Kersten and Y. Zhang and Julio Sahuquillo and Sergio Lechago and C. Pinto and Bernd Lietzow and D. Everett and Gino Perna}, editor = {Paris Kitsos}, title = {The ExaNeSt Project: Interconnects, Storage, and Packaging for Exascale Systems}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {60--67}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.106}, doi = {10.1109/DSD.2016.106}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/KatevenisCMMCKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/VallsGRS16, author = {Joan J. Valls and Mar{\'{\i}}a Engracia G{\'{o}}mez and Alberto Ros and Julio Sahuquillo}, title = {A Directory Cache with Dynamic Private-Shared Partitioning}, booktitle = {23rd {IEEE} International Conference on High Performance Computing, HiPC 2016, Hyderabad, India, December 19-22, 2016}, pages = {382--391}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HiPC.2016.051}, doi = {10.1109/HIPC.2016.051}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/VallsGRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/FeliuESP16, author = {Josu{\'{e}} Feliu and Stijn Eyerman and Julio Sahuquillo and Salvador Petit}, title = {Symbiotic job scheduling on the {IBM} {POWER8}}, booktitle = {2016 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2016, Barcelona, Spain, March 12-16, 2016}, pages = {669--680}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HPCA.2016.7446103}, doi = {10.1109/HPCA.2016.7446103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/FeliuESP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/PucheLPGS16, author = {Jos{\'{e}} Puche and Sergio Lechago and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Accurately modeling a photonic NoC in a detailed {CMP} simulation framework}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2016, Innsbruck, Austria, July 18-22, 2016}, pages = {387--394}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HPCSim.2016.7568361}, doi = {10.1109/HPCSIM.2016.7568361}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeehpcs/PucheLPGS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SelfaRGS16, author = {Vicent Selfa and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {A Simple Activation/Deactivation Prefetching Scheme for Chip Multiprocessors}, booktitle = {24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece, February 17-19, 2016}, pages = {143--150}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDP.2016.47}, doi = {10.1109/PDP.2016.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SelfaRGS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CandelPSD16, author = {Francisco Candel and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Impact of Memory-Level Parallelism on the Performance of {GPU} Coherence Protocols}, booktitle = {24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece, February 17-19, 2016}, pages = {305--308}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDP.2016.67}, doi = {10.1109/PDP.2016.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CandelPSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/caee/RequenaGS15, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Bringing real processors to labs}, journal = {Comput. Appl. Eng. Educ.}, volume = {23}, number = {5}, pages = {724--732}, year = {2015}, url = {https://doi.org/10.1002/cae.21645}, doi = {10.1002/CAE.21645}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/caee/RequenaGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/Pena-OrtizGSP15, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Jos{\'{e}} Antonio Gil and Julio Sahuquillo and Ana Pont}, title = {Surfing the Web Using Browser Interface Facilities: {A} Performance Evaluation Approach}, journal = {J. Web Eng.}, volume = {14}, number = {1{\&}2}, pages = {3--21}, year = {2015}, url = {http://www.rintonpress.com/xjwe14/jwe-14-12/003-021.pdf}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jwe/Pena-OrtizGSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ValeroPSKD15, author = {Alejandro Valero and Salvador Petit and Julio Sahuquillo and David R. Kaeli and Jos{\'{e}} Duato}, title = {A reuse-based refresh policy for energy-aware eDRAM caches}, journal = {Microprocess. Microsystems}, volume = {39}, number = {1}, pages = {37--48}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2014.12.001}, doi = {10.1016/J.MICPRO.2014.12.001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/ValeroPSKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ValeroSPLD15, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Design of Hybrid Second-Level Caches}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {7}, pages = {1884--1897}, year = {2015}, url = {https://doi.org/10.1109/TC.2014.2346185}, doi = {10.1109/TC.2014.2346185}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ValeroSPLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/VallsRSG15, author = {Joan J. Valls and Alberto Ros and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {PS-Cache: an energy-efficient cache design for chip multiprocessors}, journal = {J. Supercomput.}, volume = {71}, number = {1}, pages = {67--86}, year = {2015}, url = {https://doi.org/10.1007/s11227-014-1288-5}, doi = {10.1007/S11227-014-1288-5}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/VallsRSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/VallsRSG15a, author = {Joan J. Valls and Alberto Ros and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {{PS} directory: a scalable multilevel directory cache for CMPs}, journal = {J. Supercomput.}, volume = {71}, number = {8}, pages = {2847--2876}, year = {2015}, url = {https://doi.org/10.1007/s11227-014-1332-5}, doi = {10.1007/S11227-014-1332-5}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/VallsRSG15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/KenyonLS15, author = {Samantha Kenyon and Sonia Lopez{-}Alarcon and Julio Sahuquillo}, title = {Impact of Partitioning Cache Schemes on the Cache Hierarchy of {SMT} Processors}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {706--711}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.127}, doi = {10.1109/HPCC-CSS-ICESS.2015.127}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/KenyonLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/CandelPSD15, author = {Francisco Candel and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Accurately modeling the {GPU} memory subsystem}, booktitle = {2015 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2015, Amsterdam, Netherlands, July 20-24, 2015}, pages = {179--186}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCSim.2015.7237038}, doi = {10.1109/HPCSIM.2015.7237038}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/CandelPSD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FeliuSPD15, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Addressing Fairness in {SMT} Multicores with a Progress-Aware Scheduler}, booktitle = {2015 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2015, Hyderabad, India, May 25-29, 2015}, pages = {187--196}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IPDPS.2015.48}, doi = {10.1109/IPDPS.2015.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FeliuSPD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SahuquilloPSG15, author = {Julio Sahuquillo and Salvador Petit and Vicent Selfa and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {A Research-Oriented Course on Advanced Multicore Architecture}, booktitle = {2015 {IEEE} International Parallel and Distributed Processing Symposium Workshop, {IPDPS} 2015, Hyderabad, India, May 25-29, 2015}, pages = {760--765}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IPDPSW.2015.46}, doi = {10.1109/IPDPSW.2015.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SahuquilloPSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/NavarroSSGR15, author = {Paula Navarro and Vicent Selfa and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Crisp{\'{\i}}n G{\'{o}}mez Requena}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {Row Tables: Design Choices to Exploit Bank Locality in Multiprogram Workloads}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {22--26}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.100}, doi = {10.1109/PDP.2015.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/NavarroSSGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SelfaSRG15, author = {Vicent Selfa and Julio Sahuquillo and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {Methodologies and Performance Metrics to Evaluate Multiprogram Workloads}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {150--154}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.74}, doi = {10.1109/PDP.2015.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SelfaSRG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/VallsSRG15, author = {Joan J. Valls and Julio Sahuquillo and Alberto Ros and Mar{\'{\i}}a Engracia G{\'{o}}mez}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {The Tag Filter Cache: An Energy-Efficient Approach}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {182--189}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.58}, doi = {10.1109/PDP.2015.58}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/VallsSRG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FeliuPSD14, author = {Josu{\'{e}} Feliu and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Cache-Hierarchy Contention-Aware Scheduling in CMPs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {25}, number = {3}, pages = {581--590}, year = {2014}, url = {https://doi.org/10.1109/TPDS.2013.61}, doi = {10.1109/TPDS.2013.61}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/FeliuPSD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PetitUSL14, author = {Salvador Petit and Rafael Ubal and Julio Sahuquillo and Pedro L{\'{o}}pez}, title = {Efficient Register Renaming and Recovery for High-Performance Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {7}, pages = {1506--1514}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2270001}, doi = {10.1109/TVLSI.2013.2270001}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/PetitUSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LorenteVPFS14, author = {Vicente Lorente and Alejandro Valero and Salvador Petit and Pierfrancesco Foglia and Julio Sahuquillo}, title = {Analyzing the Optimal Voltage/Frequency Pair in Fault-Tolerant Caches}, booktitle = {2014 {IEEE} International Conference on High Performance Computing and Communications, 6th {IEEE} International Symposium on Cyberspace Safety and Security, 11th {IEEE} International Conference on Embedded Software and Systems, {HPCC/CSS/ICESS} 2014, Paris, France, August 20-22, 2014}, pages = {19--26}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCC.2014.10}, doi = {10.1109/HPCC.2014.10}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/LorenteVPFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/MarchPSHD14, author = {Jos{\'{e}} Luis March and Salvador Petit and Julio Sahuquillo and Houcine Hassan and Jos{\'{e}} Duato}, title = {Dynamic {WCET} Estimation for Real-Time Multicore Embedded Systems Supporting {DVFS}}, booktitle = {2014 {IEEE} International Conference on High Performance Computing and Communications, 6th {IEEE} International Symposium on Cyberspace Safety and Security, 11th {IEEE} International Conference on Embedded Software and Systems, {HPCC/CSS/ICESS} 2014, Paris, France, August 20-22, 2014}, pages = {27--33}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCC.2014.11}, doi = {10.1109/HPCC.2014.11}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/MarchPSHD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FeliuSPD14, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Addressing bandwidth contention in {SMT} multicores through scheduling}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {167}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600109}, doi = {10.1145/2597652.2600109}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FeliuSPD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/europar/2013w, editor = {Dieter an Mey and Michael Alexander and Paolo Bientinesi and Mario Cannataro and Carsten Clauss and Alexandru Costan and Gabor Kecskemeti and Christine Morin and Laura Ricci and Julio Sahuquillo and Martin Schulz and Vittorio Scarano and Stephen L. Scott and Josef Weidendorfer}, title = {Euro-Par 2013: Parallel Processing Workshops - BigDataCloud, DIHC, FedICI, HeteroPar, HiBB, LSDVE, MHPC, OMHI, PADABS, PROPER, Resilience, ROME, and {UCHPC} 2013, Aachen, Germany, August 26-27, 2013. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8374}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-642-54420-0}, doi = {10.1007/978-3-642-54420-0}, isbn = {978-3-642-54419-4}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/2013w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/Pena-OrtizGSP13, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {Analyzing web server performance under dynamic user workloads}, journal = {Comput. Commun.}, volume = {36}, number = {4}, pages = {386--395}, year = {2013}, url = {https://doi.org/10.1016/j.comcom.2012.11.005}, doi = {10.1016/J.COMCOM.2012.11.005}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/Pena-OrtizGSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/OssaGSP13, author = {Bernardo de la Ossa and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {Referrer Graph: {A} cost-effective algorithm and pruning method for predicting web accesses}, journal = {Comput. Commun.}, volume = {36}, number = {8}, pages = {881--894}, year = {2013}, url = {https://doi.org/10.1016/j.comcom.2013.02.005}, doi = {10.1016/J.COMCOM.2013.02.005}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/OssaGSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/MarchSPHD13, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Jos{\'{e}} Duato}, title = {Power-aware scheduling with effective task migration for real-time multicore embedded systems}, journal = {Concurr. Comput. Pract. Exp.}, volume = {25}, number = {14}, pages = {1987--2001}, year = {2013}, url = {https://doi.org/10.1002/cpe.2899}, doi = {10.1002/CPE.2899}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/MarchSPHD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/UbalSPLD13, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Hardware-Based Generation of Independent Subtraces of Instructions in Clustered Processors}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {5}, pages = {944--955}, year = {2013}, url = {https://doi.org/10.1109/TC.2012.42}, doi = {10.1109/TC.2012.42}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/UbalSPLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/FeliuSPD13, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Christian Fensch and Michael F. P. O'Boyle and Andr{\'{e}} Seznec and Fran{\c{c}}ois Bodin}, title = {L1-bandwidth aware thread allocation in multicore {SMT} processors}, booktitle = {Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, Edinburgh, United Kingdom, September 7-11, 2013}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PACT.2013.6618810}, doi = {10.1109/PACT.2013.6618810}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/FeliuSPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/VallsRSG13, author = {Joan J. Valls and Alberto Ros and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, editor = {Christian Fensch and Michael F. P. O'Boyle and Andr{\'{e}} Seznec and Fran{\c{c}}ois Bodin}, title = {PS-cache: An energy-efficient cache design for chip multiprocessors}, booktitle = {Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, Edinburgh, United Kingdom, September 7-11, 2013}, pages = {407}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PACT.2013.6618839}, doi = {10.1109/PACT.2013.6618839}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/VallsRSG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LorenteVSPCLD13, author = {Vicente Lorente and Alejandro Valero and Julio Sahuquillo and Salvador Petit and Ramon Canal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Enrico Macii}, title = {Combining {RAM} technologies for hard-error recovery in {L1} data caches working at very-low power modes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {83--88}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.031}, doi = {10.7873/DATE.2013.031}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LorenteVSPCLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/FitzgeraldLS13, author = {Brendan Fitzgerald and Sonia L{\'{o}}pez and Julio Sahuquillo}, title = {Drowsy cache partitioning for reduced static and dynamic energy in the cache hierarchy}, booktitle = {International Green Computing Conference, {IGCC} 2013, Arlington, VA, USA, June 27-29, 2013, Proceedings}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IGCC.2013.6604475}, doi = {10.1109/IGCC.2013.6604475}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/FitzgeraldLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/FeliuSPD13, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Vassil Alexandrov and Michael Lees and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Using Huge Pages and Performance Counters to Determine the {LLC} Architecture}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2013, Barcelona, Spain, 5-7 June, 2013}, series = {Procedia Computer Science}, volume = {18}, pages = {2557--2560}, publisher = {Elsevier}, year = {2013}, url = {https://doi.org/10.1016/j.procs.2013.05.440}, doi = {10.1016/J.PROCS.2013.05.440}, timestamp = {Wed, 12 Jul 2023 15:16:18 +0200}, biburl = {https://dblp.org/rec/conf/iccS/FeliuSPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ValeroSPD13, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Exploiting reuse information to reduce refresh energy in on-chip eDRAM caches}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {491--492}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467278}, doi = {10.1145/2464996.2467278}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ValeroSPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/RequenaGS13, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {A New Methodology for Studying Realistic Processors in Computer Science Degrees}, booktitle = {2013 {IEEE} International Symposium on Parallel {\&} Distributed Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24, 2013}, pages = {1283--1290}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IPDPSW.2013.13}, doi = {10.1109/IPDPSW.2013.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/RequenaGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/Pena-OrtizGSP13, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, editor = {Sung Y. Shin and Jos{\'{e}} Carlos Maldonado}, title = {The impact of user-browser interaction on web performance}, booktitle = {Proceedings of the 28th Annual {ACM} Symposium on Applied Computing, {SAC} '13, Coimbra, Portugal, March 18-22, 2013}, pages = {695--702}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2480362.2480497}, doi = {10.1145/2480362.2480497}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/Pena-OrtizGSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/europar/2012w, editor = {Ioannis Caragiannis and Michael Alexander and Rosa M. Badia and Mario Cannataro and Alexandru Costan and Marco Danelutto and Fr{\'{e}}d{\'{e}}ric Desprez and Bettina Krammer and Julio Sahuquillo and Stephen L. Scott and Josef Weidendorfer}, title = {Euro-Par 2012: Parallel Processing Workshops - BDMC, CGWS, HeteroPar, HiBB, OMHI, Paraphrase, PROPER, Resilience, UCHPC, VHPC, Rhodes Islands, Greece, August 27-31, 2012. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7640}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-36949-0}, doi = {10.1007/978-3-642-36949-0}, isbn = {978-3-642-36948-3}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/2012w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cleiej/Pena-OrtizGSP12, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {Providing {TCP-W} with web user dynamic behavior}, journal = {{CLEI} Electron. J.}, volume = {15}, number = {2}, year = {2012}, url = {https://doi.org/10.19153/cleiej.15.2.1}, doi = {10.19153/CLEIEJ.15.2.1}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cleiej/Pena-OrtizGSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/DomenechOSGP12, author = {Josep Domenech and Bernardo de la Ossa and Julio Sahuquillo and Jos{\'{e}} Antonio Gil and Ana Pont}, title = {A taxonomy of web prediction algorithms}, journal = {Expert Syst. Appl.}, volume = {39}, number = {9}, pages = {8496--8502}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2012.01.140}, doi = {10.1016/J.ESWA.2012.01.140}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/DomenechOSGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/OssaSPG12, author = {Bernardo de la Ossa and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, title = {Key factors in web latency savings in an experimental prefetching system}, journal = {J. Intell. Inf. Syst.}, volume = {39}, number = {1}, pages = {187--207}, year = {2012}, url = {https://doi.org/10.1007/s10844-011-0188-x}, doi = {10.1007/S10844-011-0188-X}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jiis/OssaSPG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/DomenechSGP12, author = {Josep Domenech and Julio Sahuquillo and Jos{\'{e}} Antonio Gil and Ana Pont}, title = {Prediction Algorithms for Prefetching in the Current Web}, journal = {J. Web Eng.}, volume = {11}, number = {1}, pages = {64--78}, year = {2012}, url = {http://www.rintonpress.com/xjwe11/jwe-11-1/064-078.pdf}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jwe/DomenechSGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/ValeroSPLD12, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Combining recency of information with selective random and a victim cache in last-level caches}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {9}, number = {3}, pages = {16:1--16:20}, year = {2012}, url = {https://doi.org/10.1145/2355585.2355589}, doi = {10.1145/2355585.2355589}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/ValeroSPLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ValeroPSLD12, author = {Alejandro Valero and Salvador Petit and Julio Sahuquillo and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Design, Performance, and Energy Consumption of eDRAM/SRAM Macrocells for {L1} Data Caches}, journal = {{IEEE} Trans. Computers}, volume = {61}, number = {9}, pages = {1231--1242}, year = {2012}, url = {https://doi.org/10.1109/TC.2011.138}, doi = {10.1109/TC.2011.138}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ValeroPSLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SerranoSPHD12, author = {Monica Serrano and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Jos{\'{e}} Duato}, title = {A cost-effective heuristic to schedule local and remote memory in cluster computers}, journal = {J. Supercomput.}, volume = {59}, number = {3}, pages = {1533--1551}, year = {2012}, url = {https://doi.org/10.1007/s11227-011-0566-8}, doi = {10.1007/S11227-011-0566-8}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SerranoSPHD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/UbalSPLK12, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and David R. Kaeli}, title = {A Sequentially Consistent Multiprocessor Architecture for Out-of-Order Retirement of Instructions}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {23}, number = {8}, pages = {1361--1368}, year = {2012}, url = {https://doi.org/10.1109/TPDS.2011.255}, doi = {10.1109/TPDS.2011.255}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/UbalSPLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ValeroSLPLD12, author = {Alejandro Valero and Julio Sahuquillo and Vicente Lorente and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Impact on Performance and Energy of the Retention Time and Processor Frequency in {L1} Macrocell-Based Data Caches}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {6}, pages = {1108--1117}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2142202}, doi = {10.1109/TVLSI.2011.2142202}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ValeroSLPLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/VallsRSGD12, author = {Joan J. Valls and Alberto Ros and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Duato}, editor = {Pen{-}Chung Yew and Sangyeun Cho and Luiz DeRose and David J. Lilja}, title = {PS-Dir: a scalable two-level directory cache}, booktitle = {International Conference on Parallel Architectures and Compilation Techniques, {PACT} '12, Minneapolis, MN, {USA} - September 19 - 23, 2012}, pages = {451--452}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2370816.2370891}, doi = {10.1145/2370816.2370891}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/VallsRSGD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/SahuquilloGP12, author = {Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Salvador Petit}, editor = {Ioannis Caragiannis and Michael Alexander and Rosa M. Badia and Mario Cannataro and Alexandru Costan and Marco Danelutto and Fr{\'{e}}d{\'{e}}ric Desprez and Bettina Krammer and Julio Sahuquillo and Stephen L. Scott and Josef Weidendorfer}, title = {{OMHI} 2012: First International Workshop on On-chip Memory Hierarchies and Interconnects: Organization, Management and Implementation}, booktitle = {Euro-Par 2012: Parallel Processing Workshops - BDMC, CGWS, HeteroPar, HiBB, OMHI, Paraphrase, PROPER, Resilience, UCHPC, VHPC, Rhodes Islands, Greece, August 27-31, 2012. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7640}, pages = {305--306}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36949-0\_33}, doi = {10.1007/978-3-642-36949-0\_33}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/SahuquilloGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/LorenteS12, author = {Vicente Lorente and Julio Sahuquillo}, editor = {Ioannis Caragiannis and Michael Alexander and Rosa M. Badia and Mario Cannataro and Alexandru Costan and Marco Danelutto and Fr{\'{e}}d{\'{e}}ric Desprez and Bettina Krammer and Julio Sahuquillo and Stephen L. Scott and Josef Weidendorfer}, title = {Effects of Process Variation on the Access Time in {SRAM} Cells}, booktitle = {Euro-Par 2012: Parallel Processing Workshops - BDMC, CGWS, HeteroPar, HiBB, OMHI, Paraphrase, PROPER, Resilience, UCHPC, VHPC, Rhodes Islands, Greece, August 27-31, 2012. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7640}, pages = {347--356}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36949-0\_38}, doi = {10.1007/978-3-642-36949-0\_38}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/LorenteS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ValeroSPLD12, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Analyzing the optimal ratio of {SRAM} banks in hybrid caches}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {297--302}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378655}, doi = {10.1109/ICCD.2012.6378655}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ValeroSPLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/SerranoPSUHD12, author = {Monica Serrano and Salvador Petit and Julio Sahuquillo and Rafael Ubal and Houcine Hassan and Jos{\'{e}} Duato}, title = {Page-Based Memory Allocation Policies of Local and Remote Memory in Cluster Computers}, booktitle = {18th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2012, Singapore, December 17-19, 2012}, pages = {612--619}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPADS.2012.88}, doi = {10.1109/ICPADS.2012.88}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/SerranoPSUHD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FeliuSPD12, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Understanding Cache Hierarchy Contention in CMPs to Improve Job Scheduling}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {508--519}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPS.2012.54}, doi = {10.1109/IPDPS.2012.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FeliuSPD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/Pena-OrtizGSP12, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {The Impact of User's Dynamic Behavior on Web Performance}, booktitle = {11th {IEEE} International Symposium on Network Computing and Applications, {NCA} 2012, Cambridge, MA, USA, August 23-25, 2012}, pages = {143--150}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NCA.2012.9}, doi = {10.1109/NCA.2012.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/Pena-OrtizGSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/MarchPSHD12, author = {Jos{\'{e}} Luis March and Salvador Petit and Julio Sahuquillo and Houcine Hassan and Jos{\'{e}} Duato}, editor = {Jairo Panetta and Jos{\'{e}} E. Moreira and David A. Padua and Philippe O. A. Navaux}, title = {Efficiently Handling Memory Accesses to Improve QoS in Multicore Systems under Real-Time Constraints}, booktitle = {{IEEE} 24th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2012, New York, NY, USA, October 24-26, 2012}, pages = {286--293}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SBAC-PAD.2012.16}, doi = {10.1109/SBAC-PAD.2012.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/MarchPSHD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/MarchSHPD11, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, title = {A New Energy-Aware Dynamic Task Set Partitioning Algorithm for Soft and Hard Embedded Real-Time Systems}, journal = {Comput. J.}, volume = {54}, number = {8}, pages = {1282--1294}, year = {2011}, url = {https://doi.org/10.1093/comjnl/bxr008}, doi = {10.1093/COMJNL/BXR008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/MarchSHPD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ValeroSPLD11, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Lawrence Rauchwerger and Vivek Sarkar}, title = {Improving Last-Level Cache Performance by Exploiting the Concept of MRU-Tour}, booktitle = {2011 International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2011, Galveston, TX, USA, October 10-14, 2011}, pages = {214}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PACT.2011.47}, doi = {10.1109/PACT.2011.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/ValeroSPLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BardineFPSS11, author = {Alessandro Bardine and Pierfrancesco Foglia and Francesco Panicucci and Marco Solinas and Julio Sahuquillo}, title = {Energy Behaviour of {NUCA} Caches in CMPs}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {746--753}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.99}, doi = {10.1109/DSD.2011.99}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/BardineFPSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/MarchSPHD11, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Jos{\'{e}} Duato}, editor = {Emmanuel Jeannot and Raymond Namyst and Jean Roman}, title = {A Dynamic Power-Aware Partitioner with Task Migration for Multicore Embedded Systems}, booktitle = {Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6852}, pages = {218--229}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23400-2\_21}, doi = {10.1007/978-3-642-23400-2\_21}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/MarchSPHD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/SerranoSHPD11, author = {Monica Serrano and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Yang Xiang and Alfredo Cuzzocrea and Michael Hobbs and Wanlei Zhou}, title = {A Cluster Computer Performance Predictor for Memory Scheduling}, booktitle = {Algorithms and Architectures for Parallel Processing - 11th International Conference, ICA3PP, Melbourne, Australia, October 24-26, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7017}, pages = {353--362}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24669-2\_34}, doi = {10.1007/978-3-642-24669-2\_34}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/SerranoSHPD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/ValeroSPLD11, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Jean{-}Luc Gaudiot and Alba C. M. A. Melo and Alberto F. De Souza and Lucia Catabriga}, title = {MRU-Tour-based Replacement Algorithms for Last-Level Caches}, booktitle = {23rd International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2011, Vit{\'{o}}ria, Esp{\'{\i}}rito Santo, Brazil, October 26-29, 2011}, pages = {112--119}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SBAC-PAD.2011.13}, doi = {10.1109/SBAC-PAD.2011.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/ValeroSPLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webist/Pena-OrtizSGP11, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Julio Sahuquillo and Jos{\'{e}} A. Gil and Ana Pont}, editor = {Jos{\'{e}} Cordeiro and Joaquim Filipe}, title = {Web Workload Generators - {A} Survey Focusing on user Dynamism Representation}, booktitle = {{WEBIST} 2011, Proceedings of the 7th International Conference on Web Information Systems and Technologies, Noordwijkerhout, The Netherlands, 6-9 May, 2011}, pages = {119--126}, publisher = {SciTePress}, year = {2011}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webist/Pena-OrtizSGP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/DomenechGSP10, author = {Josep Domenech and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {Using current web page structure to improve prefetching performance}, journal = {Comput. Networks}, volume = {54}, number = {9}, pages = {1404--1417}, year = {2010}, url = {https://doi.org/10.1016/j.comnet.2009.11.016}, doi = {10.1016/J.COMNET.2009.11.016}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/DomenechGSP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/UbalSPLD10, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {Exploiting subtrace-level parallelism in clustered processors}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {555--556}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854349}, doi = {10.1145/1854273.1854349}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/UbalSPLD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/SerranoSHPD10, author = {Monica Serrano and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, title = {A Scheduling Heuristic to Handle Local and Remote Memory in Cluster Computers}, booktitle = {12th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2010, 1-3 September 2010, Melbourne, Australia}, pages = {35--42}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/HPCC.2010.75}, doi = {10.1109/HPCC.2010.75}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/SerranoSHPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/MarchSHPD10, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jong Hyuk Park and Sang{-}Soo Yeo}, title = {Extending a Multicore Multithread Simulator to Model Power-Aware Hard Real-Time Systems}, booktitle = {Algorithms and Architectures for Parallel Processing, 10th International Conference, {ICA3PP} 2010, Busan, Korea, May 21-23, 2010. Proceedings. Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6082}, pages = {444--453}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13136-3\_45}, doi = {10.1007/978-3-642-13136-3\_45}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/MarchSHPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/UbalSPLK10, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and David R. Kaeli}, title = {Out-of-order retirement of instructions in sequentially consistent multiprocessors}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647558}, doi = {10.1109/ICCD.2010.5647558}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/UbalSPLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/DomenechGSP10, author = {Josep Domenech and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, editor = {Mark Crovella and Laura Marie Feeney and Dan Rubenstein and S. V. Raghavan}, title = {Speculative Validation of Web Objects for Further Reducing the User-Perceived Latency}, booktitle = {{NETWORKING} 2010, 9th International {IFIP} {TC} 6 Networking Conference, Chennai, India, May 11-15, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6091}, pages = {239--250}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12963-6\_19}, doi = {10.1007/978-3-642-12963-6\_19}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/networking/DomenechGSP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/RayoBMPD10, author = {Diana Bautista Rayo and Julio Sahuquillo Borr{\'{a}}s and Houcine Hassan Mohamed and Salvador Petit and Jos{\'{e}} Duato}, editor = {Marco Danelutto and Julien Bourgeois and Tom Gross}, title = {Balancing Task Resource Requirements in Embedded Multithreaded Multicore Processors to Reduce Power Consumption}, booktitle = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19, 2010}, pages = {200--204}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDP.2010.64}, doi = {10.1109/PDP.2010.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/RayoBMPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/BautistaSHPD10, author = {Diana Bautista and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {521--526}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088.1774195}, doi = {10.1145/1774088.1774195}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/BautistaSHPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/OssaPSG10, author = {Bernardo de la Ossa and Ana Pont and Julio Sahuquillo and Jos{\'{e}} A. Gil}, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Referrer graph: a low-cost web prediction algorithm}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {831--838}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088.1774260}, doi = {10.1145/1774088.1774260}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/OssaPSG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/Pena-OrtizSPG09, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, title = {Dweb model: Representing Web 2.0 dynamism}, journal = {Comput. Commun.}, volume = {32}, number = {6}, pages = {1118--1128}, year = {2009}, url = {https://doi.org/10.1016/j.comcom.2009.01.002}, doi = {10.1016/J.COMCOM.2009.01.002}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/Pena-OrtizSPG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/UbalSPHL09, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Pedro L{\'{o}}pez}, title = {Power Reduction In Advanced Embedded {IPC} Processors}, journal = {Intell. Autom. Soft Comput.}, volume = {15}, number = {3}, pages = {495--507}, year = {2009}, url = {https://doi.org/10.1080/10798587.2009.10643045}, doi = {10.1080/10798587.2009.10643045}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/UbalSPHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MartiBRTM09, author = {Salvador Petit Marti and Julio Sahuquillo Borr{\'{a}}s and Pedro Juan L{\'{o}}pez Rodr{\'{\i}}guez and Rafael Ubal Tena and Jos{\'{e}} Duato Mar{\'{\i}}n}, title = {A Complexity-Effective Out-of-Order Retirement Microarchitecture}, journal = {{IEEE} Trans. Computers}, volume = {58}, number = {12}, pages = {1626--1639}, year = {2009}, url = {https://doi.org/10.1109/TC.2009.95}, doi = {10.1109/TC.2009.95}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MartiBRTM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/PetitUSLD09, author = {Salvador Petit and Rafael Ubal and Julio Sahuquillo and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {An Efficient Low-Complexity Alternative to the {ROB} for Out-of-Order Retirement of Instructions}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {635--642}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.237}, doi = {10.1109/DSD.2009.237}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/PetitUSLD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/UbalSPL09, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez}, editor = {Henk J. Sips and Dick H. J. Epema and Hai{-}Xiang Lin}, title = {Paired ROBs: {A} Cost-Effective Reorder Buffer Sharing Strategy for {SMT} Processors}, booktitle = {Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5704}, pages = {309--320}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03869-3\_31}, doi = {10.1007/978-3-642-03869-3\_31}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/UbalSPL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PetitUSL09, author = {Salvador Petit and Rafael Ubal and Julio Sahuquillo and Pedro L{\'{o}}pez}, title = {A power-aware hybrid {RAM-CAM} renaming mechanism for fast recovery}, booktitle = {27th International Conference on Computer Design, {ICCD} 2009, Lake Tahoe, CA, USA, October 4-7, 2009}, pages = {150--157}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCD.2009.5413160}, doi = {10.1109/ICCD.2009.5413160}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PetitUSL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BautistaSHPD09, author = {Diana Bautista and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Dynamic task set partitioning based on balancing memory requirements to reduce power consumption}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {513--514}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542356}, doi = {10.1145/1542275.1542356}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BautistaSHPD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ValeroSPLCLD09, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Vicente Lorente and Ramon Canal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {An hybrid eDRAM/SRAM macrocell to implement first-level data caches}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {213--221}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669140}, doi = {10.1145/1669112.1669140}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/ValeroSPLCLD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/OssaSPG09, author = {Bernardo de la Ossa and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, title = {An Empirical Study on Maximum Latency Saving in Web Prefetching}, booktitle = {2009 {IEEE/WIC/ACM} International Conference on Web Intelligence, {WI} 2009, Milan, Italy, 15-18 September 2009, Main Conference Proceedings}, pages = {556--559}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/WI-IAT.2009.92}, doi = {10.1109/WI-IAT.2009.92}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/OssaSPG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/TomasSPL08, author = {Noel Tom{\'{a}}s and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez}, editor = {Emilio Luque and Tom{\`{a}}s Margalef and Domingo Benitez}, title = {Reducing the Number of Bits in the {BTB} to Attack the Branch Predictor Hot-Spot}, booktitle = {Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5168}, pages = {317--326}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85451-7\_35}, doi = {10.1007/978-3-540-85451-7\_35}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/TomasSPL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BautistaSHPD08, author = {Diana Bautista and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, title = {A simple power-aware scheduling for multicore systems when running real-time applications}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--7}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536220}, doi = {10.1109/IPDPS.2008.4536220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BautistaSHPD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/UbalSPLD08, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--11}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536284}, doi = {10.1109/IPDPS.2008.4536284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/UbalSPLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/DomenechPSG07, author = {Josep Domenech and Ana Pont and Julio Sahuquillo and Jos{\'{e}} A. Gil}, title = {A user-focused evaluation of web prefetching algorithms}, journal = {Comput. Commun.}, volume = {30}, number = {10}, pages = {2213--2224}, year = {2007}, url = {https://doi.org/10.1016/j.comcom.2007.05.003}, doi = {10.1016/J.COMCOM.2007.05.003}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/DomenechPSG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/SahuquilloTPP07, author = {Julio Sahuquillo and Noel Tom{\'{a}}s and Salvador Petit and Ana Pont}, title = {Spim-Cache: {A} Pedagogical Tool for Teaching Cache Memories Through Code-Based Exercises}, journal = {{IEEE} Trans. Educ.}, volume = {50}, number = {3}, pages = {244--250}, year = {2007}, url = {https://doi.org/10.1109/TE.2007.900021}, doi = {10.1109/TE.2007.900021}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/SahuquilloTPP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/UbalSPLD07, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {{VB-MT:} Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors}, booktitle = {16th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2007), Brasov, Romania, September 15-19, 2007}, pages = {429}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.ieeecomputersociety.org/10.1109/PACT.2007.78}, doi = {10.1109/PACT.2007.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/UbalSPLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/OssaGSP07, author = {Bernardo de la Ossa and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {Delfos: the Oracle to Predict NextWeb User's Accesses}, booktitle = {21st International Conference on Advanced Information Networking and Applications {(AINA} 2007), May 21-23, 2007, Niagara Falls, Canada}, pages = {679--686}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/AINA.2007.50}, doi = {10.1109/AINA.2007.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/OssaGSP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipc/UbalSPHL07, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Pedro L{\'{o}}pez}, title = {Leakage Current Reduction in Data Caches on Embedded Systems}, booktitle = {The 2007 International Conference on Intelligent Pervasive Computing, {IPC} 2007, Jeju Island, Korea, 11-13 October 2007}, pages = {45--50}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IPC.2007.95}, doi = {10.1109/IPC.2007.95}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipc/UbalSPHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lanc/OssaGSP07, author = {Bernardo de la Ossa and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, editor = {Ernst L. Leiss}, title = {Web prefetch performance evaluation in a real environment}, booktitle = {Proceedings of the 4th International {IFIP/ACM} Latin American Networking Conference, {LANC} 2007, San Jos{\'{e}}, Costa Rica, October 10-11, 2007}, pages = {65--73}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1384117.1384127}, doi = {10.1145/1384117.1384127}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lanc/OssaGSP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/UbalSPL07, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez}, title = {Multi2Sim: {A} Simulation Framework to Evaluate Multicore-Multithreaded Processors}, booktitle = {19th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2007), 24-27 October 2007, Gramado, RS, Brazil}, pages = {62--68}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SBAC-PAD.2007.17}, doi = {10.1109/SBAC-PAD.2007.17}, timestamp = {Fri, 13 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbac-pad/UbalSPL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/PascualTSF07, author = {Leticia Pascual and Alejandro Torrent{\'{\i}} and Julio Sahuquillo and Jos{\'{e}} Flich}, editor = {Edward F. Gehringer}, title = {Understanding cache hierarchy interactions with a program-driven simulator}, booktitle = {Proceedings of the 2007 Workshop on Computer Architecture Education, {WCAE} 2007, San Diego, California, USA, Saturday, June 9, 2007}, pages = {30--35}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1275633.1275639}, doi = {10.1145/1275633.1275639}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcae/PascualTSF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webist/CardenasPSG07, author = {Luis Guillermo C{\'{a}}rdenas and Ana Pont and Julio Sahuquillo and Jos{\'{e}} A. Gil}, editor = {Joaquim Filipe and Jos{\'{e}} Cordeiro and Bruno Encarna{\c{c}}{\~{a}}o and Vitor Pedrosa}, title = {Analysis of Web-Proxy Cache Replacement Algorithms under Steady-state Conditions}, booktitle = {{WEBIST} 2007 - Proceedings of the Third International Conference on Web Information Systems and Technologies, Volume IT, Barcelona, Spain, March 3-6, 2007}, pages = {253--260}, publisher = {{INSTICC} Press}, year = {2007}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webist/CardenasPSG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/DomenechGSP06, author = {Josep Domenech and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {Web prefetching performance metrics: {A} survey}, journal = {Perform. Evaluation}, volume = {63}, number = {9-10}, pages = {988--1004}, year = {2006}, url = {https://doi.org/10.1016/j.peva.2005.11.001}, doi = {10.1016/J.PEVA.2005.11.001}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/DomenechGSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/UbalCPS06, author = {Rafael Ubal and Juan{-}Carlos Cano and Salvador Petit and Julio Sahuquillo}, title = {{RACFP:} a training tool to work with floating-point representation, algorithms, and circuits in undergraduate courses}, journal = {{IEEE} Trans. Educ.}, volume = {49}, number = {3}, pages = {321--331}, year = {2006}, url = {https://doi.org/10.1109/TE.2006.879240}, doi = {10.1109/TE.2006.879240}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/UbalCPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PetitSPK06, author = {Salvador Petit and Julio Sahuquillo and Ana Pont and David R. Kaeli}, title = {Addressing a workload characterization study to the design of consistency protocols}, journal = {J. Supercomput.}, volume = {38}, number = {1}, pages = {49--72}, year = {2006}, url = {https://doi.org/10.1007/s11227-006-7866-4}, doi = {10.1007/S11227-006-7866-4}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PetitSPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsware/DomenechSPG06, author = {Josep Domenech and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, editor = {Victor Bahl and Bijendra Nath Jain and Henning Schulzrinne and Alfred Z. Spector}, title = {Design keys to adapt web prefetching algorithms to environment conditions}, booktitle = {Proceedings of the First International Conference on COMmunication System softWAre and MiddlewaRE {(COMSWARE} 2006), January 8-12, 2006, New Delhi, India}, pages = {1--7}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/COMSWA.2006.1665179}, doi = {10.1109/COMSWA.2006.1665179}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comsware/DomenechSPG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotweb/DomenechGSP06, author = {Josep Domenech and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {{DDG:} An Efficient Prefetching Algorithm for Current Web Generation}, booktitle = {1st {IEEE} Workshop on Hot Topics in Web Systems and Technologies, HotWeb 2006, Boston, MA, USA, November 13-14, 2006}, pages = {1--12}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/HOTWEB.2006.355260}, doi = {10.1109/HOTWEB.2006.355260}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotweb/DomenechGSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/DomenechPSG06, author = {Josep Domenech and Ana Pont and Julio Sahuquillo and Jos{\'{e}} A. Gil}, editor = {Fernando Boavida and Thomas Plagemann and Burkhard Stiller and C{\'{e}}dric Westphal and Edmundo Monteiro}, title = {Cost-Benefit Analysis of Web Prefetching Algorithms from the User's Point of View}, booktitle = {{NETWORKING} 2006 - Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems, 5th International {IFIP-TC6} Networking Conference, Coimbra, Portugal, May 15-19, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3976}, pages = {1113--1118}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11753810\_95}, doi = {10.1007/11753810\_95}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/networking/DomenechPSG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/UbalSPL06, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez}, title = {Applying the zeros switch-off technique to reduce static energy in data caches}, booktitle = {18th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2006), 17-20 October 2006, Ouro Preto, Minas Gerais, Brazil}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/SBAC-PAD.2006.8}, doi = {10.1109/SBAC-PAD.2006.8}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/UbalSPL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/PetitTSP06, author = {Salvador Petit and Noel Tom{\'{a}}s and Julio Sahuquillo and Ana Pont}, editor = {Edward F. Gehringer}, title = {An execution-driven simulation tool for teaching cache memories in introductory computer organization courses}, booktitle = {Proceedings of the 2006 Workshop on Computer Architecture Education, {WCAE} 2006, Boston, Massachusetts, USA, Saturday, June 17, 2006}, pages = {4}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1275620.1275628}, doi = {10.1145/1275620.1275628}, timestamp = {Fri, 09 Jul 2021 15:55:17 +0200}, biburl = {https://dblp.org/rec/conf/wcae/PetitTSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/DomenechSGP06, author = {Josep Domenech and Julio Sahuquillo and Jos{\'{e}} A. Gil and Ana Pont}, title = {The Impact of the Web Prefetching Architecture on the Limits of Reducing User's Perceived Latency}, booktitle = {2006 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence {(WI} 2006), 18-22 December 2006, Hong Kong, China}, pages = {740--744}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/WI.2006.166}, doi = {10.1109/WI.2006.166}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/DomenechSGP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijeb/Pena-OrtizSPG05, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, title = {Modelling users' dynamic behaviour in e-business environments using navigations}, journal = {Int. J. Electron. Bus.}, volume = {3}, number = {3/4}, pages = {225--242}, year = {2005}, url = {https://doi.org/10.1504/IJEB.2005.007268}, doi = {10.1504/IJEB.2005.007268}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijeb/Pena-OrtizSPG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/SahuquilloPPM05, author = {Julio Sahuquillo and Salvador Petit and Ana Pont and Veljko M. Milutinovic}, title = {Exploring the performance of split data cache schemes on superscalar processors and symmetric multiprocessors}, journal = {J. Syst. Archit.}, volume = {51}, number = {8}, pages = {451--469}, year = {2005}, url = {https://doi.org/10.1016/j.sysarc.2004.12.002}, doi = {10.1016/J.SYSARC.2004.12.002}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/SahuquilloPPM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ParcerisaSG05, author = {Joan{-}Manuel Parcerisa and Julio Sahuquillo and Antonio Gonz{\'{a}}lez and Jos{\'{e}} Duato}, title = {On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {2}, pages = {130--144}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.23}, doi = {10.1109/TPDS.2005.23}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ParcerisaSG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/CardenasGDSP05, author = {Luis Guillermo C{\'{a}}rdenas and Jos{\'{e}} A. Gil and Josep Domenech and Julio Sahuquillo and Ana Pont}, title = {Performance Comparison of a Web Cache Simulation Framework}, booktitle = {19th International Conference on Advanced Information Networking and Applications {(AINA} 2005), 28-30 March 2005, Taipei, Taiwan}, pages = {281--284}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/AINA.2005.275}, doi = {10.1109/AINA.2005.275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/CardenasGDSP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/PetitSSK05, author = {Salvador Petit and Julio Sahuquillo and Jose M. Such and David R. Kaeli}, editor = {Nader Bagherzadeh and Mateo Valero and Alex Ram{\'{\i}}rez}, title = {Exploiting temporal locality in drowsy cache policies}, booktitle = {Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005}, pages = {371--377}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1062261.1062321}, doi = {10.1145/1062261.1062321}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/PetitSSK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/e2emon/NinoOGSP05, author = {Ingrid Juliana Ni{\~{n}}o and Bernardo de la Ossa and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, editor = {Ehab Al{-}Shaer and Aiko Pras and Philippe Owezarski}, title = {{CARENA:} a tool to capture and replay Web navigation sessions}, booktitle = {Third {IEEE/IFIP} Workshop on End-to-End Monitoring Techniques and Services, {E2EMON} 2005, 15th May 2005, Nice, France}, pages = {127--141}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/E2EMON.2005.1564474}, doi = {10.1109/E2EMON.2005.1564474}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/e2emon/NinoOGSP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/CardenasGSP05, author = {Luis Guillermo C{\'{a}}rdenas and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {Emulating Web Cache Replacement Algorithms versus a Real System}, booktitle = {Proceedings of the 10th {IEEE} Symposium on Computers and Communications {(ISCC} 2005), 27-30 June 2005, Murcia, Cartagena, Spain}, pages = {891--897}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISCC.2005.63}, doi = {10.1109/ISCC.2005.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/CardenasGSP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/PetitSP05, author = {Salvador Petit and Julio Sahuquillo and Ana Pont}, title = {A Comparison Study of the {HLRC-DU} Protocol versus a {HLRC} Hardware Assisted Protocol}, booktitle = {13th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2005), 6-11 February 2005, Lugano, Switzerland}, pages = {197--204}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/EMPDP.2005.2}, doi = {10.1109/EMPDP.2005.2}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/PetitSP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/Pena-OrtizSPG05, author = {Ra{\'{u}}l Pe{\~{n}}a{-}Ortiz and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, title = {Modeling continuous changes of the user's dynamic behavior in the {WWW}}, booktitle = {Proceedings of the Fifth International Workshop on Software and Performance, {WOSP} 2005, Palma, Illes Balears, Spain, July 12-14, 2005}, pages = {175--180}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1071021.1071040}, doi = {10.1145/1071021.1071040}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wosp/Pena-OrtizSPG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/DomenechPSG04, author = {Josep Domenech and Ana Pont and Julio Sahuquillo and Jos{\'{e}} A. Gil}, title = {An Experimental Framework for Testing Web Prefetching Techniques}, booktitle = {30th {EUROMICRO} Conference 2004, 31 August - 3 September 2004, Rennes, France}, pages = {214--221}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/EURMIC.2004.1333374}, doi = {10.1109/EURMIC.2004.1333374}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/DomenechPSG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intellcomm/DomenechSGP04, author = {Josep Domenech and Julio Sahuquillo and Jos{\'{e}} A. Gil and Ana Pont}, editor = {Finn Arve Aagesen and Chutiporn Anutariya and Vilas Wuwongse}, title = {About the Heterogeneity of Web Prefetching Performance Key Metrics}, booktitle = {Intelligence in Communication Systems, {IFIP} International Conference, {INTELLCOMM} 2004, Bangkok, Thailand, November 23-26, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3283}, pages = {220--235}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30179-0\_20}, doi = {10.1007/978-3-540-30179-0\_20}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/intellcomm/DomenechSGP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CardenasSPG04, author = {Luis Guillermo C{\'{a}}rdenas and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, title = {The Multikey Web Cache Simulator: {A} Platform for Designing Proxy Cache Management Techniques}, booktitle = {12th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2004), 11-13 February 2004, {A} Coruna, Spain}, pages = {390--397}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/EMPDP.2004.1271471}, doi = {10.1109/EMPDP.2004.1271471}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CardenasSPG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/PetitSPK04, author = {Salvador Petit and Julio Sahuquillo and Ana Pont and David R. Kaeli}, title = {Characterizing the Dynamic Behavior of Workload Execution in {SVM} systems}, booktitle = {16th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2004), 27-29 October 2004, Foz do Iguacu, Brazil}, pages = {230--237}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/SBAC-PAD.2004.12}, doi = {10.1109/SBAC-PAD.2004.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/PetitSPK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ParcerisaSGD02, author = {Joan{-}Manuel Parcerisa and Julio Sahuquillo and Antonio Gonz{\'{a}}lez and Jos{\'{e}} Duato}, title = {Efficient Interconnects for Clustered Microarchitectures}, booktitle = {2002 International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2002), 22-25 September 2002, Charlottesville, VA, {USA}}, pages = {291--300}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/PACT.2002.1106028}, doi = {10.1109/PACT.2002.1106028}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/ParcerisaSGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/PetitSP02, author = {Salvador Petit and Julio Sahuquillo and Ana Pont}, title = {Characterizing Parallel Workloads to Reduce Multiple Writer Overhead in Shared Virtual Memory Systems}, booktitle = {10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2002), 9-11 January 2002, Canary Islands, Spain}, pages = {261--268}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/EMPDP.2002.994285}, doi = {10.1109/EMPDP.2002.994285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/PetitSP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/RealSPLR02, author = {Jorge Real and Julio Sahuquillo and Ana Pont and Lenin Lemus and Antonio Robles}, editor = {Edward F. Gehringer}, title = {A lab course of computer organization}, booktitle = {Proceedings of the 2002 workshop on Computer architecture education - Held in conjunction with the 29th International Symposium on Computer Architecture, WCAE@ISCA 2002, Anchorage, Alaska, USA, May 26, 2002}, pages = {22}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/1275462.1275491}, doi = {10.1145/1275462.1275491}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcae/RealSPLR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/BuendiaSBGAD01, author = {F{\'{e}}lix Buend{\'{\i}}a and Julio Sahuquillo and Jos{\'{e}}{-}Vicente Benlloch and Jos{\'{e}} A. Gil and M. Agust{\'{\i}} and Paloma D{\'{\i}}az}, title = {XEDU, {A} Framework for Developing XML-Based Didactic Resources}, booktitle = {27th {EUROMICRO} Conference 2001: {A} Net Odyssey, 4-6 September 2001, Warsaw, Poland}, pages = {427--434}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/EURMIC.2001.952484}, doi = {10.1109/EURMIC.2001.952484}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/BuendiaSBGAD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PetitSP01, author = {Salvador Petit and Julio Sahuquillo and Ana Pont}, title = {About the sensitivity of the {HLRC-DU} protocol on diff and page sizes}, booktitle = {2001 {IEEE} International Symposium on Performance Analysis of Systems and Software, November 4-6,2001, Tucson, Arizona, USA, Proceedings}, pages = {45--48}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISPASS.2001.990675}, doi = {10.1109/ISPASS.2001.990675}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PetitSP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeecc/SahuquilloP00, author = {Julio Sahuquillo and Ana Pont}, title = {Splitting the data cache: a survey}, journal = {{IEEE} Concurr.}, volume = {8}, number = {3}, pages = {30--35}, year = {2000}, url = {https://doi.org/10.1109/4434.865890}, doi = {10.1109/4434.865890}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeecc/SahuquilloP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeecc/CanoPSG00, author = {Juan{-}Carlos Cano and Ana Pont and Julio Sahuquillo and Jos{\'{e}} Antonio Gil}, title = {The differences between distributed shared memory caching and proxy caching}, journal = {{IEEE} Concurr.}, volume = {8}, number = {3}, pages = {45--47}, year = {2000}, url = {https://doi.org/10.1109/4434.865892}, doi = {10.1109/4434.865892}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeecc/CanoPSG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/PetitGSP00, author = {Salvador Petit and Jos{\'{e}} A. Gil and Julio Sahuquillo and Ana Pont}, title = {{LIDE:} a simulation environment for shared virtual memory systems}, journal = {{SIGARCH} Comput. Archit. News}, volume = {28}, number = {4}, pages = {11--18}, year = {2000}, url = {https://doi.org/10.1145/362027.362029}, doi = {10.1145/362027.362029}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigarch/PetitGSP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/SahuquilloP00, author = {Julio Sahuquillo and Ana Pont}, title = {Designing Competitive Coherence Protocols Taking Advantage of Reuse Information}, booktitle = {26th {EUROMICRO} 2000 Conference, Informatics: Inventing the Future, 5-7 September 2000, Maastricht, The Netherlands}, pages = {1378--1385}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/EURMIC.2000.874656}, doi = {10.1109/EURMIC.2000.874656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/SahuquilloP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/CanoNSPG00, author = {Juan{-}Carlos Cano and Teresa Nachiondo Frin{\'{o}}s and Julio Sahuquillo and Ana Pont and Jos{\'{e}} A. Gil}, title = {{WWW} Client/Server Traffic Characterization: {A} Proxy Server Point of View}, booktitle = {33rd Annual Hawaii International Conference on System Sciences (HICSS-33), 4-7 January, 2000, Maui, Hawaii, {USA}}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/HICSS.2000.926874}, doi = {10.1109/HICSS.2000.926874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/CanoNSPG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/SahuquilloPM00, author = {Julio Sahuquillo and Ana Pont and Veljko M. Milutinovic}, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {The Filter Data Cache: {A} Tour Management Comparison with Related Split Data Cache Schemes Sensitive to Data Localities}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, pages = {319--327}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2\_30}, doi = {10.1007/3-540-39999-2\_30}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/SahuquilloPM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SahuquilloFCGP00, author = {Julio Sahuquillo and Teresa Nachiondo Frin{\'{o}}s and Juan{-}Carlos Cano and Jos{\'{e}} Antonio Gil and Ana Pont}, title = {Self-similarity in {SPLASH-2} workloads on shared memory multiprocessors systems}, booktitle = {Eight Euromicro Workshop on Parallel and Distributed Processing, {PDP} 2000, 19-12 January 2000, Rhodos, Greece}, pages = {293--300}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/EMPDP.2000.823423}, doi = {10.1109/EMPDP.2000.823423}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SahuquilloFCGP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SahuquilliP00, author = {Julio Sahuquillo and Ana Pont}, title = {Two management approaches of the split data cache in multiprocessor systems}, booktitle = {Eight Euromicro Workshop on Parallel and Distributed Processing, {PDP} 2000, 19-12 January 2000, Rhodos, Greece}, pages = {301--308}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/EMPDP.2000.823424}, doi = {10.1109/EMPDP.2000.823424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SahuquilliP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/SahuquilloP99, author = {Julio Sahuquillo and Ana Pont}, title = {The Filter Cache: {A} Run-Time Cache Management Approach1}, booktitle = {25th {EUROMICRO} '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy}, pages = {1424--1431}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/EURMIC.1999.794504}, doi = {10.1109/EURMIC.1999.794504}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/SahuquilloP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SahuquilloP99, author = {Julio Sahuquillo and Ana Pont}, title = {The split data cache in multiprocessor systems: an initial hit ratio analysis}, booktitle = {Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing. PDP'99, University of Madeira, Funchal, Portugal, February 3-5, 1999}, pages = {27--34}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/EMPDP.1999.746641}, doi = {10.1109/EMPDP.1999.746641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SahuquilloP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/SahuquilloP98, author = {Julio Sahuquillo and Ana Pont}, title = {Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache}, booktitle = {24th {EUROMICRO} '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden}, pages = {10333--10336}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/EURMIC.1998.711822}, doi = {10.1109/EURMIC.1998.711822}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/SahuquilloP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.