Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Massimo Poncino
@article{DBLP:journals/iotj/DagheroBMMPP24, author = {Francesco Daghero and Alessio Burrello and Enrico Macii and Paolo Montuschi and Massimo Poncino and Daniele Jahier Pagliari}, title = {Dynamic Decision Tree Ensembles for Energy-Efficient Inference on IoT Edge Nodes}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {1}, pages = {742--757}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3286276}, doi = {10.1109/JIOT.2023.3286276}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/DagheroBMMPP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01226, author = {Matteo Risso and Chen Xie and Francesco Daghero and Alessio Burrello and Seyedmorteza Mollaei and Marco Castellano and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {{HW-SW} Optimization of DNNs for Privacy-preserving People Counting on Low-resolution Infrared Arrays}, journal = {CoRR}, volume = {abs/2402.01226}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01226}, doi = {10.48550/ARXIV.2402.01226}, eprinttype = {arXiv}, eprint = {2402.01226}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01226.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-15273, author = {Matteo Risso and Francesco Daghero and Beatrice Alessandra Motetti and Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino and Alessio Burrello}, title = {Optimized Deployment of Deep Neural Networks for Visual Pose Estimation on Nano-drones}, journal = {CoRR}, volume = {abs/2402.15273}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.15273}, doi = {10.48550/ARXIV.2402.15273}, eprinttype = {arXiv}, eprint = {2402.15273}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-15273.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/XieDCCGCMPP23, author = {Chen Xie and Francesco Daghero and Yukai Chen and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Efficient Deep Learning Models for Privacy-Preserving People Counting on Low-Resolution Infrared Arrays}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {15}, pages = {13895--13907}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3263290}, doi = {10.1109/JIOT.2023.3263290}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/XieDCCGCMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/XieBDBCMPP23, author = {Chen Xie and Alessio Burrello and Francesco Daghero and Luca Benini and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Reducing the Energy Consumption of sEMG-Based Gesture Recognition at the Edge Using Transformers and Dynamic Inference}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2065}, year = {2023}, url = {https://doi.org/10.3390/s23042065}, doi = {10.3390/S23042065}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/XieBDBCMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/OrlandoBQPVP23, author = {Matteo Orlando and Lorenzo Bottaccioli and Stefano Quer and Massimo Poncino and Sara Vinco and Edoardo Patti}, title = {A Framework for Economic and Environmental Benefit Through Renewable Energy Community}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {4}, pages = {5626--5635}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2023.3290941}, doi = {10.1109/JSYST.2023.3290941}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/OrlandoBQPVP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RissoBCLCBMPP23, author = {Matteo Risso and Alessio Burrello and Francesco Conti and Lorenzo Lamberti and Yukai Chen and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Lightweight Neural Architecture Search for Temporal Convolutional Networks at the Edge}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {3}, pages = {744--758}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3177955}, doi = {10.1109/TC.2022.3177955}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/RissoBCLCBMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChoBCJVMP23, author = {Young Hoo Cho and Donkyu Baek and Yukai Chen and Min Jae Jung and Sara Vinco and Enrico Macii and Massimo Poncino}, title = {Multi-Criteria Coordinated Electric Vehicle-Drone Hybrid Delivery Service Planning}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {5}, pages = {5892--5905}, year = {2023}, url = {https://doi.org/10.1109/TVT.2022.3232799}, doi = {10.1109/TVT.2022.3232799}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChoBCJVMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BurrelloRTCBMPP23, author = {Alessio Burrello and Matteo Risso and Noemi Tomasello and Yukai Chen and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Energy-efficient Wearable-to-Mobile Offload of {ML} Inference for PPG-based Heart-Rate Estimation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137129}, doi = {10.23919/DATE56975.2023.10137129}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BurrelloRTCBMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AlaminDPPCMPV23, author = {Khaled Sidahmed Sidahmed Alamin and Francesco Daghero and Giovanni Pollo and Daniele Jahier Pagliari and Yukai Chen and Enrico Macii and Massimo Poncino and Sara Vinco}, title = {Model-Driven Dataset Generation for Data-Driven Battery {SOH} Models}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISLPED58423.2023.10244587}, doi = {10.1109/ISLPED58423.2023.10244587}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AlaminDPPCMPV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RissoBSBMPVP23, author = {Matteo Risso and Alessio Burrello and Giuseppe Maria Sarda and Luca Benini and Enrico Macii and Massimo Poncino and Marian Verhelst and Daniele Jahier Pagliari}, title = {Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for {DNN} Inference}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISLPED58423.2023.10244311}, doi = {10.1109/ISLPED58423.2023.10244311}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/RissoBSBMPVP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-10281, author = {Matteo Risso and Alessio Burrello and Francesco Conti and Lorenzo Lamberti and Yukai Chen and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Lightweight Neural Architecture Search for Temporal Convolutional Networks at the Edge}, journal = {CoRR}, volume = {abs/2301.10281}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.10281}, doi = {10.48550/ARXIV.2301.10281}, eprinttype = {arXiv}, eprint = {2301.10281}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-10281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06059, author = {Chen Xie and Francesco Daghero and Yukai Chen and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Efficient Deep Learning Models for Privacy-preserving People Counting on Low-resolution Infrared Arrays}, journal = {CoRR}, volume = {abs/2304.06059}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06059}, doi = {10.48550/ARXIV.2304.06059}, eprinttype = {arXiv}, eprint = {2304.06059}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06059.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-05060, author = {Matteo Risso and Alessio Burrello and Giuseppe Maria Sarda and Luca Benini and Enrico Macii and Massimo Poncino and Marian Verhelst and Daniele Jahier Pagliari}, title = {Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for {DNN} Inference}, journal = {CoRR}, volume = {abs/2306.05060}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.05060}, doi = {10.48550/ARXIV.2306.05060}, eprinttype = {arXiv}, eprint = {2306.05060}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-05060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-06129, author = {Alessio Burrello and Matteo Risso and Noemi Tomasello and Yukai Chen and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Energy-efficient Wearable-to-Mobile Offload of {ML} Inference for PPG-based Heart-Rate Estimation}, journal = {CoRR}, volume = {abs/2306.06129}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.06129}, doi = {10.48550/ARXIV.2306.06129}, eprinttype = {arXiv}, eprint = {2306.06129}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-06129.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-09789, author = {Francesco Daghero and Alessio Burrello and Enrico Macii and Paolo Montuschi and Massimo Poncino and Daniele Jahier Pagliari}, title = {Dynamic Decision Tree Ensembles for Energy-Efficient Inference on IoT Edge Nodes}, journal = {CoRR}, volume = {abs/2306.09789}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.09789}, doi = {10.48550/ARXIV.2306.09789}, eprinttype = {arXiv}, eprint = {2306.09789}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-09789.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/health/BurrelloPRSRPPB22, author = {Alessio Burrello and Daniele Jahier Pagliari and Pierangelo Maria Rapa and Matilde Semilia and Matteo Risso and Tommaso Polonelli and Massimo Poncino and Luca Benini and Simone Benatti}, title = {Embedding Temporal Convolutional Networks for Energy-efficient PPG-based Heart Rate Monitoring}, journal = {{ACM} Trans. Comput. Heal.}, volume = {3}, number = {2}, pages = {19:1--19:25}, year = {2022}, url = {https://doi.org/10.1145/3487910}, doi = {10.1145/3487910}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/health/BurrelloPRSRPPB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/OrlandoEPPQPBP22, author = {Matteo Orlando and Abouzar Estebsari and Enrico Pons and Marco Pau and Stefano Quer and Massimo Poncino and Lorenzo Bottaccioli and Edoardo Patti}, title = {A Smart Meter Infrastructure for Smart Grid IoT Applications}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {14}, pages = {12529--12541}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3137596}, doi = {10.1109/JIOT.2021.3137596}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/OrlandoEPPQPBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/BurrelloZBBMPP22, author = {Alessio Burrello and Giovanni Zara and Luca Benini and Davide Brunelli and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Traffic Load Estimation from Structural Health Monitoring sensors using supervised learning}, journal = {Sustain. Comput. Informatics Syst.}, volume = {35}, pages = {100704}, year = {2022}, url = {https://doi.org/10.1016/j.suscom.2022.100704}, doi = {10.1016/J.SUSCOM.2022.100704}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/BurrelloZBBMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/DagheroBXCGCMPP22, author = {Francesco Daghero and Alessio Burrello and Chen Xie and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Human Activity Recognition on Microcontrollers with Quantized and Adaptive Deep Neural Networks}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {4}, pages = {46:1--46:28}, year = {2022}, url = {https://doi.org/10.1145/3542819}, doi = {10.1145/3542819}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/DagheroBXCGCMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/DallOraAFPQV22, author = {Nicola Dall'Ora and Khaled Alamin and Enrico Fraccaroli and Massimo Poncino and Davide Quaglia and Sara Vinco}, title = {Digital Transformation of a Production Line: Network Design, Online Data Collection and Energy Monitoring}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {1}, pages = {46--59}, year = {2022}, url = {https://doi.org/10.1109/TETC.2021.3132432}, doi = {10.1109/TETC.2021.3132432}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/DallOraAFPQV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/BurrelloPBMBPB22, author = {Alessio Burrello and Daniele Jahier Pagliari and Marzia Bianco and Enrico Macii and Luca Benini and Massimo Poncino and Simone Benatti}, title = {Improving PPG-based Heart-Rate Monitoring with Synthetically Generated Data}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei, Taiwan, October 13-15, 2022}, pages = {153--157}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioCAS54905.2022.9948584}, doi = {10.1109/BIOCAS54905.2022.9948584}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/BurrelloPBMBPB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coins/AlaminCMPV22, author = {Khaled Sidahmed Sidahmed Alamin and Yukai Chen and Enrico Macii and Massimo Poncino and Sara Vinco}, title = {A Machine Learning-based Digital Twin for Electric Vehicle Battery Modeling}, booktitle = {{IEEE} International Conference on Omni-layer Intelligent Systems, {COINS} 2022, Barcelona, Spain, August 1-3, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COINS54846.2022.9854960}, doi = {10.1109/COINS54846.2022.9854960}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coins/AlaminCMPV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BurrelloMSBBMPP22, author = {Alessio Burrello and Francesco Bianco Morghet and Moritz Scherer and Simone Benatti and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Bioformers: Embedding Transformers for Ultra-Low Power sEMG-based Gesture Recognition}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1443--1448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774639}, doi = {10.23919/DATE54114.2022.9774639}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BurrelloMSBBMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/CannizzaroSIMMG22, author = {Davide Cannizzaro and Filomena Simone and Klaus Illgner{-}Fehns and Sara Mata and Ivan Mondino and Alberto Ghiazza and Massimo Poncino and Santa Di Cataldo}, title = {Quality inspection of critical aircraft engine components: towards full automation}, booktitle = {27th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2022, Stuttgart, Germany, September 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ETFA52439.2022.9921542}, doi = {10.1109/ETFA52439.2022.9921542}, timestamp = {Wed, 02 Nov 2022 18:01:35 +0100}, biburl = {https://dblp.org/rec/conf/etfa/CannizzaroSIMMG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/RissoBBMPP22, author = {Matteo Risso and Alessio Burrello and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Channel-wise Mixed-precision Assignment for {DNN} Inference on Constrained Edge Nodes}, booktitle = {13th {IEEE} International Green and Sustainable Computing Conference, {IGSC} 2022, Pittsburgh, PA, USA, October 24-25, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGSC55832.2022.9969373}, doi = {10.1109/IGSC55832.2022.9969373}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/RissoBBMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieDCCGCMPP22, author = {Chen Xie and Francesco Daghero and Yukai Chen and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Privacy-preserving Social Distance Monitoring on Microcontrollers with Low-Resolution Infrared Sensors and CNNs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1332--1336}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937837}, doi = {10.1109/ISCAS48785.2022.9937837}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XieDCCGCMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCMPP22, author = {Yukai Chen and Roberta Chiaro and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {{C-NMT:} {A} Collaborative Inference Framework for Neural Machine Translation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1512--1516}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937603}, doi = {10.1109/ISCAS48785.2022.9937603}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenCMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RissoBBMPP22, author = {Matteo Risso and Alessio Burrello and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, editor = {Hai Helen Li and Charles Augustine and Ayse Kivilcim Coskun and Swaroop Ghosh}, title = {Multi-Complexity-Loss {DNAS} for Energy-Efficient and Memory-Constrained Deep Neural Networks}, booktitle = {{ISLPED} '22: {ACM/IEEE} International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1 - 3, 2022}, pages = {28:1--28:6}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3531437.3539720}, doi = {10.1145/3531437.3539720}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/RissoBBMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prime/DagheroPP22, author = {Francesco Daghero and Daniele Jahier Pagliari and Massimo Poncino}, title = {Two-stage Human Activity Recognition on Microcontrollers with Decision Trees and CNNs}, booktitle = {17th Conference on Ph.D Research in Microelectronics and Electronics, {PRIME} 2022, Villasimius, SU, Italy, June 12-15, 2022}, pages = {173--176}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PRIME55000.2022.9816745}, doi = {10.1109/PRIME55000.2022.9816745}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prime/DagheroPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-04396, author = {Alessio Burrello and Daniele Jahier Pagliari and Pierangelo Maria Rapa and Matilde Semilia and Matteo Risso and Tommaso Polonelli and Massimo Poncino and Luca Benini and Simone Benatti}, title = {Embedding Temporal Convolutional Networks for Energy-Efficient PPG-Based Heart Rate Monitoring}, journal = {CoRR}, volume = {abs/2203.04396}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.04396}, doi = {10.48550/ARXIV.2203.04396}, eprinttype = {arXiv}, eprint = {2203.04396}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-04396.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-12925, author = {Alessio Burrello and Alberto Dequino and Daniele Jahier Pagliari and Francesco Conti and Marcello Zanghieri and Enrico Macii and Luca Benini and Massimo Poncino}, title = {{TCN} Mapping Optimization for Ultra-Low Power Time-Series Edge Inference}, journal = {CoRR}, volume = {abs/2203.12925}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.12925}, doi = {10.48550/ARXIV.2203.12925}, eprinttype = {arXiv}, eprint = {2203.12925}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-12925.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-12932, author = {Alessio Burrello and Francesco Bianco Morghet and Moritz Scherer and Simone Benatti and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Bioformers: Embedding Transformers for Ultra-Low Power sEMG-based Gesture Recognition}, journal = {CoRR}, volume = {abs/2203.12932}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.12932}, doi = {10.48550/ARXIV.2203.12932}, eprinttype = {arXiv}, eprint = {2203.12932}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-12932.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-14768, author = {Matteo Risso and Alessio Burrello and Daniele Jahier Pagliari and Francesco Conti and Lorenzo Lamberti and Enrico Macii and Luca Benini and Massimo Poncino}, title = {Pruning In Time {(PIT):} {A} Lightweight Network Architecture Optimizer for Temporal Convolutional Networks}, journal = {CoRR}, volume = {abs/2203.14768}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.14768}, doi = {10.48550/ARXIV.2203.14768}, eprinttype = {arXiv}, eprint = {2203.14768}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-14768.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-14907, author = {Alessio Burrello and Daniele Jahier Pagliari and Matteo Risso and Simone Benatti and Enrico Macii and Luca Benini and Massimo Poncino}, title = {{Q-PPG:} Energy-Efficient PPG-based Heart Rate Monitoring on Wearable Devices}, journal = {CoRR}, volume = {abs/2203.14907}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.14907}, doi = {10.48550/ARXIV.2203.14907}, eprinttype = {arXiv}, eprint = {2203.14907}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-14907.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-16339, author = {Matteo Risso and Alessio Burrello and Daniele Jahier Pagliari and Simone Benatti and Enrico Macii and Luca Benini and Massimo Poncino}, title = {Robust and Energy-efficient PPG-based Heart-Rate Monitoring}, journal = {CoRR}, volume = {abs/2203.16339}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.16339}, doi = {10.48550/ARXIV.2203.16339}, eprinttype = {arXiv}, eprint = {2203.16339}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-16339.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-03431, author = {Francesco Daghero and Alessio Burrello and Daniele Jahier Pagliari and Luca Benini and Enrico Macii and Massimo Poncino}, title = {Energy-Efficient Adaptive Machine Learning on IoT End-Nodes With Class-Dependent Confidence}, journal = {CoRR}, volume = {abs/2204.03431}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.03431}, doi = {10.48550/ARXIV.2204.03431}, eprinttype = {arXiv}, eprint = {2204.03431}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-03431.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-04043, author = {Yukai Chen and Roberta Chiaro and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {{C-NMT:} {A} Collaborative Inference Framework for Neural Machine Translation}, journal = {CoRR}, volume = {abs/2204.04043}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.04043}, doi = {10.48550/ARXIV.2204.04043}, eprinttype = {arXiv}, eprint = {2204.04043}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-04043.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-10541, author = {Chen Xie and Francesco Daghero and Yukai Chen and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Privacy-preserving Social Distance Monitoring on Microcontrollers with Low-Resolution Infrared Sensors and CNNs}, journal = {CoRR}, volume = {abs/2204.10541}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.10541}, doi = {10.48550/ARXIV.2204.10541}, eprinttype = {arXiv}, eprint = {2204.10541}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-10541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12781, author = {Francesco Daghero and Chen Xie and Daniele Jahier Pagliari and Alessio Burrello and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Ultra-compact Binary Neural Networks for Human Activity Recognition on {RISC-V} Processors}, journal = {CoRR}, volume = {abs/2205.12781}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12781}, doi = {10.48550/ARXIV.2205.12781}, eprinttype = {arXiv}, eprint = {2205.12781}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12781.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-13838, author = {Francesco Daghero and Alessio Burrello and Chen Xie and Luca Benini and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers}, journal = {CoRR}, volume = {abs/2205.13838}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.13838}, doi = {10.48550/ARXIV.2205.13838}, eprinttype = {arXiv}, eprint = {2205.13838}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-13838.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-00302, author = {Matteo Risso and Alessio Burrello and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Multi-Complexity-Loss {DNAS} for Energy-Efficient and Memory-Constrained Deep Neural Networks}, journal = {CoRR}, volume = {abs/2206.00302}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.00302}, doi = {10.48550/ARXIV.2206.00302}, eprinttype = {arXiv}, eprint = {2206.00302}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-00302.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07652, author = {Francesco Daghero and Daniele Jahier Pagliari and Massimo Poncino}, title = {Two-stage Human Activity Recognition on Microcontrollers with Decision Trees and CNNs}, journal = {CoRR}, volume = {abs/2206.07652}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07652}, doi = {10.48550/ARXIV.2206.07652}, eprinttype = {arXiv}, eprint = {2206.07652}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-08080, author = {Khaled Sidahmed Sidahmed Alamin and Yukai Chen and Enrico Macii and Massimo Poncino and Sara Vinco}, title = {A Machine Learning-based Digital Twin for Electric Vehicle Battery Modeling}, journal = {CoRR}, volume = {abs/2206.08080}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.08080}, doi = {10.48550/ARXIV.2206.08080}, eprinttype = {arXiv}, eprint = {2206.08080}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-08080.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-08852, author = {Matteo Risso and Alessio Burrello and Luca Benini and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Channel-wise Mixed-precision Assignment for {DNN} Inference on Constrained Edge Nodes}, journal = {CoRR}, volume = {abs/2206.08852}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.08852}, doi = {10.48550/ARXIV.2206.08852}, eprinttype = {arXiv}, eprint = {2206.08852}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-08852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-00839, author = {Francesco Daghero and Alessio Burrello and Chen Xie and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Human Activity Recognition on Microcontrollers with Quantized and Adaptive Deep Neural Networks}, journal = {CoRR}, volume = {abs/2209.00839}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.00839}, doi = {10.48550/ARXIV.2209.00839}, eprinttype = {arXiv}, eprint = {2209.00839}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-00839.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ac/DagheroPP21, author = {Francesco Daghero and Daniele Jahier Pagliari and Massimo Poncino}, title = {Chapter Eight - Energy-efficient deep learning inference on edge devices}, journal = {Adv. Comput.}, volume = {122}, pages = {247--301}, year = {2021}, url = {https://doi.org/10.1016/bs.adcom.2020.07.002}, doi = {10.1016/BS.ADCOM.2020.07.002}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ac/DagheroPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/CerquitelliVABM21, author = {Tania Cerquitelli and Francesco Ventura and Daniele Apiletti and Elena Baralis and Enrico Macii and Massimo Poncino}, title = {Enhancing manufacturing intelligence through an unsupervised data-driven methodology for cyclic industrial processes}, journal = {Expert Syst. Appl.}, volume = {182}, pages = {115269}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115269}, doi = {10.1016/J.ESWA.2021.115269}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/CerquitelliVABM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/CerquitelliPCBP21, author = {Tania Cerquitelli and Daniele Jahier Pagliari and Andrea Calimera and Lorenzo Bottaccioli and Edoardo Patti and Andrea Acquaviva and Massimo Poncino}, title = {Manufacturing as a Data-Driven Practice: Methodologies, Technologies, and Tools}, journal = {Proc. {IEEE}}, volume = {109}, number = {4}, pages = {399--422}, year = {2021}, url = {https://doi.org/10.1109/JPROC.2021.3056006}, doi = {10.1109/JPROC.2021.3056006}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/CerquitelliPCBP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/BurrelloPRBMBP21, author = {Alessio Burrello and Daniele Jahier Pagliari and Matteo Risso and Simone Benatti and Enrico Macii and Luca Benini and Massimo Poncino}, title = {{Q-PPG:} Energy-Efficient PPG-Based Heart Rate Monitoring on Wearable Devices}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {6}, pages = {1196--1209}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3122017}, doi = {10.1109/TBCAS.2021.3122017}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/BurrelloPRBMBP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PagliariCMP21, author = {Daniele Jahier Pagliari and Roberta Chiaro and Enrico Macii and Massimo Poncino}, title = {{CRIME:} Input-Dependent Collaborative Inference for Recurrent Neural Networks}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {10}, pages = {1626--1639}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3021199}, doi = {10.1109/TC.2020.3021199}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PagliariCMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/PagliariCPMMP21, author = {Daniele Jahier Pagliari and Santa Di Cataldo and Edoardo Patti and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Low-Overhead Adaptive Brightness Scaling for Energy Reduction in {OLED} Displays}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {9}, number = {3}, pages = {1625--1636}, year = {2021}, url = {https://doi.org/10.1109/TETC.2019.2908257}, doi = {10.1109/TETC.2019.2908257}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/PagliariCPMMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ChenWPMP21, author = {Yukai Chen and Wenlong Wang and Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {Assessing the Impact of Sensor-Based Task Scheduling on Battery Lifetime in IoT Devices}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--15}, year = {2021}, url = {https://doi.org/10.1109/TIM.2021.3088498}, doi = {10.1109/TIM.2021.3088498}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ChenWPMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/VincoPBPMP21, author = {Sara Vinco and Daniele Jahier Pagliari and Lorenzo Bottaccioli and Edoardo Patti and Enrico Macii and Massimo Poncino}, title = {A Microservices-Based Framework for Smart Design and Optimization of {PV} Installations}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {6}, number = {4}, pages = {531--543}, year = {2021}, url = {https://doi.org/10.1109/TSUSC.2020.3010673}, doi = {10.1109/TSUSC.2020.3010673}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsusc/VincoPBPMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/DagheroXPBCGCMP21, author = {Francesco Daghero and Chen Xie and Daniele Jahier Pagliari and Alessio Burrello and Marco Castellano and Luca Gandolfi and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Maurizio Palesi and Antonino Tumeo and Georgios I. Goumas and Carmen G. Almud{\'{e}}ver}, title = {Ultra-compact binary neural networks for human activity recognition on {RISC-V} processors}, booktitle = {{CF} '21: Computing Frontiers Conference, Virtual Event, Italy, May 11-13, 2021}, pages = {3--11}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3457388.3458656}, doi = {10.1145/3457388.3458656}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/DagheroXPBCGCMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/OrlandoBVMPP21, author = {Matteo Orlando and Lorenzo Bottaccioli and Sara Vinco and Enrico Macii and Massimo Poncino and Edoardo Patti}, title = {Design of District-level Photovoltaic Installations for Optimal Power Production and Economic Benefit}, booktitle = {{IEEE} 45th Annual Computers, Software, and Applications Conference, {COMPSAC} 2021, Madrid, Spain, July 12-16, 2021}, pages = {1873--1878}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/COMPSAC51774.2021.00283}, doi = {10.1109/COMPSAC51774.2021.00283}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/OrlandoBVMPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RissoBP0LMBP21, author = {Matteo Risso and Alessio Burrello and Daniele Jahier Pagliari and Francesco Conti and Lorenzo Lamberti and Enrico Macii and Luca Benini and Massimo Poncino}, title = {Pruning In Time {(PIT):} {A} Lightweight Network Architecture Optimizer for Temporal Convolutional Networks}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1015--1020}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586187}, doi = {10.1109/DAC18074.2021.9586187}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RissoBP0LMBP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlaminVPDFQ21, author = {Khaled Alamin and Sara Vinco and Massimo Poncino and Nicola Dall'Ora and Enrico Fraccaroli and Davide Quaglia}, title = {Digital Twin Extension with Extra-Functional Properties}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {434--439}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474220}, doi = {10.23919/DATE51398.2021.9474220}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AlaminVPDFQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RissoBPBMBP21, author = {Matteo Risso and Alessio Burrello and Daniele Jahier Pagliari and Simone Benatti and Enrico Macii and Luca Benini and Massimo Poncino}, title = {Robust and Energy-Efficient PPG-Based Heart-Rate Monitoring}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401282}, doi = {10.1109/ISCAS51556.2021.9401282}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RissoBPBMBP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BurrelloDP0ZMBP21, author = {Alessio Burrello and Alberto Dequino and Daniele Jahier Pagliari and Francesco Conti and Marcello Zanghieri and Enrico Macii and Luca Benini and Massimo Poncino}, title = {{TCN} Mapping Optimization for Ultra-Low Power Time-Series Edge Inference}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2021, Boston, MA, USA, July 26-28, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISLPED52811.2021.9502494}, doi = {10.1109/ISLPED52811.2021.9502494}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/BurrelloDP0ZMBP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/XiePCMP21, author = {Chen Xie and Daniele Jahier Pagliari and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {{ACME:} An Energy-Efficient Approximate Bus Encoding for I\({}^{\mbox{2}}\)C}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2021, Boston, MA, USA, July 26-28, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISLPED52811.2021.9502495}, doi = {10.1109/ISLPED52811.2021.9502495}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/XiePCMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/DagheroBXBCMPP21, author = {Francesco Daghero and Alessio Burrello and Chen Xie and Luca Benini and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, title = {Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers}, booktitle = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VLSI-SoC53125.2021.9606986}, doi = {10.1109/VLSI-SOC53125.2021.9606986}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/DagheroBXBCMPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/DagheroBXBCMPP21a, author = {Francesco Daghero and Alessio Burrello and Chen Xie and Luca Benini and Andrea Calimera and Enrico Macii and Massimo Poncino and Daniele Jahier Pagliari}, editor = {Victor Grimblatt and Chip{-}Hong Chang and Ricardo Reis and Anupam Chattopadhyay and Andrea Calimera}, title = {Low-Overhead Early-Stopping Policies for Efficient Random Forests Inference on Microcontrollers}, booktitle = {VLSI-SoC: Technology Advancement on SoC Design - 29th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, October 4-8, 2021, Revised and Extended Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {661}, pages = {25--47}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-16818-5\_2}, doi = {10.1007/978-3-031-16818-5\_2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/DagheroBXBCMPP21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TenaceCMP20, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Logic Synthesis of Pass-Gate Logic Circuits With Emerging Ambipolar Technologies}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {2}, pages = {397--410}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2018.2889770}, doi = {10.1109/TCAD.2018.2889770}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TenaceCMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/ChenVPMMP20, author = {Yukai Chen and Sara Vinco and Daniele Jahier Pagliari and Paolo Montuschi and Enrico Macii and Massimo Poncino}, title = {Modeling and Simulation of Cyber-Physical Electrical Energy Systems With SystemC-AMS}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {5}, number = {4}, pages = {552--567}, year = {2020}, url = {https://doi.org/10.1109/TSUSC.2020.2973900}, doi = {10.1109/TSUSC.2020.2973900}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsusc/ChenVPMMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/OrlandoBPMVP20, author = {Matteo Orlando and Lorenzo Bottaccioli and Edoardo Patti and Enrico Macii and Sara Vinco and Massimo Poncino}, title = {Optimal Configuration and Placement of {PV} Systems in Building Roofs with Cost Analysis}, booktitle = {44th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2020, Madrid, Spain, July 13-17, 2020}, pages = {1411--1416}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/COMPSAC48688.2020.00-58}, doi = {10.1109/COMPSAC48688.2020.00-58}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/OrlandoBPMVP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PagliariCCVMP20, author = {Daniele Jahier Pagliari and Roberta Chiaro and Yukai Chen and Sara Vinco and Enrico Macii and Massimo Poncino}, title = {Input-Dependent Edge-Cloud Mapping of Recurrent Neural Networks Inference}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218595}, doi = {10.1109/DAC18072.2020.9218595}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PagliariCCVMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VincoCMP20, author = {Sara Vinco and Yukai Chen and Enrico Macii and Massimo Poncino}, title = {A Diode-Aware Model of {PV} Modules from Datasheet Specifications}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {816--821}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116282}, doi = {10.23919/DATE48585.2020.9116282}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VincoCMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/BurrelloPBBMP20, author = {Alessio Burrello and Daniele Jahier Pagliari and Andrea Bartolini and Luca Benini and Enrico Macii and Massimo Poncino}, editor = {Bartosz Balis and Dora B. Heras and Laura Antonelli and Andrea Bracciali and Thomas Gruber and Jin Hyun{-}Wook and Michael Kuhn and Stephen L. Scott and Didem Unat and Roman Wyrzykowski}, title = {Predicting Hard Disk Failures in Data Centers Using Temporal Convolutional Neural Networks}, booktitle = {Euro-Par 2020: Parallel Processing Workshops - Euro-Par 2020 International Workshops, Warsaw, Poland, August 24-25, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12480}, pages = {277--289}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-71593-9\_22}, doi = {10.1007/978-3-030-71593-9\_22}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/BurrelloPBBMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/DagheroBPBMP20, author = {Francesco Daghero and Alessio Burrello and Daniele Jahier Pagliari and Luca Benini and Enrico Macii and Massimo Poncino}, title = {Energy-Efficient Adaptive Machine Learning on IoT End-Nodes With Class-Dependent Confidence}, booktitle = {27th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICECS49266.2020.9294863}, doi = {10.1109/ICECS49266.2020.9294863}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/DagheroBPBMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenBKCCMVP19, author = {Yukai Chen and Donkyu Baek and Jaemin Kim and Santa Di Cataldo and Naehyuck Chang and Enrico Macii and Sara Vinco and Massimo Poncino}, title = {A SystemC-AMS Framework for the Design and Simulation of Energy Management in Electric Vehicles}, journal = {{IEEE} Access}, volume = {7}, pages = {25779--25791}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2900505}, doi = {10.1109/ACCESS.2019.2900505}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenBKCCMVP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PagliariMP19, author = {Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {Automated Synthesis of Energy-Efficient Reconfigurable-Precision Circuits}, journal = {{IEEE} Access}, volume = {7}, pages = {172030--172044}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2956679}, doi = {10.1109/ACCESS.2019.2956679}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/PagliariMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PagliariDCBMP19, author = {Daniele Jahier Pagliari and Yves Durand and David Coriat and Edith Beign{\'{e}} and Enrico Macii and Massimo Poncino}, title = {Fine-Grain Back Biasing for the Design of Energy-Quality Scalable Operators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {6}, pages = {1042--1055}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2834400}, doi = {10.1109/TCAD.2018.2834400}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PagliariDCBMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ChenVMP19, author = {Yukai Chen and Sara Vinco and Enrico Macii and Massimo Poncino}, title = {SystemC-AMS Thermal Modeling for the Co-simulation of Functional and Extra-Functional Properties}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {1}, pages = {4:1--4:26}, year = {2019}, url = {https://doi.org/10.1145/3267125}, doi = {10.1145/3267125}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ChenVMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/VincoBPFMP19, author = {Sara Vinco and Nicola Bombieri and Daniele Jahier Pagliari and Franco Fummi and Enrico Macii and Massimo Poncino}, title = {A Cross-level Verification Methodology for Digital IPs Augmented with Embedded Timing Monitors}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {3}, pages = {27:1--27:23}, year = {2019}, url = {https://doi.org/10.1145/3308565}, doi = {10.1145/3308565}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/VincoBPFMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/BaekCBBCGPPUCMM19, author = {Donkyu Baek and Yukai Chen and Alberto Bocca and Lorenzo Bottaccioli and Santa Di Cataldo and Valentina Gatteschi and Daniele Jahier Pagliari and Edoardo Patti and Gianvito Urgese and Naehyuck Chang and Alberto Macii and Enrico Macii and Paolo Montuschi and Massimo Poncino}, title = {Battery-Aware Operation Range Estimation for Terrestrial and Aerial Electric Vehicles}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {6}, pages = {5471--5482}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2910452}, doi = {10.1109/TVT.2019.2910452}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/BaekCBBCGPPUCMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PagliariVMP19, author = {Daniele Jahier Pagliari and Sara Vinco and Enrico Macii and Massimo Poncino}, title = {Low-Overhead Power Trace Obfuscation for Smart Meter Privacy}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {111}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317855}, doi = {10.1145/3316781.3317855}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PagliariVMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PagliariVMP19, author = {Daniele Jahier Pagliari and Sara Vinco and Enrico Macii and Massimo Poncino}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Irradiance-Driven Partial Reconfiguration of {PV} Panels}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {884--889}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714914}, doi = {10.23919/DATE.2019.8714914}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PagliariVMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PagliariPMP19, author = {Daniele Jahier Pagliari and Francesco Panini and Enrico Macii and Massimo Poncino}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {Dynamic Beam Width Tuning for Energy-Efficient Recurrent Neural Networks}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {69--74}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3317974}, doi = {10.1145/3299874.3317974}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PagliariPMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/PagliariCCMP19, author = {Daniele Jahier Pagliari and Roberta Chiaro and Yukai Chen and Enrico Macii and Massimo Poncino}, title = {Optimal Input-Dependent Edge-Cloud Partitioning for {RNN} Inference}, booktitle = {26th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019}, pages = {442--445}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICECS46596.2019.8965079}, doi = {10.1109/ICECS46596.2019.8965079}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/PagliariCCMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/ChenVPMP19, author = {Yukai Chen and Sara Vinco and Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {A Semi-Empirical Model of {PV} Modules Including Manufacturing {I-V} Mismatch}, booktitle = {26th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019}, pages = {919--922}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICECS46596.2019.8964830}, doi = {10.1109/ICECS46596.2019.8964830}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/ChenVPMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BaekCMPC19, author = {Donkyu Baek and Yukai Chen and Enrico Macii and Massimo Poncino and Naehyuck Chang}, title = {Battery-Aware Electric Truck Delivery Route Planner}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824835}, doi = {10.1109/ISLPED.2019.8824835}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BaekCMPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PagliariAMP19, author = {Daniele Jahier Pagliari and Matteo Ansaldi and Enrico Macii and Massimo Poncino}, title = {CNN-Based Camera-less User Attention Detection for Smartphone Power Management}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824982}, doi = {10.1109/ISLPED.2019.8824982}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/PagliariAMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BoccaMMP18, author = {Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Composable Battery Model Templates Based on Manufacturers' Data}, journal = {{IEEE} Des. Test}, volume = {35}, number = {3}, pages = {66--72}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2755642}, doi = {10.1109/MDAT.2017.2755642}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/BoccaMMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BoccaCMMP18, author = {Alberto Bocca and Yukai Chen and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Aging and Cost Optimal Residential Charging for Plug-In EVs}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {16--24}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2753701}, doi = {10.1109/MDAT.2017.2753701}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BoccaCMMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChenMP18, author = {Yukai Chen and Enrico Macii and Massimo Poncino}, title = {Empirical derivation of upper and lower bounds of {NBTI} aging for embedded cores}, journal = {Microelectron. Reliab.}, volume = {80}, pages = {294--305}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2017.07.067}, doi = {10.1016/J.MICROREL.2017.07.067}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChenMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/PagliariMP18, author = {Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {{LAPSE:} Low-Overhead Adaptive Power Saving and Contrast Enhancement for OLEDs}, journal = {{IEEE} Trans. Image Process.}, volume = {27}, number = {9}, pages = {4623--4637}, year = {2018}, url = {https://doi.org/10.1109/TIP.2018.2844722}, doi = {10.1109/TIP.2018.2844722}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/PagliariMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShinPM18, author = {Donghwa Shin and Massimo Poncino and Enrico Macii}, title = {Thermal Management of Batteries Using Supercapacitor Hybrid Architecture With Idle Period Insertion Strategy}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {6}, pages = {1159--1170}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2818758}, doi = {10.1109/TVLSI.2018.2818758}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShinPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VincoBPAMP18, author = {Sara Vinco and Lorenzo Bottaccioli and Edoardo Patti and Andrea Acquaviva and Enrico Macii and Massimo Poncino}, editor = {Jan Madsen and Ayse K. Coskun}, title = {GIS-based optimal photovoltaic panel floorplanning for residential installations}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {437--442}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342049}, doi = {10.23919/DATE.2018.8342049}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/VincoBPAMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PagliariPCCMP18, author = {Daniele Jahier Pagliari and Valentino Peluso and Yukai Chen and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Jan Madsen and Ayse K. Coskun}, title = {All-digital embedded meters for on-line power estimation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {737--742}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342105}, doi = {10.23919/DATE.2018.8342105}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PagliariPCCMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChenPMP18, author = {Yukai Chen and Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {Battery-aware Design Exploration of Scheduling Policies for Multi-sensor Devices}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {201--206}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194588}, doi = {10.1145/3194554.3194588}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ChenPMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VincoMP18, author = {Sara Vinco and Enrico Macii and Massimo Poncino}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {Optimal Topology-Aware {PV} Panel Floorplanning with Hybrid Orientation}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {491--494}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194646}, doi = {10.1145/3194554.3194646}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/VincoMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoccaCMP18, author = {Alberto Bocca and Yukai Chen and Alberto Macii and Massimo Poncino}, title = {Fundamental Feature Extraction of the Battery Charge Phase from Product Data}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351326}, doi = {10.1109/ISCAS.2018.8351326}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoccaCMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PagliariP18, author = {Daniele Jahier Pagliari and Massimo Poncino}, title = {Application-Driven Synthesis of Energy-Efficient Reconfigurable-Precision Operators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351232}, doi = {10.1109/ISCAS.2018.8351232}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PagliariP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VincoBPAP18, author = {Sara Vinco and Lorenzo Bottaccioli and Edoardo Patti and Andrea Acquaviva and Massimo Poncino}, title = {A Compact {PV} Panel Model for Cyber-Physical Systems in Smart Cities}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351764}, doi = {10.1109/ISCAS.2018.8351764}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VincoBPAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PagliariMP18, author = {Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {Dynamic Bit-width Reconfiguration for Energy-Efficient Deep Learning Hardware}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {47:1--47:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3218611}, doi = {10.1145/3218603.3218611}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/PagliariMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BaekCBMMP18, author = {Donkyu Baek and Yukai Chen and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Battery-Aware Energy Model of Drone Delivery Tasks}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {49:1--49:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3218614}, doi = {10.1145/3218603.3218614}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/BaekCBMMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ApilettiBCMMPV18, author = {Daniele Apiletti and Claudia Barberis and Tania Cerquitelli and Alberto Macii and Enrico Macii and Massimo Poncino and Francesco Ventura}, editor = {Jinjun Chen and Laurence T. Yang}, title = {iSTEP, an Integrated Self-Tuning Engine for Predictive Maintenance in Industry 4.0}, booktitle = {{IEEE} International Conference on Parallel {\&} Distributed Processing with Applications, Ubiquitous Computing {\&} Communications, Big Data {\&} Cloud Computing, Social Computing {\&} Networking, Sustainable Computing {\&} Communications, ISPA/IUCC/BDCloud/SocialCom/SustainCom 2018, Melbourne, Australia, December 11-13, 2018}, pages = {924--931}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BDCloud.2018.00136}, doi = {10.1109/BDCLOUD.2018.00136}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispa/ApilettiBCMMPV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/BoccaMP17, author = {Alberto Bocca and Alberto Macii and Massimo Poncino}, title = {A Modular Framework for Battery Modeling in Electronic Designs}, journal = {J. Low Power Electron.}, volume = {13}, number = {3}, pages = {371--381}, year = {2017}, url = {https://doi.org/10.1166/jolpe.2017.1491}, doi = {10.1166/JOLPE.2017.1491}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/BoccaMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/GruttnerGSHPMVP17, author = {Kim Gr{\"{u}}ttner and Ralph G{\"{o}}rgen and S{\"{o}}ren Schreiner and Fernando Herrera and Pablo Pe{\~{n}}il and Julio L. Medina and Eugenio Villar and Gianluca Palermo and William Fornaciari and Carlo Brandolese and Davide Gadioli and Emanuele Vitali and Davide Zoni and Sara Bocchio and Luca Ceva and Paolo Azzoni and Massimo Poncino and Sara Vinco and Enrico Macii and Salvatore Cusenza and John M. Favaro and Ra{\'{u}}l Valencia and Ingo Sander and Kathrin Rosvall and Nima Khalilzad and Davide Quaglia}, title = {{CONTREX:} Design of embedded mixed-criticality CONTRol systems under consideration of EXtra-functional properties}, journal = {Microprocess. Microsystems}, volume = {51}, pages = {39--55}, year = {2017}, url = {https://doi.org/10.1016/j.micpro.2017.03.012}, doi = {10.1016/J.MICPRO.2017.03.012}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/GruttnerGSHPMVP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/VincoCFMP17, author = {Sara Vinco and Yukai Chen and Franco Fummi and Enrico Macii and Massimo Poncino}, title = {A Layered Methodology for the Simulation of Extra-Functional Properties in Smart Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {10}, pages = {1702--1715}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2017.2650980}, doi = {10.1109/TCAD.2017.2650980}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/VincoCFMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/PagliariMP17, author = {Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {Approximate Energy-Efficient Encoding for Serial Interfaces}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {4}, pages = {64:1--64:25}, year = {2017}, url = {https://doi.org/10.1145/3041220}, doi = {10.1145/3041220}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/PagliariMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PagliariDCMBMP17, author = {Daniele Jahier Pagliari and Yves Durand and David Coriat and Anca Molnos and Edith Beign{\'{e}} and Enrico Macii and Massimo Poncino}, editor = {David Atienza and Giorgio Di Natale}, title = {A methodology for the design of dynamic accuracy operators by runtime back bias}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1165--1170}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927165}, doi = {10.23919/DATE.2017.7927165}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/PagliariDCMBMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenMP17, author = {Yukai Chen and Enrico Macii and Massimo Poncino}, editor = {David Atienza and Giorgio Di Natale}, title = {A circuit-equivalent battery model accounting for the dependency on load frequency}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1177--1182}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927167}, doi = {10.23919/DATE.2017.7927167}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChenMP17, author = {Yukai Chen and Enrico Macii and Massimo Poncino}, title = {Workload-driven frequency-aware battery sizing}, booktitle = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISLPED.2017.8009196}, doi = {10.1109/ISLPED.2017.8009196}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChenMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/PagliariMP17, author = {Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {Optimal content-dependent dynamic brightness scaling for {OLED} displays}, booktitle = {27th International Symposium on Power and Timing Modeling, Optimization and Simulation, {PATMOS} 2017, Thessaloniki, Greece, September 25-27, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PATMOS.2017.8106955}, doi = {10.1109/PATMOS.2017.8106955}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/PagliariMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TenaceCMP16, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Quasi-Adiabatic Logic Arrays for Silicon and Beyond-Silicon Energy-Efficient ICs}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {12}, pages = {1111--1115}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2624145}, doi = {10.1109/TCSII.2016.2624145}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TenaceCMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PagliariMP16, author = {Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, title = {Serial {T0:} approximate bus encoding for energy-efficient transmission of sensor signals}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {14:1--14:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898089}, doi = {10.1145/2897937.2898089}, timestamp = {Tue, 06 Nov 2018 16:58:19 +0100}, biburl = {https://dblp.org/rec/conf/dac/PagliariMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PagliariPM16, author = {Daniele Jahier Pagliari and Massimo Poncino and Enrico Macii}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Low-overhead adaptive constrast enhancement and power reduction for OLEDs}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {343--348}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459334/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PagliariPM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/GorgenGHPMVPFBG16, author = {Ralph G{\"{o}}rgen and Kim Gr{\"{u}}ttner and Fernando Herrera and Pablo Pe{\~{n}}il and Julio L. Medina and Eugenio Villar and Gianluca Palermo and William Fornaciari and Carlo Brandolese and Davide Gadioli and Sara Bocchio and Luca Ceva and Paolo Azzoni and Massimo Poncino and Sara Vinco and Enrico Macii and Salvatore Cusenza and John M. Favaro and Ra{\'{u}}l Valencia and Ingo Sander and Kathrin Rosvall and Davide Quaglia}, editor = {Paris Kitsos}, title = {{CONTREX:} Design of Embedded Mixed-Criticality CONTRol Systems under Consideration of EXtra-Functional Properties}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {286--293}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.95}, doi = {10.1109/DSD.2016.95}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/GorgenGHPMVPFBG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/VincoLMP16, author = {Sara Vinco and Michele Lora and Enrico Macii and Massimo Poncino}, editor = {Rolf Drechsler and Robert Wille}, title = {{IP-XACT} for smart systems design: extensions for the integration of functional and extra-functional models}, booktitle = {2016 Forum on Specification and Design Languages, {FDL} 2016, Bremen, Germany, September 14-16, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FDL.2016.7880379}, doi = {10.1109/FDL.2016.7880379}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/fdl/VincoLMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/TenaceCMP16, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {Graphene-PLA {(GPLA):} a Compact and Ultra-Low Power Logic Array Architecture}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {145--150}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2902970}, doi = {10.1145/2902961.2902970}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/TenaceCMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VincoCMP16, author = {Sara Vinco and Yukai Chen and Enrico Macii and Massimo Poncino}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {A Unified Model of Power Sources for the Simulation of Electrical Energy Systems}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {281--286}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2903024}, doi = {10.1145/2902961.2903024}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/VincoCMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PagliariMP16, author = {Daniele Jahier Pagliari and Enrico Macii and Massimo Poncino}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {Approximate Differential Encoding for Energy-Efficient Serial Communication}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {421--426}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2902974}, doi = {10.1145/2902961.2902974}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PagliariMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChenVMP16, author = {Yukai Chen and Sara Vinco and Enrico Macii and Massimo Poncino}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {Fast Thermal Simulation using SystemC-AMS}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {427--432}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2902975}, doi = {10.1145/2902961.2902975}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChenVMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TenaceCMP16, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Enabling quasi-adiabatic logic arrays for silicon and beyond-silicon technologies}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2897}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7539200}, doi = {10.1109/ISCAS.2016.7539200}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TenaceCMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChenBMMP16, author = {Yukai Chen and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {A Li-Ion Battery Charge Protocol with Optimal Aging-Quality of Service Trade-off}, booktitle = {Proceedings of the 2016 International Symposium on Low Power Electronics and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016}, pages = {40--45}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2934583.2934591}, doi = {10.1145/2934583.2934591}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChenBMMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ChenMP16, author = {Yukai Chen and Enrico Macii and Massimo Poncino}, title = {Frequency domain characterization of batteries for the design of energy storage subsystems}, booktitle = {2016 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSI-SoC.2016.7753548}, doi = {10.1109/VLSI-SOC.2016.7753548}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/ChenMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/TenaceCMP16, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Multi-function logic synthesis of silicon and beyond-silicon ultra-low power pass-gates circuits}, booktitle = {2016 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSI-SoC.2016.7753575}, doi = {10.1109/VLSI-SOC.2016.7753575}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/TenaceCMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/TenaceCMP16a, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Thomas Hollstein and Jaan Raik and Sergei Kostin and Anton Tsertov and Ian O'Connor and Ricardo Reis}, title = {Logic Synthesis for Silicon and Beyond-Silicon Multi-gate Pass-Logic Circuits}, booktitle = {VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability - 24th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {508}, pages = {60--82}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-67104-8\_4}, doi = {10.1007/978-3-319-67104-8\_4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/TenaceCMP16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/MiryalaTCMP15, author = {Sandeep Miryala and Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Ultra-low power circuits using graphene p-n junctions and adiabatic computing}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {962--972}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.05.018}, doi = {10.1016/J.MICPRO.2015.05.018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/MiryalaTCMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/BombieriDGGGMPR15, author = {Nicola Bombieri and Dimitrios Drogoudis and Giuliana Gangemi and Renaud Gillon and Michelangelo Grosso and Enrico Macii and Massimo Poncino and Salvatore Rinaudo}, title = {Addressing the Smart Systems design challenge: The {SMAC} platform}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {1158--1173}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.05.013}, doi = {10.1016/J.MICPRO.2015.05.013}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/BombieriDGGGMPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShinPMC15, author = {Donghwa Shin and Massimo Poncino and Enrico Macii and Naehyuck Chang}, title = {A Statistical Model-Based Cell-to-Cell Variability Management of Li-ion Battery Pack}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {2}, pages = {252--265}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2014.2384506}, doi = {10.1109/TCAD.2014.2384506}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShinPMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TenaceCMP15, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {One-pass logic synthesis for graphene-based Pass-XNOR logic circuits}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {128:1--128:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744880}, doi = {10.1145/2744769.2744880}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TenaceCMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MiryalaTCMPAMG15, author = {Sandeep Miryala and Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino and Luca Gaetano Amar{\`{u}} and Giovanni De Micheli and Pierre{-}Emmanuel Gaillardon}, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {Exploiting the Expressive Power of Graphene Reconfigurable Gates via Post-Synthesis Optimization}, booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, pages = {39--44}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742060.2742098}, doi = {10.1145/2742060.2742098}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MiryalaTCMPAMG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChenCMP15, author = {Yukai Chen and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {Characterizing the Activity Factor in {NBTI} Aging Models for Embedded Cores}, booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, pages = {75--78}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742060.2742111}, doi = {10.1145/2742060.2742111}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChenCMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RizzoMCMP15, author = {Roberto Giorgio Rizzo and Sandeep Miryala and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {Design and Characterization of Analog-to-Digital Converters using Graphene {P-N} Junctions}, booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, pages = {253--258}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742060.2742099}, doi = {10.1145/2742060.2742099}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/RizzoMCMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PagliariCMP15, author = {Daniele Jahier Pagliari and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {An automated design flow for approximate circuits based on reduced precision redundancy}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {86--93}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357088}, doi = {10.1109/ICCD.2015.7357088}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PagliariCMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BoccaSMMP15, author = {Alberto Bocca and Alessandro Sassone and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {An aging-aware battery charge scheme for mobile devices exploiting plug-in time patterns}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {407--410}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357135}, doi = {10.1109/ICCD.2015.7357135}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/BoccaSMMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/BoccaSSMMP15, author = {Alberto Bocca and Alessandro Sassone and Donghwa Shin and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {An equation-based battery cycle life model for various battery chemistries}, booktitle = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015}, pages = {57--62}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSI-SoC.2015.7314392}, doi = {10.1109/VLSI-SOC.2015.7314392}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/BoccaSSMMP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/BoccaSSMMP15a, author = {Alberto Bocca and Alessandro Sassone and Donghwa Shin and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Youngsoo Shin and Chi{-}Ying Tsui and Jae{-}Joon Kim and Kiyoung Choi and Ricardo Reis}, title = {A Temperature-Aware Battery Cycle Life Model for Different Battery Chemistries}, booktitle = {VLSI-SoC: Design for Reliability, Security, and Low Power - 23rd {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {483}, pages = {109--130}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-46097-0\_6}, doi = {10.1007/978-3-319-46097-0\_6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/BoccaSSMMP15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/MiryalaOPCMP14, author = {Sandeep Miryala and Matheus Oleiro and Let{\'{\i}}cia Maria Bolzani P{\"{o}}hls and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Modeling of Physical Defects in {PN} Junction Based Graphene Devices}, journal = {J. Electron. Test.}, volume = {30}, number = {3}, pages = {357--370}, year = {2014}, url = {https://doi.org/10.1007/s10836-014-5458-4}, doi = {10.1007/S10836-014-5458-4}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/MiryalaOPCMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/TenaceMCMMP14, author = {Valerio Tenace and Sandeep Miryala and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Row-based body-bias assignment for dynamic thermal clock-skew compensation}, journal = {Microelectron. J.}, volume = {45}, number = {5}, pages = {530--538}, year = {2014}, url = {https://doi.org/10.1016/j.mejo.2013.11.013}, doi = {10.1016/J.MEJO.2013.11.013}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/TenaceMCMMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CalimeraLMP14, author = {Andrea Calimera and Mirko Loghi and Enrico Macii and Massimo Poncino}, title = {Dynamic Indexing: Leakage-Aging Co-Optimization for Caches}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {2}, pages = {251--264}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2013.2287187}, doi = {10.1109/TCAD.2013.2287187}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CalimeraLMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MahmoodLPM14, author = {Haroon Mahmood and Mirko Loghi and Massimo Poncino and Enrico Macii}, title = {Energy/Lifetime Cooptimization by Cache Partitioning With Graceful Performance Degradation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {8}, pages = {1705--1715}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2278549}, doi = {10.1109/TVLSI.2013.2278549}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MahmoodLPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShinMP14, author = {Donghwa Shin and Enrico Macii and Massimo Poncino}, title = {Statistical Battery Models and Variation-Aware Battery Management}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {135:1--135:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2596689}, doi = {10.1145/2593069.2596689}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShinMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuarnieriPSVBFMP14, author = {Valerio Guarnieri and Massimo Petricca and Alessandro Sassone and Sara Vinco and Nicola Bombieri and Franco Fummi and Enrico Macii and Massimo Poncino}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {A cross-level verification methodology for digital IPs augmented with embedded timing monitors}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.262}, doi = {10.7873/DATE.2014.262}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/GuarnieriPSVBFMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MahmoodPM14, author = {Haroon Mahmood and Massimo Poncino and Enrico Macii}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Cache aging reduction with improved performance using dynamically re-sizable cache}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.187}, doi = {10.7873/DATE.2014.187}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MahmoodPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShinPM14, author = {Donghwa Shin and Massimo Poncino and Enrico Macii}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Thermal management of batteries using a hybrid supercapacitor architecture}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.344}, doi = {10.7873/DATE.2014.344}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShinPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TenaceCMP14, author = {Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Pass-XNOR logic: {A} new logic style for {P-N} junction based graphene circuits}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.275}, doi = {10.7873/DATE.2014.275}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TenaceCMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MiryalaCMP14, author = {Sandeep Miryala and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Ultra Low-Power Computation via Graphene-Based Adiabatic Logic Gates}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {365--371}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.49}, doi = {10.1109/DSD.2014.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/MiryalaCMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SassoneSBMMP14, author = {Alessandro Sassone and Donghwa Shin and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Joseph R. Cavallaro and Tong Zhang and Alex K. Jones and Hai (Helen) Li}, title = {Modeling of the charging behavior of li-ion batteries based on manufacturer's data}, booktitle = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX, {USA} - May 21 - 23, 2014}, pages = {39--44}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591513.2591592}, doi = {10.1145/2591513.2591592}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SassoneSBMMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PetriccaSBMMP14, author = {Massimo Petricca and Donghwa Shin and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Automated generation of battery aging models from datasheets}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {483--488}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974723}, doi = {10.1109/ICCD.2014.6974723}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/PetriccaSBMMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/GillonGGFP14, author = {Renaud Gillon and Giuliana Gangemi and Michelangelo Grosso and Franco Fummi and Massimo Poncino}, title = {Multi-domain simulation as a foundation for the engineering of smart systems: Challenges and the {SMAC} vision}, booktitle = {21st {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2014, Marseille, France, December 7-10, 2014}, pages = {858--861}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICECS.2014.7050121}, doi = {10.1109/ICECS.2014.7050121}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/GillonGGFP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ShinSBMMP14, author = {Donghwa Shin and Alessandro Sassone and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {A compact macromodel for the charge phase of a battery with typical charging protocol}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {267--270}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627652}, doi = {10.1145/2627369.2627652}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ShinSBMMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/VincoSFMP14, author = {Sara Vinco and Alessandro Sassone and Franco Fummi and Enrico Macii and Massimo Poncino}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {An open-source framework for formal specification and simulation of electrical energy systems}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {287--290}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627657}, doi = {10.1145/2627369.2627657}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/VincoSFMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/VincoSLMP14, author = {Sara Vinco and Alessandro Sassone and Davide Lasorsa and Enrico Macii and Massimo Poncino}, title = {A framework for efficient evaluation and comparison of {EES} Models}, booktitle = {24th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS), Palma de Mallorca, Spain, September 29 - Oct. 1, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/PATMOS.2014.6951908}, doi = {10.1109/PATMOS.2014.6951908}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/patmos/VincoSLMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/SassoneLCMMP13, author = {Alessandro Sassone and Wei Liu and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Modeling and characterization of thermally induced skew on clock distribution networks of nanometric ICs}, journal = {Microelectron. J.}, volume = {44}, number = {11}, pages = {970--976}, year = {2013}, url = {https://doi.org/10.1016/j.mejo.2012.07.007}, doi = {10.1016/J.MEJO.2012.07.007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/SassoneLCMMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuCMMNP13, author = {Wei Liu and Andrea Calimera and Alberto Macii and Enrico Macii and Alberto Nannarelli and Massimo Poncino}, title = {Layout-Driven Post-Placement Techniques for Temperature Reduction and Thermal Gradient Minimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {3}, pages = {406--418}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2228267}, doi = {10.1109/TCAD.2012.2228267}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuCMMNP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CalimeraMP13, author = {Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Energy-optimal {SRAM} supply voltage scheduling under lifetime and error constraints}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {110:1--110:6}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488870}, doi = {10.1145/2463209.2488870}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CalimeraMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiryalaMCMP13, author = {Sandeep Miryala and Mehrdad Montazeri and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Enrico Macii}, title = {A verilog-a model for reconfigurable logic gates based on graphene pn-junctions}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {877--880}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.185}, doi = {10.7873/DATE.2013.185}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/MiryalaMCMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BombieriDGGMPRSTH13, author = {Nicola Bombieri and Giuliana Drogoudis and Giuliana Gangemi and Renaud Gillon and Enrico Macii and Massimo Poncino and Salvatore Rinaudo and Francesco Stefanni and Dimitrios Trachanis and Mark van Helvoort}, title = {{SMAC:} Smart Systems Co-design}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {253--259}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.36}, doi = {10.1109/DSD.2013.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/BombieriDGGMPRSTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MiryalaCMP13, author = {Sandeep Miryala and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Jos{\'{e}} Luis Ayala and Alex K. Jones and Patrick H. Madden and Ayse K. Coskun}, title = {Delay model for reconfigurable logic gates based on graphene PN-junctions}, booktitle = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013}, pages = {227--232}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2483028.2483099}, doi = {10.1145/2483028.2483099}, timestamp = {Tue, 23 Jul 2019 15:03:09 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MiryalaCMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimSPPPC13, author = {Younghyun Kim and Donghwa Shin and Massimo Petricca and Sangyoung Park and Massimo Poncino and Naehyuck Chang}, editor = {J{\"{o}}rg Henkel}, title = {Computer-aided design of electrical energy systems}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {194--201}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691118}, doi = {10.1109/ICCAD.2013.6691118}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimSPPPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/MiryalaCPM13, author = {Sandeep Miryala and Andrea Calimera and Massimo Poncino and Enrico Macii}, title = {Exploration of different implementation styles for graphene-based reconfigurable gates}, booktitle = {Proceedings of 2013 International Conference on {IC} Design {\&} Technology, {ICICDT} 2013, Pavia, Italy, May 29-31, 2013}, pages = {21--24}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICICDT.2013.6563294}, doi = {10.1109/ICICDT.2013.6563294}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/icicdt/MiryalaCPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ShinPMC13, author = {Donghwa Shin and Massimo Poncino and Enrico Macii and Naehyuck Chang}, editor = {Pai H. Chou and Ru Huang and Yuan Xie and Tanay Karnik}, title = {A statistical model of cell-to-cell variation in Li-ion batteries for system-level design}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}, pages = {94--99}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISLPED.2013.6629273}, doi = {10.1109/ISLPED.2013.6629273}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/ShinPMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PetriccaSBMMP13, author = {Massimo Petricca and Donghwa Shin and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Pai H. Chou and Ru Huang and Yuan Xie and Tanay Karnik}, title = {An automated framework for generating variable-accuracy battery models from datasheet information}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}, pages = {365--370}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISLPED.2013.6629324}, doi = {10.1109/ISLPED.2013.6629324}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/PetriccaSBMMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/MiryalaCMPP13, author = {Sandeep Miryala and Andrea Calimera and Enrico Macii and Massimo Poncino and Let{\'{\i}}cia Maria Veiras Bolzani Poehls}, title = {Investigating the behavior of physical defects in pn-junction based reconfigurable graphene devices}, booktitle = {14th Latin American Test Workshop, {LATW} 2013, Cordoba, Argentina, 3-5 April, 2013}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/LATW.2013.6562674}, doi = {10.1109/LATW.2013.6562674}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/MiryalaCMPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/MiryalaCMP13, author = {Sandeep Miryala and Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Power modeling and characterization of Graphene-based logic gates}, booktitle = {2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Karlsruhe, Germany, September 9-11, 2013}, pages = {223--226}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/PATMOS.2013.6662177}, doi = {10.1109/PATMOS.2013.6662177}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/patmos/MiryalaCMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/SassonePPM13, author = {Alessandro Sassone and Massimo Petricca and Massimo Poncino and Enrico Macii}, title = {A fully standard-cell delay measurement circuit for timing variability detection}, booktitle = {2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Karlsruhe, Germany, September 9-11, 2013}, pages = {239--242}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/PATMOS.2013.6662181}, doi = {10.1109/PATMOS.2013.6662181}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/SassonePPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/PetriccaSBMMP13, author = {Massimo Petricca and Donghwa Shin and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {A framework with temperature-aware accuracy levels for battery modeling from datasheets}, booktitle = {2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Karlsruhe, Germany, September 9-11, 2013}, pages = {265--268}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/PATMOS.2013.6662189}, doi = {10.1109/PATMOS.2013.6662189}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/PetriccaSBMMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/AlidashCMMP12, author = {Hossein Karimiyan Alidash and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {On-chip process variation-tracking through an all-digital monitoring architecture}, journal = {{IET} Circuits Devices Syst.}, volume = {6}, number = {5}, pages = {366--373}, year = {2012}, url = {https://doi.org/10.1049/iet-cds.2011.0360}, doi = {10.1049/IET-CDS.2011.0360}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/AlidashCMMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/CalimeraMP12, author = {Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Design Techniques for NBTI-Tolerant Power-Gating Architectures}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {4}, pages = {249--253}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2012.2188457}, doi = {10.1109/TCSII.2012.2188457}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/CalimeraMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/CalimeraMMP12, author = {Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Design Techniques and Architectures for Low-Leakage SRAMs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {59-I}, number = {9}, pages = {1992--2007}, year = {2012}, url = {https://doi.org/10.1109/TCSI.2012.2185303}, doi = {10.1109/TCSI.2012.2185303}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/CalimeraMMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiryalaCMP12, author = {Sandeep Miryala and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {IR-drop analysis of graphene-based power distribution networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {81--86}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176437}, doi = {10.1109/DATE.2012.6176437}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MiryalaCMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SassoneCMMPGMBR12, author = {Alessandro Sassone and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino and Richard Goldman and Vazgen Melikyan and Eduard Babayan and Salvatore Rinaudo}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Investigating the effects of Inverted Temperature Dependence {(ITD)} on clock distribution networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {165--166}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176453}, doi = {10.1109/DATE.2012.6176453}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SassoneCMMPGMBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangXPKCP12, author = {Yanzhi Wang and Qing Xie and Massoud Pedram and Younghyun Kim and Naehyuck Chang and Massimo Poncino}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Multiple-source and multiple-destination charge migration in hybrid electrical energy storage systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {169--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176455}, doi = {10.1109/DATE.2012.6176455}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangXPKCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MahmoodPLM12, author = {Haroon Mahmood and Massimo Poncino and Mirko Loghi and Enrico Macii}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Application-specific memory partitioning for joint energy and lifetime optimization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {364--369}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176498}, doi = {10.1109/DATE.2012.6176498}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MahmoodPLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiuMTCMP12, author = {Wei Liu and Sandeep Miryala and Valerio Tenace and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Erik Brunvard and Ken Stevens and Joseph R. Cavallaro and Tong Zhang}, title = {{NBTI} effects on tree-like clock distribution networks}, booktitle = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City, UT, USA, May 3-4, 2012}, pages = {279--282}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2206781.2206849}, doi = {10.1145/2206781.2206849}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/LiuMTCMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LoghiMCPM12, author = {Mirko Loghi and Haroon Mahmood and Andrea Calimera and Massimo Poncino and Enrico Macii}, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {Energy-optimal caches with guaranteed lifetime}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, pages = {141--146}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2333660.2333696}, doi = {10.1145/2333660.2333696}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LoghiMCPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/AlidashCMMP12, author = {Hossein Karimiyan Alidash and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Jos{\'{e}} L. Ayala and Delong Shang and Alex Yakovlev}, title = {On-Chip {NBTI} and {PBTI} Tracking through an All-Digital Aging Monitor Architecture}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 22nd International Workshop, {PATMOS} 2012, Newcastle upon Tyne, UK, September 4-6, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7606}, pages = {155--165}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36157-9\_16}, doi = {10.1007/978-3-642-36157-9\_16}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/AlidashCMMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/MahmoodPLM12, author = {Haroon Mahmood and Massimo Poncino and Mirko Loghi and Enrico Macii}, editor = {Srinivas Katkoori and Matthew R. Guthaus and Ayse K. Coskun and Andreas Burg and Ricardo Reis}, title = {Aging-aware caches with graceful degradation of performance}, booktitle = {20th {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012}, pages = {237--242}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-SoC.2012.6379036}, doi = {10.1109/VLSI-SOC.2012.6379036}, timestamp = {Tue, 06 Sep 2022 16:02:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/MahmoodPLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2012, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2333660}, isbn = {978-1-4503-1249-3}, timestamp = {Mon, 13 Aug 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/SilvaCMMP11, author = {Leandro Max de Lima Silva and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Power Efficient Variability Compensation Through Clustered Tunable Power-Gating}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {1}, number = {3}, pages = {242--253}, year = {2011}, url = {https://doi.org/10.1109/JETCAS.2011.2163689}, doi = {10.1109/JETCAS.2011.2163689}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/SilvaCMMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SathanurBMMP11, author = {Ashoka Visweswara Sathanur and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Fast Computation of Discharge Current Upper Bounds for Clustered Power Gating}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {1}, pages = {146--151}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2029276}, doi = {10.1109/TVLSI.2009.2029276}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SathanurBMMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SathanurBMMP11a, author = {Ashoka Visweswara Sathanur and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Row-Based Power-Gating: {A} Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer {CMOS} Circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {3}, pages = {469--482}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2035448}, doi = {10.1109/TVLSI.2009.2035448}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SathanurBMMP11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CalimeraLMP11, author = {Andrea Calimera and Mirko Loghi and Enrico Macii and Massimo Poncino}, title = {Partitioned cache architectures for reduced NBTI-induced aging}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {938--943}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763152}, doi = {10.1109/DATE.2011.5763152}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CalimeraLMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AcquavivaPOS11, author = {Andrea Acquaviva and Massimo Poncino and Marco Otella and Michele Sciolla}, title = {System level techniques to improve reliability in high power microcontrollers for automotive applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1123--1124}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763186}, doi = {10.1109/DATE.2011.5763186}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AcquavivaPOS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RinaudoGCMP11, author = {Salvatore Rinaudo and Giuliana Gangemi and Andrea Calimera and Alberto Macii and Massimo Poncino}, title = {Moving to Green {ICT:} From stand-alone power-aware {IC} design to an integrated approach to energy efficient design for heterogeneous electronic systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1127--1128}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763188}, doi = {10.1109/DATE.2011.5763188}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RinaudoGCMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CalimeraLMP11, author = {Andrea Calimera and Mirko Loghi and Enrico Macii and Massimo Poncino}, editor = {David Atienza and Yuan Xie and Jos{\'{e}} L. Ayala and Ken S. Stevens}, title = {Buffering of frequent accesses for reduced cache aging}, booktitle = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010, Lausanne, Switzerland, May 2-6, 2011}, pages = {295--300}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1973009.1973068}, doi = {10.1145/1973009.1973068}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CalimeraLMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimPWXCPP11, author = {Younghyun Kim and Sangyoung Park and Yanzhi Wang and Qing Xie and Naehyuck Chang and Massimo Poncino and Massoud Pedram}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Balanced reconfiguration of storage banks in a hybrid electrical energy storage system}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {624--631}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105395}, doi = {10.1109/ICCAD.2011.6105395}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimPWXCPP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/KarimiyanCMMP11, author = {Hossein Karimiyan and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Jos{\'{e}} L. Ayala and Braulio Garc{\'{\i}}a{-}C{\'{a}}mara and Manuel Prieto and Martino Ruggiero and Gilles Sicard}, title = {An On-Chip All-Digital PV-Monitoring Architecture for Digital IPs}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, {PATMOS} 2011, Madrid, Spain, September 26-29, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6951}, pages = {162--172}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24154-3\_17}, doi = {10.1007/978-3-642-24154-3\_17}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/KarimiyanCMMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/LingasubramanianCMMP11, author = {Karthikeyan Lingasubramanian and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Jos{\'{e}} L. Ayala and Braulio Garc{\'{\i}}a{-}C{\'{a}}mara and Manuel Prieto and Martino Ruggiero and Gilles Sicard}, title = {Sub-Row Sleep Transistor Insertion for Concurrent Clock-Gating and Power-Gating}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, {PATMOS} 2011, Madrid, Spain, September 26-29, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6951}, pages = {214--225}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24154-3\_22}, doi = {10.1007/978-3-642-24154-3\_22}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/LingasubramanianCMMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2011, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, publisher = {{IEEE/ACM}}, year = {2011}, isbn = {978-1-61284-660-6}, timestamp = {Mon, 13 Aug 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/BeniniBBMMNPP10, author = {Luca Benini and Alberto Bocca and Alberto Bonanno and Alberto Macii and Enrico Macii and Jean{-}Luc Nagel and Christian Piguet and Massimo Poncino}, title = {A Refinement Methodology for Clock Gating Optimization at Layout Level in Digital Circuits}, journal = {J. Low Power Electron.}, volume = {6}, number = {1}, pages = {44--55}, year = {2010}, url = {https://doi.org/10.1166/jolpe.2010.1055}, doi = {10.1166/JOLPE.2010.1055}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/BeniniBBMMNPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/CalimeraBMP10, author = {Andrea Calimera and R. Iris Bahar and Enrico Macii and Massimo Poncino}, title = {Dual-V\({}_{\mbox{t}}\) assignment policies in ITD-aware synthesis}, journal = {Microelectron. J.}, volume = {41}, number = {9}, pages = {547--553}, year = {2010}, url = {https://doi.org/10.1016/j.mejo.2009.12.004}, doi = {10.1016/J.MEJO.2009.12.004}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/CalimeraBMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LoghiGMP10, author = {Mirko Loghi and Olga Golubeva and Enrico Macii and Massimo Poncino}, title = {Architectural Leakage Power Minimization of Scratchpad Memories by Application-Driven Subbanking}, journal = {{IEEE} Trans. Computers}, volume = {59}, number = {7}, pages = {891--904}, year = {2010}, url = {https://doi.org/10.1109/TC.2010.43}, doi = {10.1109/TC.2010.43}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LoghiGMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChakrabortyDSMMP10, author = {Ashutosh Chakraborty and Karthik Duraisami and Prassanna Sithambaram and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Thermal-Aware Clock Tree Design to Increase Timing Reliability of Embedded SoCs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {57-I}, number = {10}, pages = {2741--2752}, year = {2010}, url = {https://doi.org/10.1109/TCSI.2010.2046959}, doi = {10.1109/TCSI.2010.2046959}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChakrabortyDSMMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/CalimeraMP10, author = {Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {NBTI-Aware Clustered Power Gating}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {16}, number = {1}, pages = {3:1--3:25}, year = {2010}, url = {https://doi.org/10.1145/1870109.1870112}, doi = {10.1145/1870109.1870112}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/CalimeraMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/CalimeraBMP10, author = {Andrea Calimera and R. Iris Bahar and Enrico Macii and Massimo Poncino}, title = {Temperature-Insensitive Dual- V\({}_{\mbox{th}}\) Synthesis for Nanometer {CMOS} Technologies Under Inverse Temperature Dependence}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {11}, pages = {1608--1620}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2025884}, doi = {10.1109/TVLSI.2009.2025884}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/CalimeraBMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuNCMP10, author = {Wei Liu and Alberto Nannarelli and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Post-placement temperature reduction techniques}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {634--637}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457127}, doi = {10.1109/DATE.2010.5457127}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuNCMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CalimeraLMP10, author = {Andrea Calimera and Mirko Loghi and Enrico Macii and Massimo Poncino}, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {Aging effects of leakage optimizations for caches}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {95--98}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481.1785504}, doi = {10.1145/1785481.1785504}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CalimeraLMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CuestaAHPAM10, author = {David Cuesta and Jos{\'{e}} Luis Ayala and Jos{\'{e}} Ignacio Hidalgo and Massimo Poncino and Andrea Acquaviva and Enrico Macii}, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {Thermal-aware floorplanning exploration for 3D multi-core architectures}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {99--102}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481.1785505}, doi = {10.1145/1785481.1785505}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CuestaAHPAM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AcquavivaCMPMGP10, author = {Andrea Acquaviva and Andrea Calimera and Alberto Macii and Massimo Poncino and Enrico Macii and Matteo Giaconia and Claudio Parrella}, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {An integrated thermal estimation framework for industrial embedded platforms}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {293--298}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481.1785550}, doi = {10.1145/1785481.1785550}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/AcquavivaCMPMGP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CalimeraMP10, author = {Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Analysis of NBTI-induced {SNM} degradation in power-gated {SRAM} cells}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {785--788}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537452}, doi = {10.1109/ISCAS.2010.5537452}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CalimeraMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/CalimeraLMP10, author = {Andrea Calimera and Mirko Loghi and Enrico Macii and Massimo Poncino}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Dynamic indexing: concurrent leakage and aging optimization for caches}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {343--348}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840916}, doi = {10.1145/1840845.1840916}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/CalimeraLMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/SathanurBMMP09, author = {Ashoka Visweswara Sathanur and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Exploiting Temporal Discharge Current Information to Improve the Efficiency of Clustered Power-Gating}, journal = {J. Low Power Electron.}, volume = {5}, number = {1}, pages = {113--121}, year = {2009}, url = {https://doi.org/10.1166/jolpe.2009.1004}, doi = {10.1166/JOLPE.2009.1004}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/SathanurBMMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/CalimeraBMMP09, author = {Andrea Calimera and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {9}, pages = {1979--1993}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2008.2010151}, doi = {10.1109/TCSI.2008.2010151}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/CalimeraBMMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/FummiLPP09, author = {Franco Fummi and Mirko Loghi and Massimo Poncino and Graziano Pravadelli}, title = {A cosimulation methodology for {HW/SW} validation and performance estimation}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {2}, pages = {23:1--23:32}, year = {2009}, url = {https://doi.org/10.1145/1497561.1497566}, doi = {10.1145/1497561.1497566}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/FummiLPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LoghiAP09, author = {Mirko Loghi and Paolo Azzoni and Massimo Poncino}, title = {Tag Overflow Buffering: Reducing Total Memory Energy by Reduced-Tag Matching}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {5}, pages = {728--732}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2009.2016720}, doi = {10.1109/TVLSI.2009.2016720}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LoghiAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BolzaniCMMP09, author = {Let{\'{\i}}cia Maria Veiras Bolzani and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Enabling concurrent clock and power gating in an industrial design flow}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {334--339}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090684}, doi = {10.1109/DATE.2009.5090684}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/BolzaniCMMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FerriBLP09, author = {Cesare Ferri and R. Iris Bahar and Mirko Loghi and Massimo Poncino}, editor = {Fabrizio Lombardi and Sanjukta Bhanja and Yehia Massoud and R. Iris Bahar}, title = {Energy-optimal synchronization primitives for single-chip multi-processors}, booktitle = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009, Boston Area, MA, USA, May 10-12 2009}, pages = {141--144}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531542.1531578}, doi = {10.1145/1531542.1531578}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FerriBLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DuraisamiMP09, author = {Karthik Duraisami and Enrico Macii and Massimo Poncino}, editor = {Fabrizio Lombardi and Sanjukta Bhanja and Yehia Massoud and R. Iris Bahar}, title = {Using soft-edge flip-flops to compensate NBTI-induced delay degradation}, booktitle = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009, Boston Area, MA, USA, May 10-12 2009}, pages = {169--172}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531542.1531585}, doi = {10.1145/1531542.1531585}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/DuraisamiMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CalimeraMP09, author = {Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Fabrizio Lombardi and Sanjukta Bhanja and Yehia Massoud and R. Iris Bahar}, title = {NBTI-aware sleep transistor design for reliable power-gating}, booktitle = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009, Boston Area, MA, USA, May 10-12 2009}, pages = {333--338}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531542.1531618}, doi = {10.1145/1531542.1531618}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CalimeraMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BolzaniCMMP09, author = {Let{\'{\i}}cia Maria Veiras Bolzani and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Placement-aware Clustering for Integrated Clock and Power Gating}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {1723--1726}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118107}, doi = {10.1109/ISCAS.2009.5118107}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BolzaniCMMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/CalimeraMP09, author = {Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {NBTI-aware power gating for concurrent leakage and aging optimization}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {127--132}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594264}, doi = {10.1145/1594233.1594264}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/CalimeraMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/LiuCNMP09, author = {Wei Liu and Andrea Calimera and Alberto Nannarelli and Enrico Macii and Massimo Poncino}, editor = {Jos{\'{e}} Monteiro and Rene van Leuken}, title = {On-chip Thermal Modeling Based on {SPICE} Simulation}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 19th International Workshop, {PATMOS} 2009, Delft, The Netherlands, September 9-11, 2009, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5953}, pages = {66--75}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-11802-9\_11}, doi = {10.1007/978-3-642-11802-9\_11}, timestamp = {Tue, 13 Sep 2022 21:45:42 +0200}, biburl = {https://dblp.org/rec/conf/patmos/LiuCNMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/BonannoBMMP09, author = {Alberto Bonanno and Alberto Bocca and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Jos{\'{e}} Monteiro and Rene van Leuken}, title = {Data-Driven Clock Gating for Digital Filters}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 19th International Workshop, {PATMOS} 2009, Delft, The Netherlands, September 9-11, 2009, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5953}, pages = {96--105}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-11802-9\_14}, doi = {10.1007/978-3-642-11802-9\_14}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/BonannoBMMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/UpasaniCMMP09, author = {Gaurang Upasani and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Jos{\'{e}} Monteiro and Rene van Leuken}, title = {Reducing Timing Overhead in Simultaneously Clock-Gated and Power-Gated Designs by Placement-Aware Clustering}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 19th International Workshop, {PATMOS} 2009, Delft, The Netherlands, September 9-11, 2009, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5953}, pages = {227--236}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-11802-9\_27}, doi = {10.1007/978-3-642-11802-9\_27}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/UpasaniCMMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ChakrabortyDSSMMP08, author = {Ashutosh Chakraborty and Karthik Duraisami and Ashoka Visweswara Sathanur and Prassanna Sithambaram and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Implementation of a thermal management unit for canceling temperature-dependent clock skew variations}, journal = {Integr.}, volume = {41}, number = {1}, pages = {2--8}, year = {2008}, url = {https://doi.org/10.1016/j.vlsi.2007.03.002}, doi = {10.1016/J.VLSI.2007.03.002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/ChakrabortyDSSMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/CalimeraDSSBMMP08, author = {Andrea Calimera and Karthik Duraisami and Ashoka Visweswara Sathanur and Prassanna Sithambaram and R. Iris Bahar and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Thermal-Aware Design Techniques for Nanometer {CMOS} Circuits}, journal = {J. Low Power Electron.}, volume = {4}, number = {3}, pages = {374--384}, year = {2008}, url = {https://doi.org/10.1166/jolpe.2008.190}, doi = {10.1166/JOLPE.2008.190}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jolpe/CalimeraDSSBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChakrabortyDSSBMMP08, author = {Ashutosh Chakraborty and Karthik Duraisami and Ashoka Visweswara Sathanur and Prassanna Sithambaram and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {6}, pages = {639--649}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000248}, doi = {10.1109/TVLSI.2008.2000248}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChakrabortyDSSBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SathanurPBMMP08, author = {Ashoka Visweswara Sathanur and Antonio Pullini and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Donatella Sciuto}, title = {A Scalable Algorithmic Framework for Row-Based Power-Gating}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {379--384}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484710}, doi = {10.1109/DATE.2008.4484710}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SathanurPBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MaciiBCMP08, author = {Enrico Macii and Let{\'{\i}}cia Maria Veiras Bolzani and Andrea Calimera and Alberto Macii and Massimo Poncino}, editor = {Luca Fanucci}, title = {Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital {CMOS} Circuits}, booktitle = {11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, {DSD} 2008, Parma, Italy, September 3-5, 2008}, pages = {298--303}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DSD.2008.90}, doi = {10.1109/DSD.2008.90}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/MaciiBCMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CalimeraMPB08, author = {Andrea Calimera and Enrico Macii and Massimo Poncino and R. Iris Bahar}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Temperature-insensitive synthesis using multi-vt libraries}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {5--10}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366116}, doi = {10.1145/1366110.1366116}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CalimeraMPB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SathanurPBMMP08, author = {Ashoka Visweswara Sathanur and Antonio Pullini and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Optimal sleep transistor synthesis under timing and area constraints}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {177--182}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366155}, doi = {10.1145/1366110.1366155}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SathanurPBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DuraisamiMP08, author = {Karthik Duraisami and Enrico Macii and Massimo Poncino}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Energy efficiency bounds of pulse-encoded buses}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {183--188}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366156}, doi = {10.1145/1366110.1366156}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/DuraisamiMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SathanurCPBMMP08, author = {Ashoka Visweswara Sathanur and Andrea Calimera and Antonio Pullini and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {On quantifying the figures of merit of power-gating for leakage power minimization in nanometer {CMOS} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {2761--2764}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542029}, doi = {10.1109/ISCAS.2008.4542029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SathanurCPBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SathanurBMMP08, author = {Ashoka Visweswara Sathanur and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Vijaykrishnan Narayanan and C. P. Ravikumar and J{\"{o}}rg Henkel and Ali Keshavarzi and Vojin G. Oklobdzija and Barry M. Pangrle}, title = {Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction}, booktitle = {Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008}, pages = {51--56}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1393921.1393938}, doi = {10.1145/1393921.1393938}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/SathanurBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/CalimeraBMP08, author = {Andrea Calimera and R. Iris Bahar and Enrico Macii and Massimo Poncino}, editor = {Vijaykrishnan Narayanan and C. P. Ravikumar and J{\"{o}}rg Henkel and Ali Keshavarzi and Vojin G. Oklobdzija and Barry M. Pangrle}, title = {Reducing leakage power by accounting for temperature inversion dependence in dual-Vt synthesized circuits}, booktitle = {Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008}, pages = {217--220}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1393921.1393978}, doi = {10.1145/1393921.1393978}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/CalimeraBMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/SathanurBMMP08, author = {Ashoka Visweswara Sathanur and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Lars Svensson and Jos{\'{e}} Monteiro}, title = {Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 18th International Workshop, {PATMOS} 2008, Lisbon, Portugal, September 10-12, 2008. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5349}, pages = {42--51}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-95948-9\_5}, doi = {10.1007/978-3-540-95948-9\_5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/SathanurBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/FummiLPP07, author = {Franco Fummi and Mirko Loghi and Giovanni Perbellini and Massimo Poncino}, title = {SystemC co-simulation for core-based embedded systems}, journal = {Des. Autom. Embed. Syst.}, volume = {11}, number = {2-3}, pages = {141--166}, year = {2007}, url = {https://doi.org/10.1007/s10617-007-9006-7}, doi = {10.1007/S10617-007-9006-7}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/FummiLPP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PolettiPBBMLP07, author = {Francesco Poletti and Antonio Poggiali and Davide Bertozzi and Luca Benini and Pol Marchal and Mirko Loghi and Massimo Poncino}, title = {Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {5}, pages = {606--621}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.1040}, doi = {10.1109/TC.2007.1040}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PolettiPBBMLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LoghiBP07, author = {Mirko Loghi and Luca Benini and Massimo Poncino}, title = {Power macromodeling of MPSoC message passing primitives}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {6}, number = {4}, pages = {31}, year = {2007}, url = {https://doi.org/10.1145/1274858.1274869}, doi = {10.1145/1274858.1274869}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LoghiBP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SathanurCBMMP07, author = {Ashoka Visweswara Sathanur and Andrea Calimera and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1544--1549}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266704}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/SathanurCBMMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GolubevaLPM07, author = {Olga Golubeva and Mirko Loghi and Massimo Poncino and Enrico Macii}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Architectural leakage-aware management of partitioned scratchpad memories}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1665--1670}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266732}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GolubevaLPM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GolubevaLP07, author = {Olga Golubeva and Mirko Loghi and Massimo Poncino}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {On the energy efficiency of synchronization primitives for shared-memory single-chip multiprocessors}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {489--492}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228900}, doi = {10.1145/1228784.1228900}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/GolubevaLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CalimeraPSBMMP07, author = {Andrea Calimera and Antonio Pullini and Ashoka Visweswara Sathanur and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {501--504}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228903}, doi = {10.1145/1228784.1228903}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CalimeraPSBMMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuraisamiSSMMP07, author = {Karthik Duraisami and Prassanna Sithambaram and Ashoka Visweswara Sathanur and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {1061--1064}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378192}, doi = {10.1109/ISCAS.2007.378192}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuraisamiSSMMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SathanurPBMMP07, author = {Ashoka Visweswara Sathanur and Antonio Pullini and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {Timing-driven row-based power gating}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {104--109}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283803}, doi = {10.1145/1283780.1283803}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/SathanurPBMMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GolubevaLMP07, author = {Olga Golubeva and Mirko Loghi and Enrico Macii and Massimo Poncino}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {Locality-driven architectural cache sub-banking for leakage energy reduction}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {274--279}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283839}, doi = {10.1145/1283780.1283839}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/GolubevaLMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/PatelMPB06, author = {Kimish Patel and Enrico Macii and Massimo Poncino and Luca Benini}, title = {Energy-Efficient Value Based Selective Refresh for Embedded {DRAMS}}, journal = {J. Low Power Electron.}, volume = {2}, number = {1}, pages = {70--79}, year = {2006}, url = {https://doi.org/10.1166/jolpe.2006.008}, doi = {10.1166/JOLPE.2006.008}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/PatelMPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PatelBMP06, author = {Kimish Patel and Luca Benini and Enrico Macii and Massimo Poncino}, title = {Reducing Conflict Misses by Application-Specific Reconfigurable Indexing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {12}, pages = {2626--2637}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.882588}, doi = {10.1109/TCAD.2006.882588}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PatelBMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/PoncinoM06, author = {Massimo Poncino and Enrico Macii}, title = {Low-energy {RGB} color approximation for digital {LCD} interfaces}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {52}, number = {3}, pages = {1004--1012}, year = {2006}, url = {https://doi.org/10.1109/TCE.2006.1706500}, doi = {10.1109/TCE.2006.1706500}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/PoncinoM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LoghiPB06, author = {Mirko Loghi and Massimo Poncino and Luca Benini}, title = {Cache coherence tradeoffs in shared-memory MPSoCs}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {5}, number = {2}, pages = {383--407}, year = {2006}, url = {https://doi.org/10.1145/1151074.1151081}, doi = {10.1145/1151074.1151081}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LoghiPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChakrabortySDMMP06, author = {Ashutosh Chakraborty and Prassanna Sithambaram and Karthik Duraisami and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Georges G. E. Gielen}, title = {Thermal resilient bounded-skew clock tree optimization methodology}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {832--837}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243740}, doi = {10.1109/DATE.2006.243740}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChakrabortySDMMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FummiPLP06, author = {Franco Fummi and Giovanni Perbellini and Mirko Loghi and Massimo Poncino}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {ISS-centric modular {HW/SW} co-simulation}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {31--36}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1127918}, doi = {10.1145/1127908.1127918}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/FummiPLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PatelBMP06, author = {Kimish Patel and Luca Benini and Enrico Macii and Massimo Poncino}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {STV-Cache: a leakage energy-efficient architecture for data caches}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {404--409}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1128000}, doi = {10.1145/1127908.1128000}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PatelBMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChakrabortyDSSMMP06, author = {Ashutosh Chakraborty and Karthik Duraisami and Ashoka Visweswara Sathanur and Prassanna Sithambaram and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692515}, doi = {10.1109/ISCAS.2006.1692515}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChakrabortyDSSMMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NurrachmatMP06, author = {A. Nurrachmat and Enrico Macii and Massimo Poncino}, title = {Low-energy pixel approximation for DVI-based {LCD} interfaces}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693589}, doi = {10.1109/ISCAS.2006.1693589}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NurrachmatMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChakrabortyDSSBMMP06, author = {Ashutosh Chakraborty and Karthik Duraisami and Ashoka Visweswara Sathanur and Prassanna Sithambaram and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Wolfgang Nebel and Mircea R. Stan and Anand Raghunathan and J{\"{o}}rg Henkel and Diana Marculescu}, title = {Dynamic thermal clock skew compensation using tunable delay buffers}, booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}, pages = {162--167}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1165573.1165612}, doi = {10.1145/1165573.1165612}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChakrabortyDSSBMMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LoghiPB06, author = {Mirko Loghi and Massimo Poncino and Luca Benini}, editor = {Wolfgang Nebel and Mircea R. Stan and Anand Raghunathan and J{\"{o}}rg Henkel and Diana Marculescu}, title = {Synchronization-driven dynamic speed scaling for MPSoCs}, booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}, pages = {346--349}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1165573.1165655}, doi = {10.1145/1165573.1165655}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LoghiPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/ChakrabortyDSSMMP06, author = {Ashutosh Chakraborty and Karthik Duraisami and Ashoka Visweswara Sathanur and Prassanna Sithambaram and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Johan Vounckx and Nadine Az{\'{e}}mard and Philippe Maurine}, title = {Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, {PATMOS} 2006, Montpellier, France, September 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4148}, pages = {214--224}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11847083\_21}, doi = {10.1007/11847083\_21}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/ChakrabortyDSSMMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SalernoMP05, author = {Sabino Salerno and Enrico Macii and Massimo Poncino}, title = {Energy-efficient bus encoding for {LCD} digital display interfaces}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {51}, number = {2}, pages = {624--634}, year = {2005}, url = {https://doi.org/10.1109/TCE.2005.1468010}, doi = {10.1109/TCE.2005.1468010}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/SalernoMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoghiP05, author = {Mirko Loghi and Massimo Poncino}, title = {Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {508--513}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.148}, doi = {10.1109/DATE.2005.148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LoghiP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoghiAP05, author = {Mirko Loghi and Paolo Azzoni and Massimo Poncino}, title = {Tag Overflow Buffering: An Energy-Efficient Cache Architecture}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {520--525}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.298}, doi = {10.1109/DATE.2005.298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LoghiAP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiLMMPP05, author = {Franco Fummi and Mirko Loghi and Stefano Martini and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Virtual Hardware Prototyping through Timed Hardware-Software Co-Simulation}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {798--803}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.327}, doi = {10.1109/DATE.2005.327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiLMMPP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PatelMP05, author = {Kimish Patel and Enrico Macii and Massimo Poncino}, editor = {John C. Lach and Gang Qu and Yehea I. Ismail}, title = {Zero clustering: an approach to extend zero compression to instruction caches}, booktitle = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005, Chicago, Illinois, USA, April 17-19, 2005}, pages = {56--59}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1057661.1057676}, doi = {10.1145/1057661.1057676}, timestamp = {Wed, 15 Dec 2021 17:59:57 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PatelMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LoghiLBP05, author = {Mirko Loghi and Martin Letis and Luca Benini and Massimo Poncino}, editor = {John C. Lach and Gang Qu and Yehea I. Ismail}, title = {Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors}, booktitle = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005, Chicago, Illinois, USA, April 17-19, 2005}, pages = {276--281}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1057661.1057728}, doi = {10.1145/1057661.1057728}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LoghiLBP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/NourrachmatSMP05, author = {Andi Nourrachmat and Sabino Salerno and Enrico Macii and Massimo Poncino}, title = {Energy-Efficient Color Approximation for Digital {LCD} Interfaces}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {81--86}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.48}, doi = {10.1109/ICCD.2005.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/NourrachmatSMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PatelMP05, author = {Kimish Patel and Enrico Macii and Massimo Poncino}, title = {Frame Buffer Energy Optimization by Pixel Prediction}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {98--101}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.61}, doi = {10.1109/ICCD.2005.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PatelMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/ChakrabortyMP05, author = {Ashutosh Chakraborty and Enrico Macii and Massimo Poncino}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Exploiting Cross-Channel Correlation for Energy-Efficient {LCD} Bus Encoding}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {297--307}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_31}, doi = {10.1007/11556930\_31}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/ChakrabortyMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/PatelBMP05, author = {Kimish Patel and Luca Benini and Enrico Macii and Massimo Poncino}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Energy-Efficient Value-Based Selective Refresh for Embedded DRAMs}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {466--476}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_48}, doi = {10.1007/11556930\_48}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/PatelBMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiMPPRT04, author = {Franco Fummi and Stefano Martini and Giovanni Perbellini and Massimo Poncino and Fabio Ricciato and Maura Turolla}, title = {Heterogeneous Co-Simulation of Networked Embedded Systems}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {168--173}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269225}, doi = {10.1109/DATE.2004.1269225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiMPPRT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiMMPP04, author = {Franco Fummi and Stefano Martini and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Modeling and Analysis of Heterogeneous Industrial Networks Architectures}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {342--344}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269270}, doi = {10.1109/DATE.2004.1269270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiMMPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiMPP04, author = {Franco Fummi and Stefano Martini and Giovanni Perbellini and Massimo Poncino}, title = {Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {564--569}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268905}, doi = {10.1109/DATE.2004.1268905}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiMPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PatelMP04, author = {Kimish Patel and Enrico Macii and Massimo Poncino}, title = {Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {700--701}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268937}, doi = {10.1109/DATE.2004.1268937}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PatelMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BoccaSMP04, author = {Alberto Bocca and Sabino Salerno and Enrico Macii and Massimo Poncino}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Energy-efficient bus encoding for {LCD} displays}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {240--243}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.989010}, doi = {10.1145/988952.989010}, timestamp = {Fri, 20 Aug 2021 16:30:37 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/BoccaSMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LoghiPB04, author = {Mirko Loghi and Massimo Poncino and Luca Benini}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Cycle-accurate power analysis for multiprocessor systems-on-a-chip}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {410--406}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.989049}, doi = {10.1145/988952.989049}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LoghiPB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PatelMBP04, author = {Kimish Patel and Enrico Macii and Luca Benini and Massimo Poncino}, title = {Reducing cache misses by application-specific re-configurable indexing}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {125--130}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382556}, doi = {10.1109/ICCAD.2004.1382556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PatelMBP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PoncinoZ04, author = {Massimo Poncino and Jianwen Zhu}, title = {DynamoSim: a trace-based dynamically compiled instruction set simulator}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {131--136}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382557}, doi = {10.1109/ICCAD.2004.1382557}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PoncinoZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LoghiBP04, author = {Mirko Loghi and Luca Benini and Massimo Poncino}, title = {Analyzing Power Consumption of Message Passing Primitives in a Single-Chip Multiprocessor}, booktitle = {22nd {IEEE} International Conference on Computer Design: {VLSI} in Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}, pages = {393--396}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICCD.2004.1347952}, doi = {10.1109/ICCD.2004.1347952}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LoghiBP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FummiMMPP04, author = {Franco Fummi and Stefano Martini and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Software/Network Co-Simulation of Heterogeneous Industrial Networks Architectures}, booktitle = {22nd {IEEE} International Conference on Computer Design: {VLSI} in Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}, pages = {496--501}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICCD.2004.1347967}, doi = {10.1109/ICCD.2004.1347967}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FummiMMPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatelMP04, author = {Kimish Patel and Enrico Macii and Massimo Poncino}, title = {Energy-performance tradeoffs for the shared memory in multi-processor systems-on-chip}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {361--364}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PatelMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalernoMP04, author = {Sabino Salerno and Enrico Macii and Massimo Poncino}, title = {Crosstalk energy reduction by temporal shielding}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {749--752}, publisher = {{IEEE}}, year = {2004}, timestamp = {Fri, 20 May 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalernoMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SalernoBMP04, author = {Sabino Salerno and Alberto Bocca and Enrico Macii and Massimo Poncino}, editor = {Rajiv V. Joshi and Kiyoung Choi and Vivek Tiwari and Kaushik Roy}, title = {Limited intra-word transition codes: an energy-efficient bus encoding for {LCD} display interfaces}, booktitle = {Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004}, pages = {206--211}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1013235.1013288}, doi = {10.1145/1013235.1013288}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SalernoBMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/SalernoMP04, author = {Sabino Salerno and Enrico Macii and Massimo Poncino}, editor = {Enrico Macii and Odysseas G. Koufopavlou and Vassilis Paliouras}, title = {A Low-Power Encoding Scheme for GigaByte Video Interfaces}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, {PATMOS} 2004, Santorini, Greece, September 15-17, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3254}, pages = {58--68}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30205-6\_8}, doi = {10.1007/978-3-540-30205-6\_8}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/SalernoMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/04/PatelMP04, author = {Kimish Patel and Alberto Macii and Massimo Poncino}, editor = {Enrico Macii}, title = {Energy-Efficient Shared Memory Architectures for Multi-Processor Systems-On-Chip}, booktitle = {Ultra Low-Power Electronics and Design}, pages = {84--102}, publisher = {Kluwer / Springer}, year = {2004}, url = {https://doi.org/10.1007/1-4020-8076-X\_5}, doi = {10.1007/1-4020-8076-X\_5}, timestamp = {Tue, 02 Jul 2019 15:41:00 +0200}, biburl = {https://dblp.org/rec/books/sp/04/PatelMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/BeniniBBDFP03, author = {Luca Benini and Davide Bertozzi and Davide Bruni and Nicola Drago and Franco Fummi and Massimo Poncino}, title = {SystemC Cosimulation and Emulation of Multiprocessor SoC Designs}, journal = {Computer}, volume = {36}, number = {4}, pages = {53--59}, year = {2003}, url = {https://doi.org/10.1109/MC.2003.1193229}, doi = {10.1109/MC.2003.1193229}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/BeniniBBDFP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BeniniBMMP03, author = {Luca Benini and Davide Bruni and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Discharge Current Steering for Battery Lifetime Optimization}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {8}, pages = {985--995}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1223633}, doi = {10.1109/TC.2003.1223633}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BeniniBMMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/BeniniMP03, author = {Luca Benini and Alberto Macii and Massimo Poncino}, title = {Energy-aware design of embedded memories: {A} survey of technologies, architectures, and optimization techniques}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {2}, number = {1}, pages = {5--32}, year = {2003}, url = {https://doi.org/10.1145/605459.605461}, doi = {10.1145/605459.605461}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/BeniniMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BeniniMMPS03, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {Scheduling battery usage in mobile systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {6}, pages = {1136--1143}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.817555}, doi = {10.1109/TVLSI.2003.817555}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BeniniMMPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMMOPP03, author = {Luca Benini and Alberto Macii and Enrico Macii and Elvira Omerbegovic and Fabrizio Pro and Massimo Poncino}, title = {Energy-aware design techniques for differential power analysis protection}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {36--41}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775845}, doi = {10.1145/775832.775845}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BeniniMMOPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FummiPGPMR03, author = {Franco Fummi and Giovanni Perbellini and Paolo Gallo and Massimo Poncino and Stefano Martini and Fabio Ricciato}, title = {A timing-accurate modeling and simulation environment for networked embedded systems}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {42--47}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775846}, doi = {10.1145/775832.775846}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FummiPGPMR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaciiMP03, author = {Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Improving the Efficiency of Memory Partitioning by Address Clustering}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {10018--10023}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10149}, doi = {10.1109/DATE.2003.10149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaciiMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DragoFMPP03, author = {Nicola Drago and Franco Fummi and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Estimation of Bus Performance for a Tuplespace in an Embedded Architecture}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {20188--20195}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DATE.2003.1186693}, doi = {10.1109/DATE.2003.1186693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DragoFMPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BeniniMMOPP03, author = {Luca Benini and Alberto Macii and Enrico Macii and Elvira Omerbegovic and Massimo Poncino and Fabrizio Pro}, editor = {Mircea R. Stan and David Garrett and Kazuo Nakajima}, title = {A novel architecture for power maskable arithmetic units}, booktitle = {Proceedings of the 13th {ACM} Great Lakes Symposium on {VLSI} 2003, Washington, DC, USA, April 28-29, 2003}, pages = {136--140}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/764808.764845}, doi = {10.1145/764808.764845}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BeniniMMOPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MaciiPS03, author = {Enrico Macii and Massimo Poncino and Sabino Salerno}, editor = {Mircea R. Stan and David Garrett and Kazuo Nakajima}, title = {Combining wire swapping and spacing for low-power deep-submicron buses}, booktitle = {Proceedings of the 13th {ACM} Great Lakes Symposium on {VLSI} 2003, Washington, DC, USA, April 28-29, 2003}, pages = {198--202}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/764808.764859}, doi = {10.1145/764808.764859}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MaciiPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaciiMP03, author = {Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Increasing the locality of memory access patterns by low-overhead hardware address relocation}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {385--388}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206288}, doi = {10.1109/ISCAS.2003.1206288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaciiMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BeniniGMMP03, author = {Luca Benini and Angelo Galati and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {Energy-efficient data scrambling on memory-processor interfaces}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {26--29}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871517}, doi = {10.1145/871506.871517}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BeniniGMMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/FinFPP03, author = {Alessandro Fin and Franco Fummi and Massimo Poncino and Graziano Pravadelli}, title = {A SystemC-based Framework for Properties Incompleteness Evaluation}, booktitle = {Fourth International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions {(MTV} 2003), May 29-30, 2003, Hyatt Town Lake Hotel, Austin, Texas, {USA}}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MTV.2003.1250268}, doi = {10.1109/MTV.2003.1250268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/FinFPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/BrunoMP03, author = {Maurizio Bruno and Alberto Macii and Massimo Poncino}, editor = {Jorge Juan{-}Chico and Enrico Macii}, title = {A Statistic Power Model for Non-synthetic {RTL} Operators}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 13th International Workshop, {PATMOS} 2003, Torino, Italy, September 10-12, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2799}, pages = {208--218}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39762-5\_27}, doi = {10.1007/978-3-540-39762-5\_27}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/BrunoMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0012536, author = {Alberto Macii and Luca Benini and Massimo Poncino}, title = {Memory design techniques for low energy embedded systems}, publisher = {Kluwer}, year = {2002}, isbn = {978-0-7923-7690-3}, timestamp = {Fri, 15 Apr 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0012536.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BeniniMMP02, author = {Luca Benini and Luca Macchiarulo and Alberto Macii and Massimo Poncino}, title = {Layout-driven memory synthesis for embedded systems-on-chip}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {10}, number = {2}, pages = {96--105}, year = {2002}, url = {https://doi.org/10.1109/92.994985}, doi = {10.1109/92.994985}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BeniniMMP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BeniniMMP02a, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Minimizing memory access energy in embedded systems by selective instruction compression}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {10}, number = {5}, pages = {521--531}, year = {2002}, url = {https://doi.org/10.1109/TVLSI.2002.801615}, doi = {10.1109/TVLSI.2002.801615}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BeniniMMP02a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MacchiaruloMP02, author = {Luca Macchiarulo and Enrico Macii and Massimo Poncino}, title = {Wire Placement for Crosstalk Energy Minimization in Address Buses}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {158--162}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998264}, doi = {10.1109/DATE.2002.998264}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MacchiaruloMP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DonnoMMMP02, author = {Monica Donno and Luca Macchiarulo and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Kanad Ghose and Patrick H. Madden and Vivek De and Peter M. Kogge}, title = {Enhanced clustered voltage scaling for low power}, booktitle = {Proceedings of the 12th {ACM} Great Lakes Symposium on {VLSI} 2002, New York, NY, USA, April 18-19, 2002}, pages = {18--23}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505306.505311}, doi = {10.1145/505306.505311}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/DonnoMMMP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BeniniBBDFP02, author = {Luca Benini and Davide Bertozzi and Davide Bruni and Nicola Drago and Franco Fummi and Massimo Poncino}, title = {Legacy SystemC Co-Simulation of Multi-Processor Systems-on-Chip}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {494--499}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106819}, doi = {10.1109/ICCD.2002.1106819}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BeniniBBDFP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BeniniMMP02, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Discharge current steering for battery lifetime optimization}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {118--123}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566441}, doi = {10.1145/566408.566441}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/BeniniMMP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BeniniMLMOP01, author = {Luca Benini and Giovanni De Micheli and Antonio Lioy and Enrico Macii and Giuseppe Odasso and Massimo Poncino}, title = {Synthesis of power-managed sequential components based oncomputational kernel extraction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {9}, pages = {1118--1131}, year = {2001}, url = {https://doi.org/10.1109/43.945307}, doi = {10.1109/43.945307}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BeniniMLMOP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MaciiMPS01, author = {Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {Stream synthesis for efficient power simulation based on spectral transforms}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {3}, pages = {417--426}, year = {2001}, url = {https://doi.org/10.1109/92.929576}, doi = {10.1109/92.929576}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MaciiMPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BeniniCMMPS01, author = {Luca Benini and Giuliano Castelli and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {Discrete-time battery models for system-level low-power design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {5}, pages = {630--640}, year = {2001}, url = {https://doi.org/10.1109/92.953497}, doi = {10.1109/92.953497}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BeniniCMMPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BoglioloCMP01, author = {Alessandro Bogliolo and Roberto Corgnati and Enrico Macii and Massimo Poncino}, title = {Parameterized {RTL} power models for soft macros}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {6}, pages = {880--887}, year = {2001}, url = {https://doi.org/10.1109/92.974901}, doi = {10.1109/92.974901}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BoglioloCMP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMMMP01, author = {Luca Benini and Luca Macchiarulo and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {784--789}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379066}, doi = {10.1145/378239.379066}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeniniMMMP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeniniCMMPS01, author = {Luca Benini and Giuliano Castelli and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Extending lifetime of portable systems by battery scheduling}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {197--203}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915024}, doi = {10.1109/DATE.2001.915024}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeniniCMMPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/CastelliMMP01, author = {Giuliano Castelli and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Current-controlled policies for battery-driven dynamic power management}, booktitle = {Proceedings of the 2001 8th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2001, Malta, September 2-5, 2001}, pages = {959--962}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICECS.2001.957632}, doi = {10.1109/ICECS.2001.957632}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/CastelliMMP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AntonCMP01, author = {Manuela Anton and Ionel Colonescu and Enrico Macii and Massimo Poncino}, title = {Fast characterization of {RTL} power macromodels}, booktitle = {Proceedings of the 2001 8th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2001, Malta, September 2-5, 2001}, pages = {1591--1594}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICECS.2001.957521}, doi = {10.1109/ICECS.2001.957521}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/AntonCMP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MacchiaruloMP01, author = {Luca Macchiarulo and Enrico Macii and Massimo Poncino}, editor = {Enrico Macii and Vivek De and Mary Jane Irwin}, title = {Low-energy for deep-submicron address buses}, booktitle = {Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001}, pages = {176--181}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/383082.383127}, doi = {10.1145/383082.383127}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/MacchiaruloMP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BeniniMMP00, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {2}, pages = {74--85}, year = {2000}, url = {https://doi.org/10.1109/54.844336}, doi = {10.1109/54.844336}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BeniniMMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BeniniMMPS00, author = {Luca Benini and Giovanni De Micheli and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {A multilevel engine for fast power simulation of realistic inputstreams}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {4}, pages = {459--472}, year = {2000}, url = {https://doi.org/10.1109/43.838995}, doi = {10.1109/43.838995}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BeniniMMPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FerrandiFMPS00, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino and Donatella Sciuto}, title = {Symbolic optimization of interacting controllers based onredundancy identification and removal}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {7}, pages = {760--772}, year = {2000}, url = {https://doi.org/10.1109/43.851991}, doi = {10.1109/43.851991}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FerrandiFMPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BeniniMPS00, author = {Luca Benini and Alberto Macii and Massimo Poncino and Riccardo Scarsi}, title = {Architectures and synthesis algorithms for power-efficient businterfaces}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {9}, pages = {969--980}, year = {2000}, url = {https://doi.org/10.1109/43.863637}, doi = {10.1109/43.863637}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BeniniMPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BeniniMMMPS00, author = {Luca Benini and Giovanni De Micheli and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {Glitch power minimization by selective gate freezing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {8}, number = {3}, pages = {287--298}, year = {2000}, url = {https://doi.org/10.1109/92.845895}, doi = {10.1109/92.845895}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BeniniMMMPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMMP00, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Giovanni De Micheli}, title = {Synthesis of application-specific memories for power optimization in embedded systems}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {300--303}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337424}, doi = {10.1145/337292.337424}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeniniMMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeniniCMMPS00, author = {Luca Benini and Giuliano Castelli and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, editor = {Ivo Bolsens}, title = {A Discrete-Time Battery Model for High-Level Power Estimation}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {35--39}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840012}, doi = {10.1109/DATE.2000.840012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeniniCMMPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BeniniFMMP00, author = {Luca Benini and Marco Ferrero and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Supporting system-level power exploration for {DSP} applications}, booktitle = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, pages = {17--22}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855.330945}, doi = {10.1145/330855.330945}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/BeniniFMMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BeniniBMPS00, author = {Luca Benini and Alessandro Bogliolo and Enrico Macii and Massimo Poncino and Mihai Surmei}, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Regression-based {RTL} power models for controllers}, booktitle = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, pages = {147--152}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855.331025}, doi = {10.1145/330855.331025}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BeniniBMPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BeniniMP00, author = {Luca Benini and Alberto Macii and Massimo Poncino}, editor = {David T. Blaauw and Christian C. Enz and Thaddeus Gabara and Enrico Macii}, title = {A recursive algorithm for low-power memory partitioning}, booktitle = {Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000}, pages = {78--83}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/344166.344518}, doi = {10.1145/344166.344518}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/BeniniMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZafalonRMP00, author = {Roberto Zafalon and Massimo Rossello and Enrico Macii and Massimo Poncino}, title = {Power Macromodeling for a High Quality RT-Level Power Estimation}, booktitle = {1st International Symposium on Quality of Electronic Design {(ISQED} 2000), 20-22 March 2000, San Jose, CA, {USA}}, pages = {59}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISQED.2000.838854}, doi = {10.1109/ISQED.2000.838854}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ZafalonRMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/BoglioloMMP00, author = {Alessandro Bogliolo and Enrico Macii and Virgil Mihailovici and Massimo Poncino}, editor = {Dimitrios Soudris and Peter Pirsch and Erich Barke}, title = {Power Models for Semi-autonomous {RTL} Macros}, booktitle = {Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation, 10th International Workshop, {PATMOS} 2000, G{\"{o}}ttingen, Germany, September 13-15, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1918}, pages = {14--23}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45373-3\_3}, doi = {10.1007/3-540-45373-3\_3}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/BoglioloMMP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/AntonCCMPB00, author = {Crina Anton and Pierluigi Civera and Ionel Colonescu and Enrico Macii and Massimo Poncino and Alessandro Bogliolo}, editor = {Dimitrios Soudris and Peter Pirsch and Erich Barke}, title = {{RTL} Estimation of Steering Logic Power}, booktitle = {Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation, 10th International Workshop, {PATMOS} 2000, G{\"{o}}ttingen, Germany, September 13-15, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1918}, pages = {36--46}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45373-3\_5}, doi = {10.1007/3-540-45373-3\_5}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/AntonCCMPB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BeniniMLMOP99, author = {Luca Benini and Giovanni De Micheli and Antonio Lioy and Enrico Macii and Giuseppe Odasso and Massimo Poncino}, title = {Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {8}, pages = {769--779}, year = {1999}, url = {https://doi.org/10.1109/12.795120}, doi = {10.1109/12.795120}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BeniniMLMOP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/BeniniMMPS99, author = {Luca Benini and Giovanni De Micheli and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {4}, number = {4}, pages = {351--375}, year = {1999}, url = {https://doi.org/10.1145/323480.323482}, doi = {10.1145/323480.323482}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/BeniniMMPS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMMPS99, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, editor = {Mary Jane Irwin}, title = {Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {128--133}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309898}, doi = {10.1145/309847.309898}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BeniniMMPS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMMOP99, author = {Luca Benini and Giovanni De Micheli and Enrico Macii and Giuseppe Odasso and Massimo Poncino}, editor = {Mary Jane Irwin}, title = {Kernel-Based Power Optimization of {RTL} Components: Exact and Approximate Extraction Algorithms}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {247--252}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309922}, doi = {10.1145/309847.309922}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeniniMMOP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeniniMMMPS99, author = {Luca Benini and Giovanni De Micheli and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {Glitch Power Minimization by Gate Freezing}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {163--167}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761113}, doi = {10.1109/DATE.1999.761113}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeniniMMMPS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/BeniniMMP99, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Region Compression: {A} New Scheme for Memory Energy Minimization in Embedded Systems}, booktitle = {25th {EUROMICRO} '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy}, pages = {1311--1317}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/EURMIC.1999.794486}, doi = {10.1109/EURMIC.1999.794486}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euromicro/BeniniMMP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MaciiMOPS99, author = {Alberto Macii and Enrico Macii and Giuseppe Odasso and Massimo Poncino and Riccardo Scarsi}, title = {Regression-Based Macromodeling for Delay Estimation of Behavioral Components}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {188--191}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757407}, doi = {10.1109/GLSV.1999.757407}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MaciiMOPS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CorgnatiMP99, author = {Roberto Corgnati and Enrico Macii and Massimo Poncino}, title = {Clustered Table-Based Macromodels for {RTL} Power Estimation}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {354--357}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757455}, doi = {10.1109/GLSV.1999.757455}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CorgnatiMP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BoglioloCMP99, author = {Alessandro Bogliolo and Roberto Corgnati and Enrico Macii and Massimo Poncino}, editor = {Jacob K. White and Ellen Sentovich}, title = {Parameterized {RTL} power models for combinational soft macros}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {284--288}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810663}, doi = {10.1109/ICCAD.1999.810663}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BoglioloCMP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BeniniMMP99, author = {Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Farid N. Najm and Jason Cong and David T. Blaauw}, title = {Selective instruction compression for memory energy reduction in embedded systems}, booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}, pages = {206--211}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/313817.313927}, doi = {10.1145/313817.313927}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/BeniniMMP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BeniniMPM98, author = {Luca Benini and Enrico Macii and Massimo Poncino and Giovanni De Micheli}, title = {Telescopic units: a new paradigm for performance optimization of {VLSI} designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {3}, pages = {220--232}, year = {1998}, url = {https://doi.org/10.1109/43.700720}, doi = {10.1109/43.700720}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BeniniMPM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BeniniMMPQ98, author = {Luca Benini and Giovanni De Micheli and Enrico Macii and Massimo Poncino and Stefano Quer}, title = {Power optimization of core-based systems by address bus encoding}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {4}, pages = {554--562}, year = {1998}, url = {https://doi.org/10.1109/92.736127}, doi = {10.1109/92.736127}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BeniniMMPQ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMLMOP98, author = {Luca Benini and Giovanni De Micheli and Antonio Lioy and Enrico Macii and Giuseppe Odasso and Massimo Poncino}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Computational Kernels and their Application to Sequential Power Optimization}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {764--769}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277237}, doi = {10.1145/277044.277237}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeniniMLMOP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrandiFMP98, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino}, editor = {Patrick M. Dewilde and Franz J. Rammig and Gerry Musgrave}, title = {Power Estimation of Behavioral Descriptions}, booktitle = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, pages = {762--766}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DATE.1998.655944}, doi = {10.1109/DATE.1998.655944}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerrandiFMP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BeniniMMMP98, author = {Luca Benini and Giovanni De Micheli and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Reducing Power Consumption of Dedicated Processors Through Instruction Set Encoding}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {8--12}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665191}, doi = {10.1109/GLSV.1998.665191}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/BeniniMMMP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BeniniMLMOP98, author = {Luca Benini and Giovanni De Micheli and Antonio Lioy and Enrico Macii and Giuseppe Odasso and Massimo Poncino}, title = {Timed Supersetting and the Synthesis of Telescopic Units}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {331--337}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665289}, doi = {10.1109/GLSV.1998.665289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BeniniMLMOP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FerrandiMMPSS98, author = {Fabrizio Ferrandi and Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi and Fabio Somenzi}, editor = {Hiroto Yasuura}, title = {Symbolic algorithms for layout-oriented synthesis of pass transistor logic circuits}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {235--241}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.288619}, doi = {10.1145/288548.288619}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/FerrandiMMPSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MaciiMPS98, author = {Alberto Macii and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, editor = {Anantha P. Chandrakasan and Sayfe Kiaei}, title = {Stream synthesis for efficient power simulation based on spectral transforms}, booktitle = {Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998}, pages = {30--35}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/280756.280764}, doi = {10.1145/280756.280764}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/MaciiMPS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FerrandiFSMP97, author = {Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto and Enrico Macii and Massimo Poncino}, title = {Testing Core-Based Systems: {A} Symbolic Methodology}, journal = {{IEEE} Des. Test Comput.}, volume = {14}, number = {4}, pages = {69--77}, year = {1997}, url = {https://doi.org/10.1109/54.632883}, doi = {10.1109/54.632883}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FerrandiFSMP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/charme/CabodiCLPQ97, author = {Gianpiero Cabodi and Paolo Camurati and Antonio Lioy and Massimo Poncino and Stefano Quer}, editor = {Hon Fung Li and David K. Probst}, title = {A parallel approach to symbolic traversal based on set partitioning}, booktitle = {Advances in Hardware Design and Verification, {IFIP} {WG} 10.5 International Conference on Correct Hardware Design and Verification Methods, 16-18 October 1997, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, series = {{IFIP} Conference Proceedings}, volume = {105}, pages = {167--184}, publisher = {Chapman {\&} Hall}, year = {1997}, timestamp = {Thu, 18 Feb 2010 08:37:22 +0100}, biburl = {https://dblp.org/rec/conf/charme/CabodiCLPQ97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMP97, author = {Luca Benini and Enrico Macii and Massimo Poncino}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {22--27}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266029}, doi = {10.1145/266021.266029}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeniniMP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeniniMMPS97, author = {Luca Benini and Giovanni De Micheli and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, title = {Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks}, booktitle = {European Design and Test Conference, ED{\&}TC '97, Paris, France, 17-20 March 1997}, pages = {514--520}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/EDTC.1997.582409}, doi = {10.1109/EDTC.1997.582409}, timestamp = {Fri, 20 May 2022 15:59:03 +0200}, biburl = {https://dblp.org/rec/conf/date/BeniniMMPS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LioyMPR97, author = {Antonio Lioy and Enrico Macii and Massimo Poncino and Massimo Rossello}, title = {Accurate Entropy Calculation for Large Logic Circuits Based on Output Clustering}, booktitle = {7th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '97), 13-15 March 1997, Urbana, IL, {USA}}, pages = {70}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/GLSV.1997.580413}, doi = {10.1109/GLSV.1997.580413}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LioyMPR97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BeniniMMPS97, author = {Luca Benini and Giovanni De Micheli and Enrico Macii and Massimo Poncino and Riccardo Scarsi}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Fast power estimation for deterministic input streams}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {494--501}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643582}, doi = {10.1109/ICCAD.1997.643582}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BeniniMMPS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BeniniMMPQ97, author = {Luca Benini and Giovanni De Micheli and Enrico Macii and Massimo Poncino and Stefano Quer}, editor = {Brock Barton and Massoud Pedram and Anantha P. Chandrakasan and Sayfe Kiaei}, title = {System-level power optimization of special purpose applications: the beach solution}, booktitle = {Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997}, pages = {24--29}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/263272.263277}, doi = {10.1145/263272.263277}, timestamp = {Mon, 27 Sep 2021 11:47:11 +0200}, biburl = {https://dblp.org/rec/conf/islped/BeniniMMPQ97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoHMPS96, author = {Hyunwoo Cho and Gary D. Hachtel and Enrico Macii and Massimo Poncino and Fabio Somenzi}, title = {Automatic state space decomposition for approximate {FSM} traversal based on circuit analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {12}, pages = {1451--1464}, year = {1996}, url = {https://doi.org/10.1109/43.552079}, doi = {10.1109/43.552079}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChoHMPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FerrandiFMPS96, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino and Donatella Sciuto}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Symbolic Optimization of {FSM} Networks Based on Sequential {ATPG} Techniques}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {467--470}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240607}, doi = {10.1145/240518.240607}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FerrandiFMPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/MaciiPFFS96, author = {Enrico Macii and Massimo Poncino and Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto}, editor = {Graham Symonds and Wolfgang Nebel}, title = {BDD-based testability estimation of {VHDL} designs}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '96/EURO-VHDL '96, Geneva, Switzerland, September 16-20, 1996}, pages = {444--449}, publisher = {{IEEE} Computer Society Press}, year = {1996}, url = {https://doi.org/10.1109/EURDAC.1996.558241}, doi = {10.1109/EURDAC.1996.558241}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/MaciiPFFS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MaciiP96, author = {Enrico Macii and Massimo Poncino}, title = {Exact Computation of the Entropy of a Logic Circuit}, booktitle = {6th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '96), March 22-23, 1996, Ames, IA, {USA}}, pages = {162--167}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/GLSV.1996.497613}, doi = {10.1109/GLSV.1996.497613}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MaciiP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FerrandiFMPS96, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino and Donatella Sciuto}, title = {Test Generation for Networks of Interacting FSMs Using Symbolic Techniques}, booktitle = {6th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '96), March 22-23, 1996, Ames, IA, {USA}}, pages = {208--213}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/GLSV.1996.497621}, doi = {10.1109/GLSV.1996.497621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FerrandiFMPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CabodiLMPQCS96, author = {Gianpiero Cabodi and Luciano Lavagno and Enrico Macii and Massimo Poncino and Stefano Quer and Paolo Camurati and Ellen Sentovich}, title = {Enhancing {FSM} Traversal by Temporary Re-Encoding}, booktitle = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI} in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}, pages = {6--11}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICCD.1996.563524}, doi = {10.1109/ICCD.1996.563524}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CabodiLMPQCS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MaciiP95, author = {Enrico Macii and Massimo Poncino}, title = {Using connectivity and spectral methods to characterize the structure of sequential logic circuits}, journal = {Microprocess. Microprogramming}, volume = {41}, number = {7}, pages = {487--500}, year = {1995}, url = {https://doi.org/10.1016/0165-6074(95)00028-M}, doi = {10.1016/0165-6074(95)00028-M}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/MaciiP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MannePBHSMP95, author = {Srilatha Manne and Abelardo Pardo and R. Iris Bahar and Gary D. Hachtel and Fabio Somenzi and Enrico Macii and Massimo Poncino}, editor = {Bryan Preas}, title = {Computing the Maximum Power Cycles of a Sequential Circuit}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {23--28}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217501}, doi = {10.1145/217474.217501}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MannePBHSMP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/MaciiP95, author = {Enrico Macii and Massimo Poncino}, editor = {Gerald Musgrave}, title = {Predicting the functional complexity of combinational circuits by symbolic spectral analysis of Boolean functions}, booktitle = {Proceedings EURO-DAC'95, European Design Automation Conference with EURO-VHDL, Brighton, England, UK, September 18-22, 1995}, pages = {294--299}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/EURDAC.1995.527420}, doi = {10.1109/EURDAC.1995.527420}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/MaciiP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MaciiP95, author = {Enrico Macii and Massimo Poncino}, title = {Estimating worst-case power consumption of {CMOS} circuits modeled as symbolic neural networks}, booktitle = {5th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '95), March 16-18, 1995, The State University of New York at Buffalo, {USA}}, pages = {60--65}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/GLSV.1995.516025}, doi = {10.1109/GLSV.1995.516025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MaciiP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MaciiP95a, author = {Enrico Macii and Massimo Poncino}, title = {Using symbolic Rademacher-Walsh spectral transforms to evaluate the correlation between Boolean functions}, booktitle = {5th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '95), March 16-18, 1995, The State University of New York at Buffalo, {USA}}, pages = {112}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/GLSV.1995.516035}, doi = {10.1109/GLSV.1995.516035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MaciiP95a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/ChoHMPS94, author = {Hyunwoo Cho and Gary D. Hachtel and Enrico Macii and Massimo Poncino and Fabio Somenzi}, editor = {Robert Werner}, title = {A State Space Decomposition Algorithm for Approximate {FSM} Traversal}, booktitle = {{EDAC} - The European Conference on Design Automation, {ETC} - European Test Conference, {EUROASIC} - The European Event in {ASIC} Design, Proceedings, February 28 - March 3, 1994, Paris, France}, pages = {137--141}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/EDTC.1994.326885}, doi = {10.1109/EDTC.1994.326885}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/ChoHMPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BaharHPPS94, author = {R. Iris Bahar and Gary D. Hachtel and Abelardo Pardo and Massimo Poncino and Fabio Somenzi}, title = {An ADD-based algorithm for shortest path back-tracing of large graphs}, booktitle = {Fourth Great Lakes Symposium on Design Automation of High Performance {VLSI} Systems, {GLSV} '94, Notre Dame, IN, USA, March 4-5, 1994}, pages = {248--251}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/GLSV.1994.289960}, doi = {10.1109/GLSV.1994.289960}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/BaharHPPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HachtelRPPS94, author = {Gary D. Hachtel and Mariano Hermida de la Rica and Abelardo Pardo and Massimo Poncino and Fabio Somenzi}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Re-encoding sequential circuits to reduce power dissipation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {70--73}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629746}, doi = {10.1109/ICCAD.1994.629746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HachtelRPPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoHMPS94, author = {Hyunwoo Cho and Gary D. Hachtel and Enrico Macii and Massimo Poncino and Fabio Somenzi}, title = {A Structural Approach to State Space Decomposition for Approximate Reachability Analysis}, booktitle = {Proceedings 1994 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '94, Cambridge, MA, USA, October 10-12, 1994}, pages = {236--239}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICCD.1994.331896}, doi = {10.1109/ICCD.1994.331896}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoHMPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LioyP93, author = {Antonio Lioy and Massimo Poncino}, title = {A study of the resetability of synchronous sequential circuits}, journal = {Microprocess. Microprogramming}, volume = {38}, number = {1-5}, pages = {395--402}, year = {1993}, url = {https://doi.org/10.1016/0165-6074(93)90173-I}, doi = {10.1016/0165-6074(93)90173-I}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/LioyP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LioyP93, author = {Antonio Lioy and Massimo Poncino}, title = {On the Resetability of Synchronous Sequential Circuits}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {1507--1510}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LioyP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LioyP91, author = {Antonio Lioy and Massimo Poncino}, title = {A hierarchical multi-level test generation system}, booktitle = {First Great Lakes Symposium on VLSI, 1991, Kalamazoo, MI, USA, March 1-2, 1991}, pages = {54--59}, publisher = {{IEEE}}, year = {1991}, url = {https://doi.org/10.1109/GLSV.1991.143942}, doi = {10.1109/GLSV.1991.143942}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LioyP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.