BibTeX records: Gi-Joon Nam

download as .bib file

@inproceedings{DBLP:conf/isvlsi/FuTXNH23,
  author       = {Chunkai Fu and
                  Ben Trombley and
                  Hua Xiang and
                  Gi{-}Joon Nam and
                  Jiang Hu},
  title        = {Machine Learning Techniques for Pre-CTS Identification of Timing Critical
                  Flip-Flops},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2023, Foz
                  do Iguacu, Brazil, June 20-23, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISVLSI59464.2023.10238658},
  doi          = {10.1109/ISVLSI59464.2023.10238658},
  timestamp    = {Wed, 13 Sep 2023 08:43:37 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/FuTXNH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nips/HuLKNMAX23,
  author       = {Yuting Hu and
                  Jiajie Li and
                  Florian Klemme and
                  Gi{-}Joon Nam and
                  Tengfei Ma and
                  Hussam Amrouch and
                  Jinjun Xiong},
  editor       = {Alice Oh and
                  Tristan Naumann and
                  Amir Globerson and
                  Kate Saenko and
                  Moritz Hardt and
                  Sergey Levine},
  title        = {SyncTREE: Fast Timing Analysis for Integrated Circuit Design through
                  a Physics-informed Tree-based Graph Neural Network},
  booktitle    = {Advances in Neural Information Processing Systems 36: Annual Conference
                  on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans,
                  LA, USA, December 10 - 16, 2023},
  year         = {2023},
  url          = {http://papers.nips.cc/paper\_files/paper/2023/hash/435e8fbbfc2c6072d4f3a5cb6e56a39a-Abstract-Conference.html},
  timestamp    = {Wed, 17 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nips/HuLKNMAX23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/supercomputer/LiuECMSJNK23,
  author       = {Tingkai Liu and
                  Marquita Ellis and
                  Carlos H. A. Costa and
                  Claudia Misale and
                  Sara Kokkila Schumacher and
                  Jinwook Jung and
                  Gi{-}Joon Nam and
                  Volodymyr V. Kindratenko},
  editor       = {Amanda Bienz and
                  Mich{\`{e}}le Weiland and
                  Marc Baboulin and
                  Carola Kruse},
  title        = {Cloud-Bursting and Autoscaling for Python-Native Scientific Workflows
                  Using Ray},
  booktitle    = {High Performance Computing - {ISC} High Performance 2023 International
                  Workshops, Hamburg, Germany, May 21-25, 2023, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {13999},
  pages        = {207--220},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-3-031-40843-4\_16},
  doi          = {10.1007/978-3-031-40843-4\_16},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/supercomputer/LiuECMSJNK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiangXPRRNH22,
  author       = {Rongjian Liang and
                  Hua Xiang and
                  Diwesh Pandey and
                  Lakshmi N. Reddy and
                  Shyam Ramji and
                  Gi{-}Joon Nam and
                  Jiang Hu},
  title        = {Design Rule Violation Prediction at Sub-10-nm Process Nodes Using
                  Customized Convolutional Networks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {10},
  pages        = {3503--3514},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3126676},
  doi          = {10.1109/TCAD.2021.3126676},
  timestamp    = {Thu, 02 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LiangXPRRNH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiangXJHN22,
  author       = {Rongjian Liang and
                  Hua Xiang and
                  Jinwook Jung and
                  Jiang Hu and
                  Gi{-}Joon Nam},
  editor       = {Tulika Mitra and
                  Evangeline F. Y. Young and
                  Jinjun Xiong},
  title        = {A Stochastic Approach to Handle Non-Determinism in Deep Learning-Based
                  Design Rule Violation Predictions},
  booktitle    = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022
                  - 3 November 2022},
  pages        = {64:1--64:8},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508352.3549347},
  doi          = {10.1145/3508352.3549347},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiangXJHN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChaudhuriTJNC21,
  author       = {Arjun Chaudhuri and
                  Jonti Talukdar and
                  Jinwook Jung and
                  Gi{-}Joon Nam and
                  Krishnendu Chakrabarty},
  title        = {Fault-Criticality Assessment for {AI} Accelerators using Graph Convolutional
                  Networks},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1596--1599},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474128},
  doi          = {10.23919/DATE51398.2021.9474128},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChaudhuriTJNC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiangJXRLHN21,
  author       = {Rongjian Liang and
                  Jinwook Jung and
                  Hua Xiang and
                  Lakshmi N. Reddy and
                  Alexey Lvov and
                  Jiang Hu and
                  Gi{-}Joon Nam},
  title        = {FlowTuner: {A} Multi-Stage {EDA} Flow Tuner Exploiting Parameter Knowledge
                  Transfer},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643564},
  doi          = {10.1109/ICCAD51958.2021.9643564},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiangJXRLHN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HuangTNC20,
  author       = {Chau{-}Chin Huang and
                  Gustavo E. T{\'{e}}llez and
                  Gi{-}Joon Nam and
                  Yao{-}Wen Chang},
  title        = {Latch Clustering for Timing-Power Co-Optimization},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218617},
  doi          = {10.1109/DAC18072.2020.9218617},
  timestamp    = {Wed, 14 Oct 2020 10:56:17 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HuangTNC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/XiangNTRX20,
  author       = {Hua Xiang and
                  Gi{-}Joon Nam and
                  Gustavo E. T{\'{e}}llez and
                  Shyam Ramji and
                  Xiaoqing Xu},
  title        = {Self-Aligned Double-Patterning Aware Legalization},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1145--1150},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116527},
  doi          = {10.23919/DATE48585.2020.9116527},
  timestamp    = {Thu, 02 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/XiangNTRX20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiangXJCCHXN20,
  author       = {Rongjian Liang and
                  Zhiyao Xie and
                  Jinwook Jung and
                  Vishnavi Chauha and
                  Yiran Chen and
                  Jiang Hu and
                  Hua Xiang and
                  Gi{-}Joon Nam},
  title        = {Routing-Free Crosstalk Prediction},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {163:1--163:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415712},
  doi          = {10.1145/3400302.3415712},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiangXJCCHXN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/LiangXPRRNH20,
  author       = {Rongjian Liang and
                  Hua Xiang and
                  Diwesh Pandey and
                  Lakshmi N. Reddy and
                  Shyam Ramji and
                  Gi{-}Joon Nam and
                  Jiang Hu},
  editor       = {William Swartz and
                  Jens Lienig},
  title        = {{DRC} Hotspot Prediction at Sub-10nm Process Nodes Using Customized
                  Convolutional Network},
  booktitle    = {{ISPD} 2020: International Symposium on Physical Design, Taipei, Taiwan,
                  March 29 - April 1, 2020, delayed to September 20-23, 2020},
  pages        = {135--142},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3372780.3375560},
  doi          = {10.1145/3372780.3375560},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/LiangXPRRNH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChenJSCN20,
  author       = {Siyuan Chen and
                  Jinwook Jung and
                  Peilin Song and
                  Krishnendu Chakrabarty and
                  Gi{-}Joon Nam},
  title        = {BISTLock: Efficient {IP} Piracy Protection using {BIST}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC,
                  USA, November 1-6, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC44778.2020.9325210},
  doi          = {10.1109/ITC44778.2020.9325210},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChenJSCN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2006-06806,
  author       = {Benjamin Tan and
                  Ramesh Karri and
                  Nimisha Limaye and
                  Abhrajit Sengupta and
                  Ozgur Sinanoglu and
                  Md. Moshiur Rahman and
                  Swarup Bhunia and
                  Danielle Duvalsaint and
                  Ronald D. Blanton and
                  Amin Rezaei and
                  Yuanqi Shen and
                  Hai Zhou and
                  Leon Li and
                  Alex Orailoglu and
                  Zhaokun Han and
                  Austin Benedetti and
                  Luciano Brignone and
                  Muhammad Yasin and
                  Jeyavijayan Rajendran and
                  Michael Zuzak and
                  Ankur Srivastava and
                  Ujjwal Guin and
                  Chandan Karfa and
                  Kanad Basu and
                  Vivek V. Menon and
                  Matthew French and
                  Peilin Song and
                  Franco Stellari and
                  Gi{-}Joon Nam and
                  Peter Gadfort and
                  Alric Althoff and
                  Joseph Tostenrude and
                  Saverio Fazzari and
                  Eric Breckenfeld and
                  Kenneth Plaks},
  title        = {Benchmarking at the Frontier of Hardware Security: Lessons from Logic
                  Locking},
  journal      = {CoRR},
  volume       = {abs/2006.06806},
  year         = {2020},
  url          = {https://arxiv.org/abs/2006.06806},
  eprinttype    = {arXiv},
  eprint       = {2006.06806},
  timestamp    = {Wed, 06 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2006-06806.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/JungNCS19,
  author       = {Jinwook Jung and
                  Gi{-}Joon Nam and
                  Woohyun Chung and
                  Youngsoo Shin},
  title        = {Integrated Latch Placement and Cloning for Timing Optimization},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {24},
  number       = {2},
  pages        = {22:1--22:17},
  year         = {2019},
  url          = {https://doi.org/10.1145/3301613},
  doi          = {10.1145/3301613},
  timestamp    = {Fri, 10 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/JungNCS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ChangLJN19,
  author       = {Ya{-}Chu Chang and
                  Tung{-}Wei Lin and
                  Iris Hui{-}Ru Jiang and
                  Gi{-}Joon Nam},
  editor       = {Ismail Bustany and
                  William Swartz},
  title        = {Graceful Register Clustering by Effective Mean Shift Algorithm for
                  Power and Timing Balancing},
  booktitle    = {Proceedings of the 2019 International Symposium on Physical Design,
                  {ISPD} 2019, San Francisco, CA, USA, April 14-17, 2019},
  pages        = {11--18},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3299902.3309753},
  doi          = {10.1145/3299902.3309753},
  timestamp    = {Thu, 11 Apr 2019 09:48:44 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/ChangLJN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/OzdalNM18,
  author       = {Mustafa Ozdal and
                  Gi{-}Joon Nam and
                  Debbie Marr},
  title        = {Guest Editors' Introduction: Hardware Accelerators for Data Centers},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {1},
  pages        = {5--6},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2017.2779981},
  doi          = {10.1109/MDAT.2017.2779981},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/OzdalNM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JungNRJS18,
  author       = {Jinwook Jung and
                  Gi{-}Joon Nam and
                  Lakshmi N. Reddy and
                  Iris Hui{-}Ru Jiang and
                  Youngsoo Shin},
  title        = {{OWARU:} Free Space-Aware Timing-Driven Incremental Placement With
                  Critical Path Smoothing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {9},
  pages        = {1825--1838},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2774277},
  doi          = {10.1109/TCAD.2017.2774277},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JungNRJS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JungJCLLKN18,
  author       = {Jinwook Jung and
                  Iris Hui{-}Ru Jiang and
                  Jianli Chen and
                  Shih{-}Ting Lin and
                  Yih{-}Lang Li and
                  Victor N. Kravets and
                  Gi{-}Joon Nam},
  editor       = {Iris Bahar},
  title        = {{DATC} {RDF:} an academic flow from logic synthesis to detailed routing},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018},
  pages        = {37},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3240765.3272126},
  doi          = {10.1145/3240765.3272126},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JungJCLLKN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/HuZWQRTN18,
  author       = {Jiang Hu and
                  Ying Zhou and
                  Yaoguang Wei and
                  Stephen T. Quay and
                  Lakshmi N. Reddy and
                  Gustavo E. T{\'{e}}llez and
                  Gi{-}Joon Nam},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {Interconnect Optimization Considering Multiple Critical Paths},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {132--138},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3178237},
  doi          = {10.1145/3177540.3178237},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/HuZWQRTN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/LvovTN18,
  author       = {Alexey Lvov and
                  Gustavo E. T{\'{e}}llez and
                  Gi{-}Joon Nam},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {On Coloring and Colorability Analysis of Integrated Circuits with
                  Triple and Quadruple Patterning Techniques},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {152--159},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3178241},
  doi          = {10.1145/3177540.3178241},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/LvovTN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/YuHNCKSCM18,
  author       = {Cunxi Yu and
                  Chau{-}Chin Huang and
                  Gi{-}Joon Nam and
                  Mihir Choudhury and
                  Victor N. Kravets and
                  Andrew Sullivan and
                  Maciej J. Ciesielski and
                  Giovanni De Micheli},
  title        = {End-to-End Industrial Study of Retiming},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {203--208},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00046},
  doi          = {10.1109/ISVLSI.2018.00046},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/YuHNCKSCM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1810-01078,
  author       = {Jinwook Jung and
                  Iris Hui{-}Ru Jiang and
                  Jianli Chen and
                  Shih{-}Ting Lin and
                  Yih{-}Lang Li and
                  Victor N. Kravets and
                  Gi{-}Joon Nam},
  title        = {{DATC} {RDF:} An Open Design Flow from Logic Synthesis to Detailed
                  Routing},
  journal      = {CoRR},
  volume       = {abs/1810.01078},
  year         = {2018},
  url          = {http://arxiv.org/abs/1810.01078},
  eprinttype    = {arXiv},
  eprint       = {1810.01078},
  timestamp    = {Tue, 30 Oct 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1810-01078.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pvldb/LeeKYCHNNJ17,
  author       = {Jinho Lee and
                  Heesu Kim and
                  Sungjoo Yoo and
                  Kiyoung Choi and
                  H. Peter Hofstee and
                  Gi{-}Joon Nam and
                  Mark Nutter and
                  Damir A. Jamsek},
  title        = {ExtraV: Boosting Graph Processing Near Storage with a Coherent Accelerator},
  journal      = {Proc. {VLDB} Endow.},
  volume       = {10},
  number       = {12},
  pages        = {1706--1717},
  year         = {2017},
  url          = {http://www.vldb.org/pvldb/vol10/p1706-lee.pdf},
  doi          = {10.14778/3137765.3137776},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pvldb/LeeKYCHNNJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JungLWDJKBLN17,
  author       = {Jinwook Jung and
                  Pei{-}Yu Lee and
                  Yan{-}Shiun Wu and
                  Nima Karimpour Darav and
                  Iris Hui{-}Ru Jiang and
                  Victor N. Kravets and
                  Laleh Behjat and
                  Yih{-}Lang Li and
                  Gi{-}Joon Nam},
  editor       = {Sri Parameswaran},
  title        = {{DATC} {RDF:} Robust design flow database: Invited paper},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {872--873},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8203871},
  doi          = {10.1109/ICCAD.2017.8203871},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JungLWDJKBLN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JungNRJS16,
  author       = {Jinwook Jung and
                  Gi{-}Joon Nam and
                  Lakshmi N. Reddy and
                  Iris Hui{-}Ru Jiang and
                  Youngsoo Shin},
  editor       = {Frank Liu},
  title        = {{OWARU:} free space-aware timing-driven incremental placement},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967062},
  doi          = {10.1145/2966986.2967062},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JungNRJS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JungJNKBL16,
  author       = {Jinwook Jung and
                  Iris Hui{-}Ru Jiang and
                  Gi{-}Joon Nam and
                  Victor N. Kravets and
                  Laleh Behjat and
                  Yih{-}Lang Li},
  editor       = {Frank Liu},
  title        = {OpenDesign flow database: the infrastructure for {VLSI} design and
                  design automation research},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {42},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2980074},
  doi          = {10.1145/2966986.2980074},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JungJNKBL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ibmrd/ChangJHJN15,
  author       = {Hua{-}Yu Chang and
                  Iris Hui{-}Ru Jiang and
                  H. Peter Hofstee and
                  Damir A. Jamsek and
                  Gi{-}Joon Nam},
  title        = {Feature detection for image analytics via {FPGA} acceleration},
  journal      = {{IBM} J. Res. Dev.},
  volume       = {59},
  number       = {2/3},
  year         = {2015},
  url          = {https://doi.org/10.1147/JRD.2015.2398631},
  doi          = {10.1147/JRD.2015.2398631},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ibmrd/ChangJHJN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AkopyanSCAAMIND15,
  author       = {Filipp Akopyan and
                  Jun Sawada and
                  Andrew Cassidy and
                  Rodrigo Alvarez{-}Icaza and
                  John V. Arthur and
                  Paul Merolla and
                  Nabil Imam and
                  Yutaka Y. Nakamura and
                  Pallab Datta and
                  Gi{-}Joon Nam and
                  Brian Taba and
                  Michael P. Beakes and
                  Bernard Brezzo and
                  Jente B. Kuang and
                  Rajit Manohar and
                  William P. Risk and
                  Bryan L. Jackson and
                  Dharmendra S. Modha},
  title        = {TrueNorth: Design and Tool Flow of a 65 mW 1 Million Neuron Programmable
                  Neurosynaptic Chip},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {10},
  pages        = {1537--1557},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2474396},
  doi          = {10.1109/TCAD.2015.2474396},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AkopyanSCAAMIND15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KahngLNNPR15,
  author       = {Andrew B. Kahng and
                  Mulong Luo and
                  Gi{-}Joon Nam and
                  Siddhartha Nath and
                  David Z. Pan and
                  Gabriel Robins},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {Toward Metrics of Design Automation Research Impact},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {263--270},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372579},
  doi          = {10.1109/ICCAD.2015.7372579},
  timestamp    = {Mon, 26 Jun 2023 16:43:56 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KahngLNNPR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/DuttMN14,
  author       = {Shantanu Dutt and
                  Dinesh P. Mehta and
                  Gi{-}Joon Nam},
  title        = {New Algorithmic Techniques for Complex {EDA} Problems},
  journal      = {{VLSI} Design},
  volume       = {2014},
  pages        = {134946:1--134946:2},
  year         = {2014},
  url          = {https://doi.org/10.1155/2014/134946},
  doi          = {10.1155/2014/134946},
  timestamp    = {Wed, 16 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/DuttMN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NassifNHF14,
  author       = {Sani R. Nassif and
                  Gi{-}Joon Nam and
                  Jerry Hayes and
                  Sani Fakhouri},
  title        = {Applying {VLSI} {EDA} to energy distribution system design},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {91--96},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742872},
  doi          = {10.1109/ASPDAC.2014.6742872},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/NassifNHF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JiangNCNH14,
  author       = {Iris Hui{-}Ru Jiang and
                  Gi{-}Joon Nam and
                  Hua{-}Yu Chang and
                  Sani R. Nassif and
                  Jerry Hayes},
  editor       = {Yao{-}Wen Chang},
  title        = {Smart grid load balancing techniques via simultaneous switch/tie-line/wire
                  configurations},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {382--388},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001380},
  doi          = {10.1109/ICCAD.2014.7001380},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JiangNCNH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/NamN14,
  author       = {Gi{-}Joon Nam and
                  Sani R. Nassif},
  editor       = {Cliff C. N. Sze and
                  Azadeh Davoodi},
  title        = {Opportunities in power distribution network system optimization: from
                  {EDA} perspective},
  booktitle    = {International Symposium on Physical Design, ISPD'14, Petaluma, CA,
                  USA, March 30 - April 02, 2014},
  pages        = {149--150},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2560519.2565875},
  doi          = {10.1145/2560519.2565875},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/NamN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NassifNB13,
  author       = {Sani R. Nassif and
                  Gi{-}Joon Nam and
                  Shayak Banerjee},
  title        = {Wire delay variability in nanoscale technology and its impact on physical
                  design},
  booktitle    = {International Symposium on Quality Electronic Design, {ISQED} 2013,
                  Santa Clara, CA, USA, March 4-6, 2013},
  pages        = {591--596},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISQED.2013.6523671},
  doi          = {10.1109/ISQED.2013.6523671},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/NassifNB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/RamalingamSNNOP12,
  author       = {Anand Ramalingam and
                  Ashish Kumar Singh and
                  Sani R. Nassif and
                  Gi{-}Joon Nam and
                  Michael Orshansky and
                  David Z. Pan},
  title        = {An accurate sparse-matrix based framework for statistical static timing
                  analysis},
  journal      = {Integr.},
  volume       = {45},
  number       = {4},
  pages        = {365--375},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.vlsi.2011.03.002},
  doi          = {10.1016/J.VLSI.2011.03.002},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/RamalingamSNNOP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiANSVZ12,
  author       = {Zhuo Li and
                  Charles J. Alpert and
                  Gi{-}Joon Nam and
                  Cliff C. N. Sze and
                  Natarajan Viswanathan and
                  Nancy Y. Zhou},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Guiding a physical design closure system to produce easier-to-route
                  designs with more predictable timing},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {465--470},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228442},
  doi          = {10.1145/2228360.2228442},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiANSVZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlpertLNSVW12,
  author       = {Charles J. Alpert and
                  Zhuo Li and
                  Gi{-}Joon Nam and
                  Chin Ngai Sze and
                  Natarajan Viswanathan and
                  Samuel I. Ward},
  editor       = {Alan J. Hu},
  title        = {Placement: Hot or Not?},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {283--290},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429442},
  doi          = {10.1145/2429384.2429442},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AlpertLNSVW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/PapaASLVNM11,
  author       = {David A. Papa and
                  Charles J. Alpert and
                  Cliff C. N. Sze and
                  Zhuo Li and
                  Natarajan Viswanathan and
                  Gi{-}Joon Nam and
                  Igor L. Markov},
  title        = {Physical Synthesis with Clock-Network Optimization for Large Systems
                  on Chips},
  journal      = {{IEEE} Micro},
  volume       = {31},
  number       = {4},
  pages        = {51--62},
  year         = {2011},
  url          = {https://doi.org/10.1109/MM.2011.41},
  doi          = {10.1109/MM.2011.41},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/PapaASLVNM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PaikNS11,
  author       = {Seungwhun Paik and
                  Gi{-}Joon Nam and
                  Youngsoo Shin},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Implementation of pulsed-latch and pulsed-register circuits to minimize
                  clocking power},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {640--646},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105397},
  doi          = {10.1109/ICCAD.2011.6105397},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PaikNS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ViswanathanASLNR11,
  author       = {Natarajan Viswanathan and
                  Charles J. Alpert and
                  Cliff C. N. Sze and
                  Zhuo Li and
                  Gi{-}Joon Nam and
                  Jarrod A. Roy},
  editor       = {Yao{-}Wen Chang and
                  Jiang Hu},
  title        = {The {ISPD-2011} routability-driven placement contest and benchmark
                  suite},
  booktitle    = {Proceedings of the 2011 International Symposium on Physical Design,
                  {ISPD} 2011, Santa Barbara, California, USA, March 27-30, 2011},
  pages        = {141--146},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1960397.1960429},
  doi          = {10.1145/1960397.1960429},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ViswanathanASLNR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ShinDLALNH11,
  author       = {Jeonghee Shin and
                  John A. Darringer and
                  Guojie Luo and
                  Merav Aharoni and
                  Alexey Lvov and
                  Gi{-}Joon Nam and
                  Michael B. Healy},
  title        = {Floorplanning challenges in early chip planning},
  booktitle    = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan,
                  September 26-28, 2011},
  pages        = {388--393},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/SOCC.2011.6085096},
  doi          = {10.1109/SOCC.2011.6085096},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ShinDLALNH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/NamS10,
  author       = {Gi{-}Joon Nam and
                  Prashant Saxena},
  title        = {Guest Editorial},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {2},
  pages        = {169--170},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2009.2037283},
  doi          = {10.1109/TCAD.2009.2037283},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/NamS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JindalAHLNW10,
  author       = {Tanuj Jindal and
                  Charles J. Alpert and
                  Jiang Hu and
                  Zhuo Li and
                  Gi{-}Joon Nam and
                  Charles B. Winn},
  editor       = {Sachin S. Sapatnekar},
  title        = {Detecting tangled logic structures in {VLSI} netlists},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {603--608},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837422},
  doi          = {10.1145/1837274.1837422},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JindalAHLNW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TaghaviLANHR10,
  author       = {Taraneh Taghavi and
                  Zhuo Li and
                  Charles J. Alpert and
                  Gi{-}Joon Nam and
                  Andrew D. Huber and
                  Shyam Ramji},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {New placement prediction and mitigation techniques for local routing
                  congestion},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {621--624},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5654225},
  doi          = {10.1109/ICCAD.2010.5654225},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/TaghaviLANHR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChuangNACRV10,
  author       = {Yi{-}Lin Chuang and
                  Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Yao{-}Wen Chang and
                  Jarrod A. Roy and
                  Natarajan Viswanathan},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {Design-hierarchy aware mixed-size placement for routability optimization},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {663--668},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5654234},
  doi          = {10.1109/ICCAD.2010.5654234},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChuangNACRV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/AlpertLMNRT10,
  author       = {Charles J. Alpert and
                  Zhuo Li and
                  Michael D. Moffitt and
                  Gi{-}Joon Nam and
                  Jarrod A. Roy and
                  Gustavo E. T{\'{e}}llez},
  editor       = {Prashant Saxena and
                  Yao{-}Wen Chang},
  title        = {What makes a design difficult to route},
  booktitle    = {Proceedings of the 2010 International Symposium on Physical Design,
                  {ISPD} 2010, San Francisco, California, USA, March 14-17, 2010},
  pages        = {7--12},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1735023.1735028},
  doi          = {10.1145/1735023.1735028},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/AlpertLMNRT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ViswanathanNRLARC10,
  author       = {Natarajan Viswanathan and
                  Gi{-}Joon Nam and
                  Jarrod A. Roy and
                  Zhuo Li and
                  Charles J. Alpert and
                  Shyam Ramji and
                  Chris Chu},
  editor       = {Prashant Saxena and
                  Yao{-}Wen Chang},
  title        = {{ITOP:} integrating timing optimization within placement},
  booktitle    = {Proceedings of the 2010 International Symposium on Physical Design,
                  {ISPD} 2010, San Francisco, California, USA, March 14-17, 2010},
  pages        = {83--90},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1735023.1735048},
  doi          = {10.1145/1735023.1735048},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ViswanathanNRLARC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RoyVNAM09,
  author       = {Jarrod A. Roy and
                  Natarajan Viswanathan and
                  Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Igor L. Markov},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{CRISP:} Congestion reduction by iterated spreading during placement},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {357--362},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687467},
  doi          = {10.1145/1687399.1687467},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/RoyVNAM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/SzeRNA09,
  author       = {Cliff N. Sze and
                  Phillip J. Restle and
                  Gi{-}Joon Nam and
                  Charles J. Alpert},
  editor       = {Gi{-}Joon Nam and
                  Prashant Saxena},
  title        = {Ispd2009 clock network synthesis contest},
  booktitle    = {Proceedings of the 2009 International Symposium on Physical Design,
                  {ISPD} 2009, San Diego, California, USA, March 29 - April 1, 2009},
  pages        = {149--150},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1514932.1514965},
  doi          = {10.1145/1514932.1514965},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/SzeRNA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ispd/2009,
  editor       = {Gi{-}Joon Nam and
                  Prashant Saxena},
  title        = {Proceedings of the 2009 International Symposium on Physical Design,
                  {ISPD} 2009, San Diego, California, USA, March 29 - April 1, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1514932},
  doi          = {10.1145/1514932},
  isbn         = {978-1-60558-449-2},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PanN08,
  author       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {Guest Editorial},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2105--2106},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.2008917},
  doi          = {10.1109/TCAD.2008.2008917},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PanN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PapaLMSLNAM08,
  author       = {David A. Papa and
                  Tao Luo and
                  Michael D. Moffitt and
                  Chin Ngai Sze and
                  Zhuo Li and
                  Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Igor L. Markov},
  title        = {{RUMBLE:} An Incremental Timing-Driven Physical-Synthesis Optimization
                  Algorithm},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2156--2168},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.2006155},
  doi          = {10.1109/TCAD.2008.2006155},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PapaLMSLNAM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/PapaLMSLNAM08,
  author       = {David A. Papa and
                  Tao Luo and
                  Michael D. Moffitt and
                  Chin Ngai Sze and
                  Zhuo Li and
                  Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Igor L. Markov},
  editor       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {{RUMBLE:} an incremental, timing-driven, physical-synthesis optimization
                  algorithm},
  booktitle    = {Proceedings of the 2008 International Symposium on Physical Design,
                  {ISPD} 2008, Portland, Oregon, USA, April 13-16, 2008},
  pages        = {2--9},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1353629.1353633},
  doi          = {10.1145/1353629.1353633},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/PapaLMSLNAM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/NamSY08,
  author       = {Gi{-}Joon Nam and
                  Cliff C. N. Sze and
                  Mehmet Can Yildiz},
  editor       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {The {ISPD} global routing benchmark suite},
  booktitle    = {Proceedings of the 2008 International Symposium on Physical Design,
                  {ISPD} 2008, Portland, Oregon, USA, April 13-16, 2008},
  pages        = {156--159},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1353629.1353663},
  doi          = {10.1145/1353629.1353663},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/NamSY08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ispd/2008,
  editor       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {Proceedings of the 2008 International Symposium on Physical Design,
                  {ISPD} 2008, Portland, Oregon, USA, April 13-16, 2008},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1353629},
  doi          = {10.1145/1353629},
  isbn         = {978-1-60558-048-7},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/crc/NamV08,
  author       = {Gi{-}Joon Nam and
                  Paul G. Villarrubia},
  editor       = {Charles J. Alpert and
                  Dinesh P. Mehta and
                  Sachin S. Sapatnekar},
  title        = {Placement},
  booktitle    = {Handbook of Algorithms for Physical Design Automation},
  publisher    = {Auerbach Publications},
  year         = {2008},
  url          = {https://doi.org/10.1201/9781420013481.ch14},
  doi          = {10.1201/9781420013481.CH14},
  timestamp    = {Mon, 26 Oct 2020 09:04:39 +0100},
  biburl       = {https://dblp.org/rec/reference/crc/NamV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/AlpertK0NQRSVY07,
  author       = {Charles J. Alpert and
                  Shrirang K. Karandikar and
                  Zhuo Li and
                  Gi{-}Joon Nam and
                  Stephen T. Quay and
                  Haoxing Ren and
                  Cliff C. N. Sze and
                  Paul G. Villarrubia and
                  Mehmet Can Yildiz},
  title        = {Techniques for Fast Physical Synthesis},
  journal      = {Proc. {IEEE}},
  volume       = {95},
  number       = {3},
  pages        = {573--599},
  year         = {2007},
  url          = {https://doi.org/10.1109/JPROC.2006.890096},
  doi          = {10.1109/JPROC.2006.890096},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/AlpertK0NQRSVY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RenPAVN07,
  author       = {Haoxing Ren and
                  David Z. Pan and
                  Charles J. Alpert and
                  Paul G. Villarrubia and
                  Gi{-}Joon Nam},
  title        = {Diffusion-Based Placement Migration With Application on Legalization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2158--2172},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.907005},
  doi          = {10.1109/TCAD.2007.907005},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RenPAVN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RenPANV07,
  author       = {Haoxing Ren and
                  David Z. Pan and
                  Charles J. Alpert and
                  Gi{-}Joon Nam and
                  Paul G. Villarrubia},
  title        = {Hippocrates: First-Do-No-Harm Detailed Placement},
  booktitle    = {Proceedings of the 12th Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007},
  pages        = {141--146},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ASPDAC.2007.357976},
  doi          = {10.1109/ASPDAC.2007.357976},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RenPANV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BergamaschiNDPJDBANKBDH07,
  author       = {Reinaldo A. Bergamaschi and
                  Indira Nair and
                  Gero Dittmann and
                  Hiren D. Patel and
                  Geert Janssen and
                  Nagu R. Dhanwada and
                  Alper Buyuktosunoglu and
                  Emrah Acar and
                  Gi{-}Joon Nam and
                  Dorothy Kucar and
                  Pradip Bose and
                  John A. Darringer and
                  Guoling Han},
  editor       = {Soonhoi Ha and
                  Kiyoung Choi and
                  Nikil D. Dutt and
                  J{\"{u}}rgen Teich},
  title        = {Performance modeling for early analysis of multi-core systems},
  booktitle    = {Proceedings of the 5th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria,
                  September 30 - October 3, 2007},
  pages        = {209--214},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289816.1289868},
  doi          = {10.1145/1289816.1289868},
  timestamp    = {Sat, 05 Sep 2020 18:08:48 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/BergamaschiNDPJDBANKBDH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ViswanathanNAVRC07,
  author       = {Natarajan Viswanathan and
                  Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Paul Villarrubia and
                  Haoxing Ren and
                  Chris C. N. Chu},
  title        = {{RQL:} Global Placement via Relaxed Quadratic Spreading and Linearization},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {453--458},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278599},
  doi          = {10.1145/1278480.1278599},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ViswanathanNAVRC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/NamYPM07,
  author       = {Gi{-}Joon Nam and
                  Mehmet Can Yildiz and
                  David Z. Pan and
                  Patrick H. Madden},
  editor       = {Patrick H. Madden and
                  David Z. Pan},
  title        = {{ISPD} placement contest updates and {ISPD} 2007 global routing contest},
  booktitle    = {Proceedings of the 2007 International Symposium on Physical Design,
                  {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007},
  pages        = {167},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231996.1232029},
  doi          = {10.1145/1231996.1232029},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/NamYPM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/AlpertKLNQRSVY07,
  author       = {Charles J. Alpert and
                  Shrirang K. Karandikar and
                  Zhuo Li and
                  Gi{-}Joon Nam and
                  Stephen T. Quay and
                  Haoxing Ren and
                  Cliff C. N. Sze and
                  Paul G. Villarrubia and
                  Mehmet Can Yildiz},
  editor       = {Andrew A. Kennings and
                  Ion I. Mandoiu},
  title        = {The nuts and bolts of physical synthesis},
  booktitle    = {The Ninth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings},
  pages        = {89--94},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231956.1231975},
  doi          = {10.1145/1231956.1231975},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/AlpertKLNQRSVY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/07/NamAV07,
  author       = {Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Paul G. Villarrubia},
  editor       = {Gi{-}Joon Nam and
                  Jason Cong},
  title        = {{ISPD} 2005/2006 Placement Benchmarks},
  booktitle    = {Modern Circuit Placement, Best Practices and Results},
  pages        = {3--12},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-0-387-68739-1\_1},
  doi          = {10.1007/978-0-387-68739-1\_1},
  timestamp    = {Thu, 21 Sep 2017 11:25:30 +0200},
  biburl       = {https://dblp.org/rec/books/sp/07/NamAV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/sp/NC2007,
  editor       = {Gi{-}Joon Nam and
                  Jason Cong},
  title        = {Modern Circuit Placement, Best Practices and Results},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-0-387-68739-1},
  doi          = {10.1007/978-0-387-68739-1},
  isbn         = {978-0-387-36837-5},
  timestamp    = {Thu, 21 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/sp/NC2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/NamRAVK06,
  author       = {Gi{-}Joon Nam and
                  Sherief Reda and
                  Charles J. Alpert and
                  Paul Villarrubia and
                  Andrew B. Kahng},
  title        = {A Fast Hierarchical Quadratic Placement Algorithm},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {4},
  pages        = {678--691},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2006.870079},
  doi          = {10.1109/TCAD.2006.870079},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/NamRAVK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RamalingamNSONP06,
  author       = {Anand Ramalingam and
                  Gi{-}Joon Nam and
                  Ashish Kumar Singh and
                  Michael Orshansky and
                  Sani R. Nassif and
                  David Z. Pan},
  editor       = {Soha Hassoun},
  title        = {An accurate sparse matrix based framework for statistical static timing
                  analysis},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {231--236},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233547},
  doi          = {10.1145/1233501.1233547},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RamalingamNSONP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/Nam06,
  author       = {Gi{-}Joon Nam},
  editor       = {Louis Scheffer},
  title        = {{ISPD} 2006 Placement Contest: Benchmark Suite and Results},
  booktitle    = {Proceedings of the 2006 International Symposium on Physical Design,
                  {ISPD} 2006, San Jose, California, USA, April 9-12, 2006},
  pages        = {167},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1123008.1123042},
  doi          = {10.1145/1123008.1123042},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/Nam06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeeNCKD05,
  author       = {Seungbae Lee and
                  Gi{-}Joon Nam and
                  Junseok Chae and
                  Hanseup Kim and
                  Alan J. Drake},
  title        = {Two-dimensional position detection system with {MEMS} accelerometers,
                  readout circuitry, and microprocessor for padless mouse applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {13},
  number       = {10},
  pages        = {1167--1178},
  year         = {2005},
  url          = {https://doi.org/10.1109/TVLSI.2005.859473},
  doi          = {10.1109/TVLSI.2005.859473},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeeNCKD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AlpertNVY05,
  author       = {Charles J. Alpert and
                  Gi{-}Joon Nam and
                  Paul Villarribua and
                  Mehmet Can Yildiz},
  editor       = {Tingao Tang},
  title        = {Placement stability metrics},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {1144--1147},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120926},
  doi          = {10.1145/1120725.1120926},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AlpertNVY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/AlpertKNRV05,
  author       = {Charles J. Alpert and
                  Andrew B. Kahng and
                  Gi{-}Joon Nam and
                  Sherief Reda and
                  Paul Villarrubia},
  editor       = {Patrick Groeneveld and
                  Louis Scheffer},
  title        = {A semi-persistent clustering technique for {VLSI} circuit placement},
  booktitle    = {Proceedings of the 2005 International Symposium on Physical Design,
                  {ISPD} 2005, San Francisco, California, USA, April 3-6, 2005},
  pages        = {200--207},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1055137.1055179},
  doi          = {10.1145/1055137.1055179},
  timestamp    = {Tue, 06 Nov 2018 11:07:46 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/AlpertKNRV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/NamAVWY05,
  author       = {Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Paul Villarrubia and
                  Bruce Winter and
                  Mehmet Can Yildiz},
  editor       = {Patrick Groeneveld and
                  Louis Scheffer},
  title        = {The {ISPD2005} placement contest and benchmark suite},
  booktitle    = {Proceedings of the 2005 International Symposium on Physical Design,
                  {ISPD} 2005, San Francisco, California, USA, April 3-6, 2005},
  pages        = {216--220},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1055137.1055182},
  doi          = {10.1145/1055137.1055182},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/NamAVWY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/NamASR04,
  author       = {Gi{-}Joon Nam and
                  Fadi A. Aloul and
                  Karem A. Sakallah and
                  Rob A. Rutenbar},
  title        = {A Comparative Study of Two Boolean Formulations of {FPGA} Detailed
                  Routing Constraints},
  journal      = {{IEEE} Trans. Computers},
  volume       = {53},
  number       = {6},
  pages        = {688--696},
  year         = {2004},
  url          = {https://doi.org/10.1109/TC.2004.1},
  doi          = {10.1109/TC.2004.1},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/NamASR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AlpertNV03,
  author       = {Charles J. Alpert and
                  Gi{-}Joon Nam and
                  Paul G. Villarrubia},
  title        = {Effective free space management for cut-based placement via analytical
                  constraint generation},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {22},
  number       = {10},
  pages        = {1343--1353},
  year         = {2003},
  url          = {https://doi.org/10.1109/TCAD.2003.818126},
  doi          = {10.1109/TCAD.2003.818126},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AlpertNV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/NamSR02,
  author       = {Gi{-}Joon Nam and
                  Karem A. Sakallah and
                  Rob A. Rutenbar},
  title        = {A new {FPGA} detailed routing approach via search-based Booleansatisfiability},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {6},
  pages        = {674--684},
  year         = {2002},
  url          = {https://doi.org/10.1109/TCAD.2002.1004311},
  doi          = {10.1109/TCAD.2002.1004311},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/NamSR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/NamSR02,
  author       = {Gi{-}Joon Nam and
                  Karem A. Sakallah and
                  Rob A. Rutenbar},
  editor       = {Manfred Glesner and
                  Peter Zipf and
                  Michel Renovell},
  title        = {Hybrid Routing for FPGAs by Integrating Boolean Satisfiability with
                  Geometric Search},
  booktitle    = {Field-Programmable Logic and Applications, Reconfigurable Computing
                  Is Going Mainstream, 12th International Conference, {FPL} 2002, Montpellier,
                  France, September 2-4, 2002, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2438},
  pages        = {360--369},
  publisher    = {Springer},
  year         = {2002},
  url          = {https://doi.org/10.1007/3-540-46117-5\_38},
  doi          = {10.1007/3-540-46117-5\_38},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/NamSR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlpertNV02,
  author       = {Charles J. Alpert and
                  Gi{-}Joon Nam and
                  Paul Villarrubia},
  editor       = {Lawrence T. Pileggi and
                  Andreas Kuehlmann},
  title        = {Free space management for cut-based placement},
  booktitle    = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided
                  Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002},
  pages        = {746--751},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1145/774572.774682},
  doi          = {10.1145/774572.774682},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AlpertNV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Nam01,
  author       = {Gi{-}Joon Nam},
  title        = {A Boolean -based layout approach and its application to {FPGA} routing},
  school       = {University of Michigan, {USA}},
  year         = {2001},
  url          = {https://hdl.handle.net/2027.42/128289},
  timestamp    = {Fri, 13 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Nam01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LeeNCKD01,
  author       = {Seungbae Lee and
                  Gi{-}Joon Nam and
                  Junseok Chae and
                  Hanseup Kim and
                  Alan J. Drake},
  title        = {Two-Dimensional Position Detection System with {MEMS} Accelerometer
                  for {MOUSE} Applications},
  booktitle    = {Proceedings of the 38th Design Automation Conference, {DAC} 2001,
                  Las Vegas, NV, USA, June 18-22, 2001},
  pages        = {852--857},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/378239.379078},
  doi          = {10.1145/378239.379078},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LeeNCKD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NamSR01,
  author       = {Gi{-}Joon Nam and
                  Karem A. Sakallah and
                  Rob A. Rutenbar},
  editor       = {Wolfgang Nebel and
                  Ahmed Jerraya},
  title        = {A boolean satisfiability-based incremental rerouting approach with
                  application to FPGAs},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2001, Munich, Germany, March 12-16, 2001},
  pages        = {560--565},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DATE.2001.915079},
  doi          = {10.1109/DATE.2001.915079},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/NamSR01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/NamASR01,
  author       = {Gi{-}Joon Nam and
                  Fadi A. Aloul and
                  Karem A. Sakallah and
                  Rob A. Rutenbar},
  editor       = {Sachin S. Sapatnekar and
                  Manfred Wiesel},
  title        = {A comparative study of two Boolean formulations of {FPGA} detailed
                  routing constraints},
  booktitle    = {Proceedings of the 2001 International Symposium on Physical Design,
                  {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001},
  pages        = {222--227},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/369691.369777},
  doi          = {10.1145/369691.369777},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/NamASR01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/NamSR99,
  author       = {Gi{-}Joon Nam and
                  Karem A. Sakallah and
                  Rob A. Rutenbar},
  editor       = {Sinan Kaptanoglu and
                  Steve Trimberger},
  title        = {Satisfiability-Based Layout Revisited: Detailed Routing of Complex
                  FPGAs vis Search-Based Boolean {SAT}},
  booktitle    = {Proceedings of the 1999 {ACM/SIGDA} Seventh International Symposium
                  on Field Programmable Gate Arrays, {FPGA} 1999, Monterey, CA, USA,
                  February 21-23, 1999},
  pages        = {167--175},
  publisher    = {{ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1145/296399.296450},
  doi          = {10.1145/296399.296450},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/NamSR99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/NamSR99,
  author       = {Gi{-}Joon Nam and
                  Karem A. Sakallah and
                  Rob A. Rutenbar},
  title        = {Satisfiability-Based Detailed {FPGA} Routing},
  booktitle    = {12th International Conference on {VLSI} Design {(VLSI} Design 1999),
                  10-13 January 1999, Goa, India},
  pages        = {574--577},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICVD.1999.745216},
  doi          = {10.1109/ICVD.1999.745216},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/NamSR99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}