Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Debdeep Mukhopadhyay
@article{DBLP:journals/tc/SadhukhanSPBM24, author = {Rajat Sadhukhan and Sayandeep Saha and Sudipta Paria and Swarup Bhunia and Debdeep Mukhopadhyay}, title = {{VALIANT:} An {EDA} Flow for Side-Channel Leakage Evaluation and Tailored Protection}, journal = {{IEEE} Trans. Computers}, volume = {73}, number = {2}, pages = {436--450}, year = {2024}, url = {https://doi.org/10.1109/TC.2023.3333164}, doi = {10.1109/TC.2023.3333164}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/SadhukhanSPBM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/HajraCM24, author = {Suvadeep Hajra and Siddhartha Chowdhury and Debdeep Mukhopadhyay}, title = {EstraNet: An Efficient Shift-Invariant Transformer Network for Side-Channel Analysis}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2024}, number = {1}, pages = {336--374}, year = {2024}, url = {https://doi.org/10.46586/tches.v2024.i1.336-374}, doi = {10.46586/TCHES.V2024.I1.336-374}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/HajraCM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/MishraPMCRM24, author = {Nimish Mishra and Kuheli Pratihar and Satota Mandal and Anirban Chakraborty and Ulrich R{\"{u}}hrmair and Debdeep Mukhopadhyay}, title = {CalyPSO: An Enhanced Search Optimization based Framework to Model Delay-based PUFs}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2024}, number = {1}, pages = {501--526}, year = {2024}, url = {https://doi.org/10.46586/tches.v2024.i1.501-526}, doi = {10.46586/TCHES.V2024.I1.501-526}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/MishraPMCRM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/KunduCSKMV24, author = {Suparna Kundu and Siddhartha Chowdhury and Sayandeep Saha and Angshuman Karmakar and Debdeep Mukhopadhyay and Ingrid Verbauwhede}, title = {Carry Your Fault: {A} Fault Propagation Attack on Side-Channel Protected LWE-based {KEM}}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2024}, number = {2}, pages = {844--869}, year = {2024}, url = {https://doi.org/10.46586/tches.v2024.i2.844-869}, doi = {10.46586/TCHES.V2024.I2.844-869}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/KunduCSKMV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/HajraASPM24, author = {Suvadeep Hajra and Manaar Alam and Sayandeep Saha and Stjepan Picek and Debdeep Mukhopadhyay}, title = {On the Instability of Softmax Attention-Based Deep Learning Models in Side-Channel Analysis}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {19}, pages = {514--528}, year = {2024}, url = {https://doi.org/10.1109/TIFS.2023.3326667}, doi = {10.1109/TIFS.2023.3326667}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tifs/HajraASPM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ChatterjeePHRM24, author = {Durba Chatterjee and Kuheli Pratihar and Aritra Hazra and Ulrich R{\"{u}}hrmair and Debdeep Mukhopadhyay}, title = {Systematically Quantifying Cryptanalytic Nonlinearities in Strong PUFs}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {19}, pages = {1126--1141}, year = {2024}, url = {https://doi.org/10.1109/TIFS.2023.3329438}, doi = {10.1109/TIFS.2023.3329438}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tifs/ChatterjeePHRM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acns/SinhaPM24, author = {Sayani Sinha and Sikhar Patranabis and Debdeep Mukhopadhyay}, editor = {Christina P{\"{o}}pper and Lejla Batina}, title = {Efficient Quantum-Safe Distributed {PRF} and Applications: Playing DiSE in a Quantum World}, booktitle = {Applied Cryptography and Network Security - 22nd International Conference, {ACNS} 2024, Abu Dhabi, United Arab Emirates, March 5-8, 2024, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14584}, pages = {47--78}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-54773-7\_3}, doi = {10.1007/978-3-031-54773-7\_3}, timestamp = {Tue, 05 Mar 2024 11:54:50 +0100}, biburl = {https://dblp.org/rec/conf/acns/SinhaPM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acns/GhoshADM24, author = {Soumyadyuti Ghosh and Manaar Alam and Soumyajit Dey and Debdeep Mukhopadhyay}, editor = {Christina P{\"{o}}pper and Lejla Batina}, title = {"Hello? Is There Anybody in There?" Leakage Assessment of Differential Privacy Mechanisms in Smart Metering Infrastructure}, booktitle = {Applied Cryptography and Network Security - 22nd International Conference, {ACNS} 2024, Abu Dhabi, United Arab Emirates, March 5-8, 2024, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14585}, pages = {163--189}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-54776-8\_7}, doi = {10.1007/978-3-031-54776-8\_7}, timestamp = {Tue, 05 Mar 2024 11:55:03 +0100}, biburl = {https://dblp.org/rec/conf/acns/GhoshADM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-14098, author = {Suparna Kundu and Siddhartha Chowdhury and Sayandeep Saha and Angshuman Karmakar and Debdeep Mukhopadhyay and Ingrid Verbauwhede}, title = {Carry Your Fault: {A} Fault Propagation Attack on Side-Channel Protected LWE-based {KEM}}, journal = {CoRR}, volume = {abs/2401.14098}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.14098}, doi = {10.48550/ARXIV.2401.14098}, eprinttype = {arXiv}, eprint = {2401.14098}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-14098.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11953, author = {Shubhi Shukla and Manaar Alam and Pabitra Mitra and Debdeep Mukhopadhyay}, title = {Stealing the Invisible: Unveiling Pre-Trained {CNN} Models through Adversarial Examples and Timing Side-Channels}, journal = {CoRR}, volume = {abs/2402.11953}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11953}, doi = {10.48550/ARXIV.2402.11953}, eprinttype = {arXiv}, eprint = {2402.11953}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11953.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/RoyKSSMNMKS24, author = {Prithwish Basu Roy and Johann Knechtel and Akashdeep Saha and Saideep Sreekumar and Likhitha Mankali and Mohammed Thari Nabeel and Debdeep Mukhopadhyay and Ramesh Karri and Ozgur Sinanoglu}, title = {NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {309}, year = {2024}, url = {https://eprint.iacr.org/2024/309}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/RoyKSSMNMKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/StefaniKGWAMR24, author = {Wolfgang Stefani and Fynn Kappelhoff and Martin Gruber and Yu{-}Neng Wang and Sara Achour and Debdeep Mukhopadhyay and Ulrich R{\"{u}}hrmair}, title = {Strong {PUF} Security Metrics: Sensitivity of Responses to Single Challenge Bit Flips}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {378}, year = {2024}, url = {https://eprint.iacr.org/2024/378}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/StefaniKGWAMR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/ChangKMR23, author = {Chip{-}Hong Chang and Stefan Katzenbeisser and Debdeep Mukhopadhyay and Ulrich R{\"{u}}hrmair}, title = {The {ASHES} 2021 special issue at {JCEN}}, journal = {J. Cryptogr. Eng.}, volume = {13}, number = {4}, pages = {389--390}, year = {2023}, url = {https://doi.org/10.1007/s13389-023-00338-w}, doi = {10.1007/S13389-023-00338-W}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jce/ChangKMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joc/SahaABMD23, author = {Sayandeep Saha and Manaar Alam and Arnab Bag and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {Learn from Your Faults: Leakage Assessment in Fault Attacks Using Deep Learning}, journal = {J. Cryptol.}, volume = {36}, number = {3}, pages = {19}, year = {2023}, url = {https://doi.org/10.1007/s00145-023-09462-6}, doi = {10.1007/S00145-023-09462-6}, timestamp = {Sat, 20 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joc/SahaABMD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/popets/BagTRPM23, author = {Arnab Bag and Debadrita Talapatra and Ayushi Rastogi and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {TWo-IN-one-SSE: Fast, Scalable and Storage-Efficient Searchable Symmetric Encryption for Conjunctive and Disjunctive Boolean Queries}, journal = {Proc. Priv. Enhancing Technol.}, volume = {2023}, number = {1}, pages = {115--139}, year = {2023}, url = {https://doi.org/10.56553/popets-2023-0008}, doi = {10.56553/POPETS-2023-0008}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/popets/BagTRPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PratiharCACM23, author = {Kuheli Pratihar and Urbi Chatterjee and Manaar Alam and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Birds of the Same Feather Flock Together: {A} Dual-Mode Circuit Candidate for Strong {PUF-TRNG} Functionalities}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {6}, pages = {1636--1651}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3218986}, doi = {10.1109/TC.2022.3218986}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PratiharCACM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/BagPM23, author = {Arnab Bag and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {CAMiSE: Content Addressable Memory-Integrated Searchable Encryption}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {8}, pages = {3254--3267}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2023.3279853}, doi = {10.1109/TCSI.2023.3279853}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/BagPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/ShuklaABMM23, author = {Shubhi Shukla and Manaar Alam and Sarani Bhattacharya and Pabitra Mitra and Debdeep Mukhopadhyay}, title = {"Whispering MLaaS" Exploiting Timing Channels to Compromise User Privacy in Deep Neural Networks}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2023}, number = {2}, pages = {587--613}, year = {2023}, url = {https://doi.org/10.46586/tches.v2023.i2.587-613}, doi = {10.46586/TCHES.V2023.I2.587-613}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tches/ShuklaABMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/KoleyDMSLG23, author = {Ipsita Koley and Soumyajit Dey and Debdeep Mukhopadhyay and Sachin Kumar Singh and Lavanya Lokesh and Shantaram Vishwanath Ghotgalkar}, title = {{CAD} Support for Security and Robustness Analysis of Safety-critical Automotive Software}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {7}, number = {1}, pages = {7:1--7:26}, year = {2023}, url = {https://doi.org/10.1145/3571287}, doi = {10.1145/3571287}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcps/KoleyDMSLG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/MondalGCBM23, author = {Anupam Mondal and Shreya Gangopadhyay and Durba Chatterjee and Harishma Boyapally and Debdeep Mukhopadhyay}, title = {PReFeR : Physically Related Function based Remote Attestation Protocol}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {109:1--109:23}, year = {2023}, url = {https://doi.org/10.1145/3609104}, doi = {10.1145/3609104}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/MondalGCBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/BoyapallyPM23, author = {Harishma Boyapally and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Commitments via Physically Related Functions}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {18}, pages = {1497--1508}, year = {2023}, url = {https://doi.org/10.1109/TIFS.2023.3243495}, doi = {10.1109/TIFS.2023.3243495}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tifs/BoyapallyPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/applied/BoyapallyCM23, author = {Harishma Boyapally and Chandan Kumar Chaudhary and Debdeep Mukhopadhyay}, editor = {Yanhong Annie Liu and Elad Michael Schiller}, title = {Invited Paper: Oblivious Transfer Protocol without Physical Transfer of Hardware Root-of-Trust}, booktitle = {Proceedings of the 5th workshop on Advanced tools, programming languages, and PLatforms for Implementing and Evaluating algorithms for Distributed systems, ApPLIED 2023, Orlando, FL, USA, 19 June 2023}, pages = {6:1--6:9}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3584684.3597273}, doi = {10.1145/3584684.3597273}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/applied/BoyapallyCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ashes/NairSPMK23, author = {Madhav Nair and Rajat Sadhukhan and Hammond Pearce and Debdeep Mukhopadhyay and Ramesh Karri}, editor = {Chip{-}Hong Chang and Ulrich R{\"{u}}hrmair and Lejla Batina and Domenic Forte}, title = {Netlist Whisperer: {AI} and {NLP} Fight Circuit Leakage!}, booktitle = {Proceedings of the 2023 Workshop on Attacks and Solutions in Hardware Security, {ASHES} 2023, Copenhagen, Denmark, 30 November 2023}, pages = {83--92}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3605769.3623989}, doi = {10.1145/3605769.3623989}, timestamp = {Fri, 24 Nov 2023 14:34:57 +0100}, biburl = {https://dblp.org/rec/conf/ashes/NairSPMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscml/NairSM23, author = {Madhav Nair and Rajat Sadhukhan and Debdeep Mukhopadhyay}, editor = {Shlomi Dolev and Ehud Gudes and Pascal Paillier}, title = {How Hardened is Your Hardware? Guiding ChatGPT to Generate Secure Hardware Resistant to CWEs}, booktitle = {Cyber Security, Cryptology, and Machine Learning - 7th International Symposium, {CSCML} 2023, Be'er Sheva, Israel, June 29-30, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13914}, pages = {320--336}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-34671-2\_23}, doi = {10.1007/978-3-031-34671-2\_23}, timestamp = {Thu, 29 Jun 2023 08:38:21 +0200}, biburl = {https://dblp.org/rec/conf/cscml/NairSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoSGPMR23, author = {Hao Guo and Sayandeep Saha and Vasudev Gohil and Satwik Patnaik and Debdeep Mukhopadhyay and Jeyavijayan (JV) Rajendran}, title = {ExploreFault: Identifying Exploitable Fault Models in Block Ciphers with Reinforcement Learning}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247953}, doi = {10.1109/DAC56929.2023.10247953}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuoSGPMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosp/TalapatraPM23, author = {Debadrita Talapatra and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Conjunctive Searchable Symmetric Encryption from Hard Lattices}, booktitle = {8th {IEEE} European Symposium on Security and Privacy, EuroS{\&}P 2023, Delft, Netherlands, July 3-7, 2023}, pages = {958--978}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EuroSP57164.2023.00061}, doi = {10.1109/EUROSP57164.2023.00061}, timestamp = {Mon, 07 Aug 2023 15:56:23 +0200}, biburl = {https://dblp.org/rec/conf/eurosp/TalapatraPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ChakrabortyBSM23, author = {Anirban Chakraborty and Sarani Bhattacharya and Sayandeep Saha and Debdeep Mukhopadhyay}, title = {Are Randomized Caches Truly Random? Formal Analysis of Randomized-Partitioned Caches}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {233--246}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10071041}, doi = {10.1109/HPCA56546.2023.10071041}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ChakrabortyBSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-00955, author = {Anirban Chakraborty and Sarani Bhattacharya and Sayandeep Saha and Debdeep Mukhopadhyay}, title = {A short note on the paper 'Are Randomized Caches Really Random?'}, journal = {CoRR}, volume = {abs/2304.00955}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.00955}, doi = {10.48550/ARXIV.2304.00955}, eprinttype = {arXiv}, eprint = {2304.00955}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-00955.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05172, author = {Anirban Chakraborty and Nimish Mishra and Sayandeep Saha and Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {On the Amplification of Cache Occupancy Attacks in Randomized Cache Architectures}, journal = {CoRR}, volume = {abs/2310.05172}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05172}, doi = {10.48550/ARXIV.2310.05172}, eprinttype = {arXiv}, eprint = {2310.05172}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05172.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BhattacharyaVBM23, author = {Sarani Bhattacharya and Dilip Kumar Shanmugasundaram Veeraraghavan and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {Uncovering Vulnerabilities in Smartphone Cryptography: {A} Timing Analysis of the Bouncy Castle {RSA} Implementation}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {135}, year = {2023}, url = {https://eprint.iacr.org/2023/135}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/BhattacharyaVBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/NairSM23, author = {Madhav Nair and Rajat Sadhukhan and Debdeep Mukhopadhyay}, title = {Generating Secure Hardware using ChatGPT Resistant to CWEs}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {212}, year = {2023}, url = {https://eprint.iacr.org/2023/212}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/NairSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/MishraPCM23, author = {Nimish Mishra and Kuheli Pratihar and Anirban Chakraborty and Debdeep Mukhopadhyay}, title = {Modelling Delay-based Physically Unclonable Functions through Particle Swarm Optimization}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {287}, year = {2023}, url = {https://eprint.iacr.org/2023/287}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/MishraPCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChaturvediCCM23, author = {Bhuvnesh Chaturvedi and Anirban Chakraborty and Ayantika Chatterjee and Debdeep Mukhopadhyay}, title = {vr\({}^{\mbox{2}}\)FHE- Securing {FHE} from Reaction-based Key Recovery Attacks}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {561}, year = {2023}, url = {https://eprint.iacr.org/2023/561}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChaturvediCCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/TalapatraMBPM23, author = {Debadrita Talapatra and Nimish Mishra and Arnab Bag and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {{SEC:} Fast Private Boolean Circuit Evaluation from Encrypted Look-ups}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {628}, year = {2023}, url = {https://eprint.iacr.org/2023/628}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/TalapatraMBPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/TalapatraPM23, author = {Debadrita Talapatra and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Conjunctive Searchable Symmetric Encryption from Hard Lattices}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {872}, year = {2023}, url = {https://eprint.iacr.org/2023/872}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/TalapatraPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SinghDCSCM23, author = {Animesh Singh and Smita Das and Anirban Chakraborty and Rajat Sadhukhan and Ayantika Chatterjee and Debdeep Mukhopadhyay}, title = {{FHEDA:} Efficient Circuit Synthesis with Reduced Bootstrapping for Torus {FHE}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1310}, year = {2023}, url = {https://eprint.iacr.org/2023/1310}, timestamp = {Sat, 07 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SinghDCSCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChaturvediCCM23a, author = {Bhuvnesh Chaturvedi and Anirban Chakraborty and Ayantika Chatterjee and Debdeep Mukhopadhyay}, title = {Model Stealing Attacks On FHE-based Privacy-Preserving Machine Learning through Adversarial Examples}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1665}, year = {2023}, url = {https://eprint.iacr.org/2023/1665}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ChaturvediCCM23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KunduCSKMV23, author = {Suparna Kundu and Siddhartha Chowdhury and Sayandeep Saha and Angshuman Karmakar and Debdeep Mukhopadhyay and Ingrid Verbauwhede}, title = {Carry Your Fault: {A} Fault Propagation Attack on Side-Channel Protected LWE-based {KEM}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1674}, year = {2023}, url = {https://eprint.iacr.org/2023/1674}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/KunduCSKMV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/MishraMCM23, author = {Nimish Mishra and Rahul Arvind Mool and Anirban Chakraborty and Debdeep Mukhopadhyay}, title = {Plug Your Volt: Protecting Intel Processors against Dynamic Voltage Frequency Scaling based Fault Attacks}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1679}, year = {2023}, url = {https://eprint.iacr.org/2023/1679}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/MishraMCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/HajraCM23, author = {Suvadeep Hajra and Siddhartha Chowdhury and Debdeep Mukhopadhyay}, title = {EstraNet: An Efficient Shift-Invariant Transformer Network for Side-Channel Analysis}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1860}, year = {2023}, url = {https://eprint.iacr.org/2023/1860}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/HajraCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Mukhopadhyay22, author = {Debdeep Mukhopadhyay}, title = {Cybersecurity in India}, journal = {Commun. {ACM}}, volume = {65}, number = {11}, pages = {98--102}, year = {2022}, url = {https://doi.org/10.1145/3554910}, doi = {10.1145/3554910}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/Mukhopadhyay22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/AlamSMK22, author = {Manaar Alam and Sayandeep Saha and Debdeep Mukhopadhyay and Sandip Kundu}, title = {\emph{NN-Lock}: {A} Lightweight Authorization to Prevent {IP} Threats of Deep Learning Models}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {18}, number = {3}, pages = {51:1--51:19}, year = {2022}, url = {https://doi.org/10.1145/3505634}, doi = {10.1145/3505634}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/AlamSMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/GadeCM22, author = {Swapnil Gade and Urbi Chatterjee and Debdeep Mukhopadhyay}, title = {{PAKAMAC:} {A} PUF-based Keyless Automotive Entry System with Mutual Authentication}, journal = {J. Hardw. Syst. Secur.}, volume = {6}, number = {3-4}, pages = {67--78}, year = {2022}, url = {https://doi.org/10.1007/s41635-022-00126-8}, doi = {10.1007/S41635-022-00126-8}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/GadeCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BagRPM22, author = {Arnab Bag and Debapriya Basu Roy and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {FlexiPair: An Automated Programmable Framework for Pairing Cryptosystems}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {3}, pages = {506--519}, year = {2022}, url = {https://doi.org/10.1109/TC.2021.3058345}, doi = {10.1109/TC.2021.3058345}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BagRPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SinhaSAACMKM22, author = {Soumik Sinha and Sayandeep Saha and Manaar Alam and Varun Agarwal and Ayantika Chatterjee and Anoop Mishra and Deepak Khazanchi and Debdeep Mukhopadhyay}, title = {Exploring Bitslicing Architectures for Enabling FHE-Assisted Machine Learning}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {11}, pages = {4004--4015}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3204909}, doi = {10.1109/TCAD.2022.3204909}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SinhaSAACMKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/BoyapallyMPCAMD22, author = {Harishma Boyapally and Paulson Mathew and Sikhar Patranabis and Urbi Chatterjee and Umang Agarwal and Manu Maheshwari and Soumyajit Dey and Debdeep Mukhopadhyay}, title = {Safe is the New Smart: PUF-Based Authentication for Load Modification-Resistant Smart Meters}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {19}, number = {1}, pages = {663--680}, year = {2022}, url = {https://doi.org/10.1109/TDSC.2020.2992801}, doi = {10.1109/TDSC.2020.2992801}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdsc/BoyapallyMPCAMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ChatterjeeBPCHM22, author = {Durba Chatterjee and Harishma Boyapally and Sikhar Patranabis and Urbi Chatterjee and Aritra Hazra and Debdeep Mukhopadhyay}, title = {Physically Related Functions: Exploiting Related Inputs of PUFs for Authenticated-Key Exchange}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {17}, pages = {3847--3862}, year = {2022}, url = {https://doi.org/10.1109/TIFS.2022.3214089}, doi = {10.1109/TIFS.2022.3214089}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tifs/ChatterjeeBPCHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africacrypt/HajraSAM22, author = {Suvadeep Hajra and Sayandeep Saha and Manaar Alam and Debdeep Mukhopadhyay}, editor = {Lejla Batina and Joan Daemen}, title = {TransNet: Shift Invariant Transformer Network for Side Channel Analysis}, booktitle = {Progress in Cryptology - {AFRICACRYPT} 2022: 13th International Conference on Cryptology in Africa, {AFRICACRYPT} 2022, Fes, Morocco, July 18-20, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13503}, pages = {371--396}, publisher = {Springer Nature Switzerland}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17433-9\_16}, doi = {10.1007/978-3-031-17433-9\_16}, timestamp = {Sun, 10 Dec 2023 00:28:26 +0100}, biburl = {https://dblp.org/rec/conf/africacrypt/HajraSAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascat/SahaBCM22, author = {Akashdeep Saha and Hrivu Banerjee and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, editor = {Sukanta Das and Genaro J. Mart{\'{\i}}nez}, title = {Revisiting Logic Obfuscation Using Cellular Automata}, booktitle = {Proceedings of First Asian Symposium on Cellular Automata Technology - {ASCAT} 2022, Kolkata, India, 3-5 March 2022}, series = {Advances in Intelligent Systems and Computing}, volume = {1425}, pages = {27--41}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-19-0542-1\_3}, doi = {10.1007/978-981-19-0542-1\_3}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascat/SahaBCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asianhost/SadhukhanCM22, author = {Rajat Sadhukhan and Anirban Chakraborty and Debdeep Mukhopadhyay}, title = {{FUNDAE:} Fault Template Attack on {SUNDAE-GIFT} {AEAD} Scheme}, booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2022, Singapore, Singapore, December 14-16, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AsianHOST56390.2022.10022108}, doi = {10.1109/ASIANHOST56390.2022.10022108}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asianhost/SadhukhanCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cardis/MishraCCM22, author = {Nimish Mishra and Anirban Chakraborty and Urbi Chatterjee and Debdeep Mukhopadhyay}, editor = {Ileana Buhan and Tobias Schneider}, title = {Time's a Thief of Memory - Breaking Multi-tenant Isolation in TrustZones Through Timing Based Bidirectional Covert Channels}, booktitle = {Smart Card Research and Advanced Applications - 21st International Conference, {CARDIS} 2022, Birmingham, UK, November 7-9, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13820}, pages = {3--24}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25319-5\_1}, doi = {10.1007/978-3-031-25319-5\_1}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cardis/MishraCCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/BagPM22, author = {Arnab Bag and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Work-in-Progress: CAMiSE: Content Addressable Memory-integrated Searchable Encryption}, booktitle = {International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2022, Shanghai, China, October 7-14, 2022}, pages = {21--22}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CASES55004.2022.00017}, doi = {10.1109/CASES55004.2022.00017}, timestamp = {Wed, 16 Nov 2022 21:55:16 +0100}, biburl = {https://dblp.org/rec/conf/cases/BagPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/ChangFMR22, author = {Chip{-}Hong Chang and Domenic Forte and Debdeep Mukhopadhyay and Ulrich R{\"{u}}hrmair}, editor = {Heng Yin and Angelos Stavrou and Cas Cremers and Elaine Shi}, title = {{ASHES} 2022 - 6th Workshop on Attacks and Solutions in Hardware Security}, booktitle = {Proceedings of the 2022 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2022, Los Angeles, CA, USA, November 7-11, 2022}, pages = {3545--3547}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3548606.3563763}, doi = {10.1145/3548606.3563763}, timestamp = {Sat, 17 Dec 2022 01:15:29 +0100}, biburl = {https://dblp.org/rec/conf/ccs/ChangFMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChakrabortySBRM22, author = {Anirban Chakraborty and Nikhilesh Singh and Sarani Bhattacharya and Chester Rebeiro and Debdeep Mukhopadhyay}, editor = {Rob Oshana}, title = {Timed speculative attacks exploiting store-to-load forwarding bypassing cache-based countermeasures}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {553--558}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530493}, doi = {10.1145/3489517.3530493}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChakrabortySBRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SahaCMC22, author = {Akashdeep Saha and Urbi Chatterjee and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {{DIP} Learning on CAS-Lock: Using Distinguishing Input Patterns for Attacking Logic Locking}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {688--693}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774691}, doi = {10.23919/DATE54114.2022.9774691}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/SahaCMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BeegalaRRBCM22, author = {Piyush Beegala and Debapriya Basu Roy and Prasanna Ravi and Shivam Bhasin and Anupam Chattopadhyay and Debdeep Mukhopadhyay}, editor = {Luca Cassano and Sreejit Chakravarty and Alberto Bosio}, title = {Efficient Loop Abort Fault Attacks on Supersingular Isogeny based Key Exchange {(SIKE)}}, booktitle = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2022, Austin, TX, USA, October 19-21, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DFT56152.2022.9962359}, doi = {10.1109/DFT56152.2022.9962359}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BeegalaRRBCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/GhoshCDM22, author = {Soumyadyuti Ghosh and Urbi Chatterjee and Soumyajit Dey and Debdeep Mukhopadhyay}, title = {Is the Whole lesser than its Parts? Breaking an Aggregation based Privacy aware Metering Algorithm}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {921--929}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00129}, doi = {10.1109/DSD57027.2022.00129}, timestamp = {Mon, 09 Jan 2023 17:07:14 +0100}, biburl = {https://dblp.org/rec/conf/dsd/GhoshCDM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SadhukhanSM22, author = {Rajat Sadhukhan and Sayandeep Saha and Debdeep Mukhopadhyay}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {AntiSIFA-CAD: {A} Framework to Thwart {SIFA} at the Layout Level}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {63:1--63:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549480}, doi = {10.1145/3508352.3549480}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SadhukhanSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KappelhoffRMR22, author = {Fynn Kappelhoff and Rasmus Rasche and Debdeep Mukhopadhyay and Ulrich R{\"{u}}hrmair}, title = {Strong {PUF} Security Metrics: Response Sensitivity to Small Challenge Perturbations}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806260}, doi = {10.1109/ISQED54688.2022.9806260}, timestamp = {Mon, 04 Jul 2022 17:06:19 +0200}, biburl = {https://dblp.org/rec/conf/isqed/KappelhoffRMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KaurSKM22, author = {Arshdeep Kaur and Sayandeep Saha and Chandan Karfa and Debdeep Mukhopadhyay}, title = {Corruption Exposes You: Statistical Key Recovery from Compound Logic Locking}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806219}, doi = {10.1109/ISQED54688.2022.9806219}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/KaurSKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/SadhukhanCDPM22, author = {Rajat Sadhukhan and Anirban Chakraborty and Nilanjan Datta and Sikhar Patranabis and Debdeep Mukhopadhyay}, editor = {Lejla Batina and Stjepan Picek and Mainack Mondal}, title = {Light but Tight: Lightweight Composition of Serialized S-Boxes with Diffusion Layers for Strong Ciphers}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 12th International Conference, {SPACE} 2022, Jaipur, India, December 9-12, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13783}, pages = {28--49}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-22829-2\_2}, doi = {10.1007/978-3-031-22829-2\_2}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/space/SadhukhanCDPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/GhoshDM22, author = {Soumyadyuti Ghosh and Soumyajit Dey and Debdeep Mukhopadhyay}, editor = {Lejla Batina and Stjepan Picek and Mainack Mondal}, title = {SMarT: {A} {SMT} Based Privacy Preserving Smart Meter Streaming Methodology}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 12th International Conference, {SPACE} 2022, Jaipur, India, December 9-12, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13783}, pages = {267--286}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-22829-2\_15}, doi = {10.1007/978-3-031-22829-2\_15}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/space/GhoshDM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/QuTPMK22, author = {Gang Qu and Benjamin Tan and Kuheli Pratihar and Debdeep Mukhopadhyay and Ramesh Karri}, title = {Innovation Practices Track: Security in Test and Test for Security}, booktitle = {40th {IEEE} {VLSI} Test Symposium, {VTS} 2022, San Diego, CA, USA, April 25-27, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTS52500.2021.9794269}, doi = {10.1109/VTS52500.2021.9794269}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/QuTPMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccs/2022ashes, editor = {Chip{-}Hong Chang and Ulrich R{\"{u}}hrmair and Debdeep Mukhopadhyay and Domenic Forte}, title = {Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security, {ASHES} 2022, Los Angeles, CA, USA, 11 November 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3560834}, doi = {10.1145/3560834}, isbn = {978-1-4503-9884-8}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/2022ashes.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-01113, author = {Shubhi Shukla and Manaar Alam and Sarani Bhattacharya and Debdeep Mukhopadhyay and Pabitra Mitra}, title = {On the Evaluation of User Privacy in Deep Neural Networks using Timing Side Channel}, journal = {CoRR}, volume = {abs/2208.01113}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.01113}, doi = {10.48550/ARXIV.2208.01113}, eprinttype = {arXiv}, eprint = {2208.01113}, timestamp = {Tue, 09 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-01113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-08697, author = {Manaar Alam and Shubhajit Datta and Debdeep Mukhopadhyay and Arijit Mondal and Partha Pratim Chakrabarti}, title = {Resisting Adversarial Attacks in Deep Neural Networks using Diverse Decision Boundaries}, journal = {CoRR}, volume = {abs/2208.08697}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.08697}, doi = {10.48550/ARXIV.2208.08697}, eprinttype = {arXiv}, eprint = {2208.08697}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-08697.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChatterjeeMH22, author = {Durba Chatterjee and Debdeep Mukhopadhyay and Aritra Hazra}, title = {{PAC} Learnability of iPUF Variants}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {165}, year = {2022}, url = {https://eprint.iacr.org/2022/165}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ChatterjeeMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChaturvediCCM22, author = {Bhuvnesh Chaturvedi and Anirban Chakraborty and Ayantika Chatterjee and Debdeep Mukhopadhyay}, title = {Error Leakage using Timing Channel in {FHE} Ciphertexts from {TFHE} Library}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {685}, year = {2022}, url = {https://eprint.iacr.org/2022/685}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChaturvediCCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BoyapallyPM22, author = {Harishma Boyapally and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {{XOR} Compositions of Physically Related Functions}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {954}, year = {2022}, url = {https://eprint.iacr.org/2022/954}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BoyapallyPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BoyapallyCPSM22, author = {Harishma Boyapally and Durba Chatterjee and Kuheli Pratihar and Sayandeep Saha and Debdeep Mukhopadhyay}, title = {{PUF-COTE:} {A} {PUF} Construction with Challenge Obfuscation and Throughput Enhancement}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1005}, year = {2022}, url = {https://eprint.iacr.org/2022/1005}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BoyapallyCPSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BagTRPM22, author = {Arnab Bag and Debadrita Talapatra and Ayushi Rastogi and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {TWo-IN-one-SSE: Fast, Scalable and Storage-Efficient Searchable Symmetric Encryption for Conjunctive and Disjunctive Boolean Queries}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1096}, year = {2022}, url = {https://eprint.iacr.org/2022/1096}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BagTRPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GuoSPGMR22, author = {Hao Guo and Sayandeep Saha and Satwik Patnaik and Vasudev Gohil and Debdeep Mukhopadhyay and Jeyavijayan (JV) Rajendran}, title = {Vulnerability Assessment of Ciphers To Fault Attacks Using Reinforcement Learning}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1468}, year = {2022}, url = {https://eprint.iacr.org/2022/1468}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/GuoSPGMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChaturvediCCM22a, author = {Bhuvnesh Chaturvedi and Anirban Chakraborty and Ayantika Chatterjee and Debdeep Mukhopadhyay}, title = {A Practical Full Key Recovery Attack on {TFHE} and {FHEW} by Inducing Decryption Errors}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1563}, year = {2022}, url = {https://eprint.iacr.org/2022/1563}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChaturvediCCM22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChowdhurySSMCPM22, author = {Siddhartha Chowdhury and Sayani Sinha and Animesh Singh and Shubham Mishra and Chandan Chaudhary and Sikhar Patranabis and Pratyay Mukherjee and Ayantika Chatterjee and Debdeep Mukhopadhyay}, title = {Efficient Threshold {FHE} with Application to Real-Time Systems}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1625}, year = {2022}, url = {https://eprint.iacr.org/2022/1625}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ChowdhurySSMCPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChaturvediCCM22b, author = {Bhuvnesh Chaturvedi and Anirban Chakraborty and Ayantika Chatterjee and Debdeep Mukhopadhyay}, title = {Demystifying the comments made on "A Practical Full Key Recovery Attack on {TFHE} and {FHEW} by Inducing Decryption Errors"}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1741}, year = {2022}, url = {https://eprint.iacr.org/2022/1741}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChaturvediCCM22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChatterjeePHRM22, author = {Durba Chatterjee and Kuheli Pratihar and Aritra Hazra and Ulrich R{\"{u}}hrmair and Debdeep Mukhopadhyay}, title = {Systematically Quantifying Cryptanalytic Non-Linearities in Strong PUFs}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1766}, year = {2022}, url = {https://eprint.iacr.org/2022/1766}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ChatterjeePHRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/caaitrit/ChakrabortyADCM21, author = {Anirban Chakraborty and Manaar Alam and Vishal Dey and Anupam Chattopadhyay and Debdeep Mukhopadhyay}, title = {A survey on adversarial attacks and defences}, journal = {{CAAI} Trans. Intell. Technol.}, volume = {6}, number = {1}, pages = {25--45}, year = {2021}, url = {https://doi.org/10.1049/cit2.12028}, doi = {10.1049/CIT2.12028}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/caaitrit/ChakrabortyADCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ShahCSMB21, author = {Nimesh Shah and Durba Chatterjee and Brojogopal Sapui and Debdeep Mukhopadhyay and Arindam Basu}, title = {Introducing Recurrence in Strong PUFs for Enhanced Machine Learning Attack Resistance}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {11}, number = {2}, pages = {319--332}, year = {2021}, url = {https://doi.org/10.1109/JETCAS.2021.3075767}, doi = {10.1109/JETCAS.2021.3075767}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ShahCSMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/AlamBM21, author = {Manaar Alam and Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {Victims Can Be Saviors: {A} Machine Learning-based Detection for Micro-Architectural Side-Channel Attacks}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {17}, number = {2}, pages = {14:1--14:31}, year = {2021}, url = {https://doi.org/10.1145/3439189}, doi = {10.1145/3439189}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/AlamBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ForteMPFC21, author = {Domenic Forte and Debdeep Mukhopadhyay and Ilia Polian and Yunsi Fei and Rosario Cammarota}, title = {Introduction to the Special Issue on Emerging Challenges and Solutions in Hardware Security}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {17}, number = {3}, pages = {29:1--29:4}, year = {2021}, url = {https://doi.org/10.1145/3464326}, doi = {10.1145/3464326}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/ForteMPFC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joc/RebeiroM21, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, title = {A Formal Analysis of Prefetching in Profiled Cache-Timing Attacks on Block Ciphers}, journal = {J. Cryptol.}, volume = {34}, number = {3}, pages = {21}, year = {2021}, url = {https://doi.org/10.1007/s00145-021-09394-z}, doi = {10.1007/S00145-021-09394-Z}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joc/RebeiroM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SahaBCM21, author = {Akashdeep Saha and Hrivu Banerjee and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {{ORACALL:} An Oracle-Based Attack on Cellular Automata Guided Logic Locking}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {12}, pages = {2445--2454}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2021.3050035}, doi = {10.1109/TCAD.2021.3050035}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SahaBCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/ChakrabortyBAPM21, author = {Anirban Chakraborty and Sarani Bhattacharya and Manaar Alam and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {{RASSLE:} Return Address Stack based Side-channel LEakage}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2021}, number = {2}, pages = {275--303}, year = {2021}, url = {https://doi.org/10.46586/tches.v2021.i2.275-303}, doi = {10.46586/TCHES.V2021.I2.275-303}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tches/ChakrabortyBAPM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ChaterjeeMC21, author = {Urbi Chatterjee and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {3PAA: {A} Private {PUF} Protocol for Anonymous Authentication}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {16}, pages = {756--769}, year = {2021}, url = {https://doi.org/10.1109/TIFS.2020.3021917}, doi = {10.1109/TIFS.2020.3021917}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tifs/ChaterjeeMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acns/ChakrabortyAM21, author = {Anirban Chakraborty and Manaar Alam and Debdeep Mukhopadhyay}, editor = {Jianying Zhou and Chuadhry Mujeeb Ahmed and Lejla Batina and Sudipta Chattopadhyay and Olga Gadyatskaya and Chenglu Jin and Jingqiang Lin and Eleonora Losiouk and Bo Luo and Suryadipta Majumdar and Mihalis Maniatakos and Daisuke Mashima and Weizhi Meng and Stjepan Picek and Masaki Shimaoka and Chunhua Su and Cong Wang}, title = {A Good Anvil Fears No Hammer: Automated Rowhammer Detection Using Unsupervised Deep Learning}, booktitle = {Applied Cryptography and Network Security Workshops - {ACNS} 2021 Satellite Workshops, AIBlock, AIHWS, AIoTS, CIMSS, Cloud S{\&}P, SCI, SecMT, and SiMLA, Kamakura, Japan, June 21-24, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12809}, pages = {59--77}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-81645-2\_5}, doi = {10.1007/978-3-030-81645-2\_5}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acns/ChakrabortyAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acns/GhoshCCMMD21, author = {Soumyadyuti Ghosh and Urbi Chatterjee and Durba Chatterjee and Rumia Masburah and Debdeep Mukhopadhyay and Soumyajit Dey}, editor = {Jianying Zhou and Chuadhry Mujeeb Ahmed and Lejla Batina and Sudipta Chattopadhyay and Olga Gadyatskaya and Chenglu Jin and Jingqiang Lin and Eleonora Losiouk and Bo Luo and Suryadipta Majumdar and Mihalis Maniatakos and Daisuke Mashima and Weizhi Meng and Stjepan Picek and Masaki Shimaoka and Chunhua Su and Cong Wang}, title = {Demand Manipulation Attack Resilient Privacy Aware Smart Grid Using PUFs and Blockchain}, booktitle = {Applied Cryptography and Network Security Workshops - {ACNS} 2021 Satellite Workshops, AIBlock, AIHWS, AIoTS, CIMSS, Cloud S{\&}P, SCI, SecMT, and SiMLA, Kamakura, Japan, June 21-24, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12809}, pages = {252--275}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-81645-2\_15}, doi = {10.1007/978-3-030-81645-2\_15}, timestamp = {Mon, 26 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acns/GhoshCCMMD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiacrypt/SahaBJMB21, author = {Sayandeep Saha and Arnab Bag and Dirmanto Jap and Debdeep Mukhopadhyay and Shivam Bhasin}, editor = {Mehdi Tibouchi and Huaxiong Wang}, title = {Divided We Stand, United We Fall: Security Analysis of Some {SCA+SIFA} Countermeasures Against SCA-Enhanced Fault Template Attacks}, booktitle = {Advances in Cryptology - {ASIACRYPT} 2021 - 27th International Conference on the Theory and Application of Cryptology and Information Security, Singapore, December 6-10, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13091}, pages = {62--94}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-92075-3\_3}, doi = {10.1007/978-3-030-92075-3\_3}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asiacrypt/SahaBJMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asianhost/ChaudharyCM21, author = {Chandan Kumar Chaudhary and Urbi Chatterjee and Debdeep Mukhopadhyay}, title = {Auto-PUFChain: An Automated Interaction Tool for PUFs and Blockchain in Electronic Supply Chain}, booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2021, Shanghai, China, December 16-18, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AsianHOST53231.2021.9699720}, doi = {10.1109/ASIANHOST53231.2021.9699720}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asianhost/ChaudharyCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cosade/SahaM21, author = {Sayandeep Saha and Debdeep Mukhopadhyay}, editor = {Shivam Bhasin and Fabrizio De Santis}, title = {Transform Without Encode is not Sufficient for {SIFA} and {FTA} Security: {A} Case Study}, booktitle = {Constructive Side-Channel Analysis and Secure Design - 12th International Workshop, {COSADE} 2021, Lugano, Switzerland, October 25-27, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12910}, pages = {85--104}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89915-8\_4}, doi = {10.1007/978-3-030-89915-8\_4}, timestamp = {Fri, 29 Oct 2021 16:42:34 +0200}, biburl = {https://dblp.org/rec/conf/cosade/SahaM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChatterjeeCMH21, author = {Durba Chatterjee and Urbi Chatterjee and Debdeep Mukhopadhyay and Aritra Hazra}, title = {SACReD: An Attack Framework on {SAC} Resistant Delay-PUFs leveraging Bias and Reliability Factors}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {85--90}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586249}, doi = {10.1109/DAC18074.2021.9586249}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChatterjeeCMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SadhukhanSM21, author = {Rajat Sadhukhan and Sayandeep Saha and Debdeep Mukhopadhyay}, title = {Shortest Path to Secured Hardware: Domain Oriented Masking with High-Level-Synthesis}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {223--228}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586165}, doi = {10.1109/DAC18074.2021.9586165}, timestamp = {Fri, 12 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SadhukhanSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ThaparAM21, author = {Dhruv Thapar and Manaar Alam and Debdeep Mukhopadhyay}, title = {Deep Learning assisted Cross-Family Profiled Side-Channel Attacks using Transfer Learning}, booktitle = {22nd International Symposium on Quality Electronic Design, {ISQED} 2021, Santa Clara, CA, USA, April 7-9, 2021}, pages = {178--185}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISQED51717.2021.9424254}, doi = {10.1109/ISQED51717.2021.9424254}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ThaparAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ndss/PatranabisM21, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Forward and Backward Private Conjunctive Searchable Symmetric Encryption}, booktitle = {28th Annual Network and Distributed System Security Symposium, {NDSS} 2021, virtually, February 21-25, 2021}, publisher = {The Internet Society}, year = {2021}, url = {https://www.ndss-symposium.org/ndss-paper/forward-and-backward-private-conjunctive-searchable-symmetric-encryption/}, timestamp = {Thu, 17 Jun 2021 15:42:26 +0200}, biburl = {https://dblp.org/rec/conf/ndss/PatranabisM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ChatterjeeMH21, author = {Durba Chatterjee and Debdeep Mukhopadhyay and Aritra Hazra}, title = {Formal Analysis of Physically Unclonable Functions}, booktitle = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VLSI-SoC53125.2021.9607011}, doi = {10.1109/VLSI-SOC53125.2021.9607011}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/ChatterjeeMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SahaMC21, author = {Akashdeep Saha and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Design and Analysis of Logic Locking Techniques}, booktitle = {29th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2021, Singapore, Singapore, October 4-7, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VLSI-SoC53125.2021.9606975}, doi = {10.1109/VLSI-SOC53125.2021.9606975}, timestamp = {Fri, 19 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/SahaMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccs/2021ashes, editor = {Chip{-}Hong Chang and Ulrich R{\"{u}}hrmair and Stefan Katzenbeisser and Debdeep Mukhopadhyay}, title = {ASHES@CCS 2021: Proceedings of the 5th Workshop on Attacks and Solutions in Hardware Security, Virtual Event, Republic of Korea, 19 November 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474376}, doi = {10.1145/3474376}, isbn = {978-1-4503-8662-3}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/2021ashes.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-04948, author = {Manaar Alam and Shubhajit Datta and Debdeep Mukhopadhyay and Arijit Mondal and Partha Pratim Chakrabarti}, title = {{PARL:} Enhancing Diversity of Ensemble Networks to Resist Adversarial Attacks via Pairwise Adversarially Robust Loss Function}, journal = {CoRR}, volume = {abs/2112.04948}, year = {2021}, url = {https://arxiv.org/abs/2112.04948}, eprinttype = {arXiv}, eprint = {2112.04948}, timestamp = {Mon, 13 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-04948.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChatterjeeBPCMH21, author = {Durba Chatterjee and Harishma Boyapally and Sikhar Patranabis and Urbi Chatterjee and Debdeep Mukhopadhyay and Aritra Hazra}, title = {Physically Related Functions: {A} New Paradigm for Light-weight Key-Exchange}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {389}, year = {2021}, url = {https://eprint.iacr.org/2021/389}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChatterjeeBPCMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BoyapallyCM21, author = {Harishma Boyapally and Urbi Chatterjee and Debdeep Mukhopadhyay}, title = {On the Validity of Spoofing Attack Against Safe is the New Smart}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {395}, year = {2021}, url = {https://eprint.iacr.org/2021/395}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BoyapallyCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChatterjeeMH21, author = {Durba Chatterjee and Debdeep Mukhopadhyay and Aritra Hazra}, title = {Learnability of Multiplexer {PUF} and S\({}_{\mbox{N}}\)-PUF : {A} Fourier-based Approach}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {681}, year = {2021}, url = {https://eprint.iacr.org/2021/681}, timestamp = {Mon, 07 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChatterjeeMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaCMC21, author = {Akashdeep Saha and Urbi Chatterjee and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Open Sesame: {A} Novel Non-SAT-Attack against CAS-Lock}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {791}, year = {2021}, url = {https://eprint.iacr.org/2021/791}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaCMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/HajraSAM21, author = {Suvadeep Hajra and Sayandeep Saha and Manaar Alam and Debdeep Mukhopadhyay}, title = {TransNet: Shift Invariant Transformer Network for Power Attack}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {827}, year = {2021}, url = {https://eprint.iacr.org/2021/827}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/HajraSAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PratiharCAMC21, author = {Kuheli Pratihar and Urbi Chatterjee and Manaar Alam and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {A Tale of Twin Primitives: Single-chip Solution for PUFs and TRNGs}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1067}, year = {2021}, url = {https://eprint.iacr.org/2021/1067}, timestamp = {Mon, 06 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PratiharCAMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/AlamMKLS20, author = {Manaar Alam and Debdeep Mukhopadhyay and Sai Praveen Kadiyala and Siew{-}Kei Lam and Thambipillai Srikanthan}, title = {Improving accuracy of HPC-based malware classification for embedded platforms using gradient descent optimization}, journal = {J. Cryptogr. Eng.}, volume = {10}, number = {4}, pages = {289--303}, year = {2020}, url = {https://doi.org/10.1007/s13389-020-00232-9}, doi = {10.1007/S13389-020-00232-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jce/AlamMKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/AlamBRJBBM20, author = {Manaar Alam and Arnab Bag and Debapriya Basu Roy and Dirmanto Jap and Jakub Breier and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {Neural Network-based Inherently Fault-tolerant Hardware Cryptographic Primitives without Explicit Redundancy Checks}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {17}, number = {1}, pages = {3:1--3:30}, year = {2020}, url = {https://doi.org/10.1145/3409594}, doi = {10.1145/3409594}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/AlamBRJBBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/VafaeiSBM20, author = {Navid Vafaei and Sayandeep Saha and Nasour Bagheri and Debdeep Mukhopadhyay}, title = {Fault Attack on {SKINNY} Cipher}, journal = {J. Hardw. Syst. Secur.}, volume = {4}, number = {4}, pages = {277--296}, year = {2020}, url = {https://doi.org/10.1007/s41635-020-00103-z}, doi = {10.1007/S41635-020-00103-Z}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/VafaeiSBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BhattacharyaMBM20, author = {Sarani Bhattacharya and Cl{\'{e}}mentine Maurice and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {Branch Prediction Attack on Blinded Scalar Multiplication}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {5}, pages = {633--648}, year = {2020}, url = {https://doi.org/10.1109/TC.2019.2958611}, doi = {10.1109/TC.2019.2958611}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BhattacharyaMBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KadiyalaASPABMS20, author = {Sai Praveen Kadiyala and Manaar Alam and Yash Shrivastava and Sikhar Patranabis and Muhamed Fauzi Bin Abbas and Arnab Kumar Biswas and Debdeep Mukhopadhyay and Thambipillai Srikanthan}, title = {{LAMBDA:} Lightweight Assessment of Malware for emBeddeD Architectures}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {19}, number = {4}, pages = {23:1--23:31}, year = {2020}, url = {https://doi.org/10.1145/3390855}, doi = {10.1145/3390855}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/KadiyalaASPABMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/SahaJRCBM20, author = {Sayandeep Saha and Dirmanto Jap and Debapriya Basu Roy and Avik Chakraborty and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {A Framework to Counter Statistical Ineffective Fault Analysis of Block Ciphers Using Domain Transformation and Error Correction}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {15}, pages = {1905--1919}, year = {2020}, url = {https://doi.org/10.1109/TIFS.2019.2952262}, doi = {10.1109/TIFS.2019.2952262}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/SahaJRCBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ChatterjeeCMC20, author = {Urbi Chatterjee and Soumi Chatterjee and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Machine Learning Assisted {PUF} Calibration for Trustworthy Proof of Sensor Data in IoT}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {25}, number = {4}, pages = {32:1--32:21}, year = {2020}, url = {https://doi.org/10.1145/3393628}, doi = {10.1145/3393628}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/ChatterjeeCMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asianhost/SharmaBM20, author = {Sudarshan Sharma and Arnab Bag and Debdeep Mukhopadhyay}, title = {Compact and Secure Generic Discrete Gaussian Sampler based on {HW/SW} Co-design}, booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2020, Kolkata, India, December 15-17, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/AsianHOST51057.2020.9358267}, doi = {10.1109/ASIANHOST51057.2020.9358267}, timestamp = {Wed, 03 Mar 2021 11:46:43 +0100}, biburl = {https://dblp.org/rec/conf/asianhost/SharmaBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/AdhikaryKGGDM20, author = {Sunandan Adhikary and Ipsita Koley and Saurav Kumar Ghosh and Sumana Ghosh and Soumyajit Dey and Debdeep Mukhopadhyay}, editor = {Michail Maniatakos and Yuqing Zhang}, title = {Skip to Secure: Securing Cyber-Physical Control Loops with Intentionally Skipped Executions}, booktitle = {CPSIOTSEC'20: Proceedings of the 2020 Joint Workshop on CPS{\&}IoT Security and Privacy, Virtual Event, USA, November 9, 2020}, pages = {81--86}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3411498.3419966}, doi = {10.1145/3411498.3419966}, timestamp = {Thu, 18 Aug 2022 16:04:01 +0200}, biburl = {https://dblp.org/rec/conf/ccs/AdhikaryKGGDM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SahaSCMB20, author = {Akashdeep Saha and Sayandeep Saha and Siddhartha Chowdhury and Debdeep Mukhopadhyay and Bhargab B. Bhattacharya}, title = {LoPher: SAT-Hardened Logic Embedding on Block Ciphers}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218600}, doi = {10.1109/DAC18072.2020.9218600}, timestamp = {Wed, 14 Oct 2020 10:56:17 +0200}, biburl = {https://dblp.org/rec/conf/dac/SahaSCMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KoleyGDMNSLPS20, author = {Ipsita Koley and Saurav Kumar Ghosh and Soumyajit Dey and Debdeep Mukhopadhyay and Amogh Kashyap K. N. and Sachin Kumar Singh and Lavanya Lokesh and Jithin Nalu Purakkal and Nishant Sinha}, title = {Formal Synthesis of Monitoring and Detection Systems for Secure {CPS} Implementations}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {314--317}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116211}, doi = {10.23919/DATE48585.2020.9116211}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KoleyGDMNSLPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KnechtelK0HCMDF20, author = {Johann Knechtel and Elif Bilge Kavun and Francesco Regazzoni and Annelie Heuser and Anupam Chattopadhyay and Debdeep Mukhopadhyay and Soumyajit Dey and Yunsi Fei and Yaacov Belenky and Itamar Levi and Tim G{\"{u}}neysu and Patrick Schaumont and Ilia Polian}, title = {Towards Secure Composition of Integrated Circuits and Electronic Systems: On the Role of {EDA}}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {508--513}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116483}, doi = {10.23919/DATE48585.2020.9116483}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KnechtelK0HCMDF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChakrabortyBSM20, author = {Anirban Chakraborty and Sarani Bhattacharya and Sayandeep Saha and Debdeep Mukhopadhyay}, title = {ExplFrame: Exploiting Page Frame Cache for Fault Analysis of Block Ciphers}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1303--1306}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116219}, doi = {10.23919/DATE48585.2020.9116219}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChakrabortyBSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurocrypt/SahaBRPM20, author = {Sayandeep Saha and Arnab Bag and Debapriya Basu Roy and Sikhar Patranabis and Debdeep Mukhopadhyay}, editor = {Anne Canteaut and Yuval Ishai}, title = {Fault Template Attacks on Block Ciphers Exploiting Fault Propagation}, booktitle = {Advances in Cryptology - {EUROCRYPT} 2020 - 39th Annual International Conference on the Theory and Applications of Cryptographic Techniques, Zagreb, Croatia, May 10-14, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12105}, pages = {612--643}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-45721-1\_22}, doi = {10.1007/978-3-030-45721-1\_22}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurocrypt/SahaBRPM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChatterjeeMH20, author = {Durba Chatterjee and Debdeep Mukhopadhyay and Aritra Hazra}, title = {{PUF-G:} {A} {CAD} Framework for Automated Assessment of Provable Learnability from Formal {PUF} Representations}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {48:1--48:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415647}, doi = {10.1145/3400302.3415647}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChatterjeeMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Mukhopadhyay20, author = {Debdeep Mukhopadhyay}, title = {Faultless to a Fault? The Case of Threshold Implementations of Crypto-systems vs Fault Template Attacks}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {66:1--66:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415693}, doi = {10.1145/3400302.3415693}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Mukhopadhyay20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadiyalaGANMS20, author = {Sai Praveen Kadiyala and Mohit Garg and Manaar Alam and Hau T. Ngo and Debdeep Mukhopadhyay and Thambipillai Srikanthan}, title = {{HARDY:} Hardware based Analysis for malwaRe Detection in embedded sYstems}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524727}, doi = {10.1109/SOCC49529.2020.9524727}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KadiyalaGANMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/BagPRM20, author = {Arnab Bag and Sikhar Patranabis and Debapriya Basu Roy and Debdeep Mukhopadhyay}, editor = {Lejla Batina and Stjepan Picek and Mainack Mondal}, title = {Cryptographically Secure Multi-tenant Provisioning of FPGAs}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 10th International Conference, {SPACE} 2020, Kolkata, India, December 17-21, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12586}, pages = {208--225}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66626-2\_11}, doi = {10.1007/978-3-030-66626-2\_11}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/space/BagPRM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ChowdhuryRM20, author = {Siddhartha Chowdhury and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {A Minimalistic Perspective on Koblitz Curve Scalar Multiplication for {FPGA} Platforms}, booktitle = {28th {IFIP/IEEE} International Conference on Very Large Scale Integration, {VLSI-SOC} 2020, Salt Lake City, UT, USA, October 5-7, 2020}, pages = {70--75}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-SOC46417.2020.9344069}, doi = {10.1109/VLSI-SOC46417.2020.9344069}, timestamp = {Wed, 17 Feb 2021 12:09:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/ChowdhuryRM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SadhukhanM20, author = {Rajat Sadhukhan and Debdeep Mukhopadhyay}, title = {Design Automation for Side Channel Resistant Lightweight Cryptography}, booktitle = {28th {IFIP/IEEE} International Conference on Very Large Scale Integration, {VLSI-SOC} 2020, Salt Lake City, UT, USA, October 5-7, 2020}, pages = {202--203}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-SOC46417.2020.9344085}, doi = {10.1109/VLSI-SOC46417.2020.9344085}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/SadhukhanM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ChatterjeeSMCMP20, author = {Urbi Chatterjee and Rajat Sadhukhan and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Debashis Mahata and Mukesh M. Prabhu}, editor = {Amal El Fallah Seghrouchni and Gita Sukthankar and Tie{-}Yan Liu and Maarten van Steen}, title = {Stupify: {A} Hardware Countermeasure of KRACKs in {WPA2} using Physically Unclonable Functions}, booktitle = {Companion of The 2020 Web Conference 2020, Taipei, Taiwan, April 20-24, 2020}, pages = {217--221}, publisher = {{ACM} / {IW3C2}}, year = {2020}, url = {https://doi.org/10.1145/3366424.3383545}, doi = {10.1145/3366424.3383545}, timestamp = {Thu, 13 Aug 2020 18:46:26 +0200}, biburl = {https://dblp.org/rec/conf/www/ChatterjeeSMCMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-09672, author = {Johann Knechtel and Elif Bilge Kavun and Francesco Regazzoni and Annelie Heuser and Anupam Chattopadhyay and Debdeep Mukhopadhyay and Soumyajit Dey and Yunsi Fei and Yaacov Belenky and Itamar Levi and Tim G{\"{u}}neysu and Patrick Schaumont and Ilia Polian}, title = {Towards Secure Composition of Integrated Circuits and Electronic Systems: On the Role of {EDA}}, journal = {CoRR}, volume = {abs/2001.09672}, year = {2020}, url = {https://arxiv.org/abs/2001.09672}, eprinttype = {arXiv}, eprint = {2001.09672}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-09672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-12412, author = {Ipsita Koley and Saurav Kumar Ghosh and Soumyajit Dey and Debdeep Mukhopadhyay and Amogh Kashyap K. N. and Sachin Kumar Singh and Lavanya Lokesh and Jithin Nalu Purakkal and Nishant Sinha}, title = {Formal Synthesis of Monitoring and Detection Systems for Secure {CPS} Implementations}, journal = {CoRR}, volume = {abs/2002.12412}, year = {2020}, url = {https://arxiv.org/abs/2002.12412}, eprinttype = {arXiv}, eprint = {2002.12412}, timestamp = {Fri, 23 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-12412.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-01712, author = {Manaar Alam and Sayan Sinha and Sarani Bhattacharya and Swastika Dutta and Debdeep Mukhopadhyay and Anupam Chattopadhyay}, title = {{RAPPER:} Ransomware Prevention via Performance Counters}, journal = {CoRR}, volume = {abs/2004.01712}, year = {2020}, url = {https://arxiv.org/abs/2004.01712}, eprinttype = {arXiv}, eprint = {2004.01712}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-01712.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-08121, author = {Sunandan Adhikary and Ipsita Koley and Sumana Ghosh and Saurav Kumar Ghosh and Soumyajit Dey and Debdeep Mukhopadhyay}, title = {Skip to Secure: Securing Cyber-physical Control Loops with Intentionally Skipped Executions}, journal = {CoRR}, volume = {abs/2007.08121}, year = {2020}, url = {https://arxiv.org/abs/2007.08121}, eprinttype = {arXiv}, eprint = {2007.08121}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-08121.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-05966, author = {Manaar Alam and Sayandeep Saha and Debdeep Mukhopadhyay and Sandip Kundu}, title = {Deep-Lock: Secure Authorization for Deep Neural Networks}, journal = {CoRR}, volume = {abs/2008.05966}, year = {2020}, url = {https://arxiv.org/abs/2008.05966}, eprinttype = {arXiv}, eprint = {2008.05966}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-05966.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaABMD20, author = {Sayandeep Saha and Manaar Alam and Arnab Bag and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {Leakage Assessment in Fault Attacks: {A} Deep Learning Perspective}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {306}, year = {2020}, url = {https://eprint.iacr.org/2020/306}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaABMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChakrabortyBSM20, author = {Anirban Chakraborty and Sarani Bhattacharya and Sayandeep Saha and Debdeep Mukhopadhyay}, title = {Rowhammer Induced Intermittent Fault Attack on ECC-hardened memory}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {380}, year = {2020}, url = {https://eprint.iacr.org/2020/380}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChakrabortyBSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/Mukhopadhyay20, author = {Debdeep Mukhopadhyay}, title = {Improved Fault Templates of Boolean Circuits in Cryptosystems can Break Threshold Implementations}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {459}, year = {2020}, url = {https://eprint.iacr.org/2020/459}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/Mukhopadhyay20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChatterjeeMH20, author = {Durba Chatterjee and Debdeep Mukhopadhyay and Aritra Hazra}, title = {Interpose {PUF} can be {PAC} Learned}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {471}, year = {2020}, url = {https://eprint.iacr.org/2020/471}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChatterjeeMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaBM20, author = {Sayandeep Saha and Arnab Bag and Debdeep Mukhopadhyay}, title = {Pushing the Limits of Fault Template Attacks: The Role of Side-Channels}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {892}, year = {2020}, url = {https://eprint.iacr.org/2020/892}, timestamp = {Mon, 27 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ThaparAM20, author = {Dhruv Thapar and Manaar Alam and Debdeep Mukhopadhyay}, title = {TranSCA: Cross-Family Profiled Side-Channel Attacks using Transfer Learning on Deep Neural Networks}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1258}, year = {2020}, url = {https://eprint.iacr.org/2020/1258}, timestamp = {Fri, 30 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ThaparAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisM20, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Forward and Backward Private Conjunctive Searchable Symmetric Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1342}, year = {2020}, url = {https://eprint.iacr.org/2020/1342}, timestamp = {Fri, 30 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amco/PatranabisM19, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Identity-based key aggregate cryptosystem from multilinear maps}, journal = {Adv. Math. Commun.}, volume = {13}, number = {4}, pages = {759--778}, year = {2019}, url = {https://doi.org/10.3934/amc.2019044}, doi = {10.3934/AMC.2019044}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amco/PatranabisM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/GhoshDM19, author = {Saurav Kumar Ghosh and Soumyajit Dey and Debdeep Mukhopadhyay}, title = {Performance, Security Tradeoffs in Secure Control}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {11}, number = {4}, pages = {102--105}, year = {2019}, url = {https://doi.org/10.1109/LES.2018.2882495}, doi = {10.1109/LES.2018.2882495}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/GhoshDM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/SadhukhanMRM19, author = {Rajat Sadhukhan and Paulson Mathew and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Count Your Toggles: a New Leakage Model for Pre-Silicon Power Analysis of Crypto Designs}, journal = {J. Electron. Test.}, volume = {35}, number = {5}, pages = {605--619}, year = {2019}, url = {https://doi.org/10.1007/s10836-019-05826-8}, doi = {10.1007/S10836-019-05826-8}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/SadhukhanMRM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/SahaKMD19, author = {Sayandeep Saha and Ujjawal Kumar and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {An automated framework for exploitable fault identification in block ciphers}, journal = {J. Cryptogr. Eng.}, volume = {9}, number = {3}, pages = {203--219}, year = {2019}, url = {https://doi.org/10.1007/s13389-019-00203-9}, doi = {10.1007/S13389-019-00203-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jce/SahaKMD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/0003RM19, author = {Poulami Das and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Automatic generation of HCCA-resistant scalar multiplication algorithm by proper sequencing of field multiplier operands}, journal = {J. Cryptogr. Eng.}, volume = {9}, number = {3}, pages = {263--275}, year = {2019}, url = {https://doi.org/10.1007/s13389-019-00219-1}, doi = {10.1007/S13389-019-00219-1}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jce/0003RM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/AliM19, author = {Sk Subidh Ali and Debdeep Mukhopadhyay}, title = {Guest Editorial {SPACE} 2017 Special Issue in the Journal of Hardware and Systems Security (HaSS)}, journal = {J. Hardw. Syst. Secur.}, volume = {3}, number = {1}, pages = {1--2}, year = {2019}, url = {https://doi.org/10.1007/s41635-019-00067-9}, doi = {10.1007/S41635-019-00067-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/AliM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/AlamBSRM19, author = {Manaar Alam and Sarani Bhattacharya and Sayan Sinha and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {{IPA:} an Instruction Profiling-Based Micro-architectural Side-Channel Attack on Block Ciphers}, journal = {J. Hardw. Syst. Secur.}, volume = {3}, number = {1}, pages = {26--44}, year = {2019}, url = {https://doi.org/10.1007/s41635-018-0060-3}, doi = {10.1007/S41635-018-0060-3}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/AlamBSRM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/PatranabisRCNSM19, author = {Sikhar Patranabis and Debapriya Basu Roy and Anirban Chakraborty and Naveen Nagar and Astikey Singh and Debdeep Mukhopadhyay and Santosh Ghosh}, title = {Lightweight Design-for-Security Strategies for Combined Countermeasures Against Side Channel and Fault Analysis in IoT Applications}, journal = {J. Hardw. Syst. Secur.}, volume = {3}, number = {2}, pages = {103--131}, year = {2019}, url = {https://doi.org/10.1007/s41635-018-0049-y}, doi = {10.1007/S41635-018-0049-Y}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/PatranabisRCNSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RoyBGHPM19, author = {Debapriya Basu Roy and Shivam Bhasin and Sylvain Guilley and Annelie Heuser and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {{CC} Meets {FIPS:} {A} Hybrid Test Methodology for First Order Side Channel Analysis}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {3}, pages = {347--361}, year = {2019}, url = {https://doi.org/10.1109/TC.2018.2875746}, doi = {10.1109/TC.2018.2875746}, timestamp = {Wed, 27 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/RoyBGHPM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PatranabisDJBBM19, author = {Sikhar Patranabis and Nilanjan Datta and Dirmanto Jap and Jakub Breier and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {{SCADFA:} Combined {SCA+DFA} Attacks on Block Ciphers with Practical Validations}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {10}, pages = {1498--1510}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2913644}, doi = {10.1109/TC.2019.2913644}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PatranabisDJBBM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/ChatterjeeGSMCM19, author = {Urbi Chatterjee and Vidya Govindan and Rajat Sadhukhan and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Debashis Mahata and Mukesh M. Prabhu}, title = {Building {PUF} Based Authentication and Key Exchange Protocol for IoT Without Explicit CRPs in Verifier Database}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {16}, number = {3}, pages = {424--437}, year = {2019}, url = {https://doi.org/10.1109/TDSC.2018.2832201}, doi = {10.1109/TDSC.2018.2832201}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/ChatterjeeGSMCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/RoyBNM19, author = {Debapriya Basu Roy and Shivam Bhasin and Ivica Nikolic and Debdeep Mukhopadhyay}, title = {Combining {PUF} with RLUTs: {A} Two-party Pay-per-device {IP} Licensing Scheme on FPGAs}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {2}, pages = {12:1--12:22}, year = {2019}, url = {https://doi.org/10.1145/3301307}, doi = {10.1145/3301307}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/RoyBNM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/SahaJPMBD19, author = {Sayandeep Saha and Dirmanto Jap and Sikhar Patranabis and Debdeep Mukhopadhyay and Shivam Bhasin and Pallab Dasgupta}, title = {Automatic Characterization of Exploitable Faults: {A} Machine Learning Approach}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {14}, number = {4}, pages = {954--968}, year = {2019}, url = {https://doi.org/10.1109/TIFS.2018.2868245}, doi = {10.1109/TIFS.2018.2868245}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/SahaJPMBD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RoyM19, author = {Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {High-Speed Implementation of {ECC} Scalar Multiplication in GF(p) for Generic Montgomery Curves}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {7}, pages = {1587--1600}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2905899}, doi = {10.1109/TVLSI.2019.2905899}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RoyM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChattopadhyayGB19, author = {Anupam Chattopadhyay and Swaroop Ghosh and Wayne P. Burleson and Debdeep Mukhopadhyay}, title = {Guest Editorial Special Section on Security Challenges and Solutions With Emerging Computing Technologies}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {11}, pages = {2469--2472}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2945850}, doi = {10.1109/TVLSI.2019.2945850}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChattopadhyayGB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MishraMB19, author = {Prabhat Mishra and Debdeep Mukhopadhyay and Swarup Bhunia}, title = {Guest Editorial: Special Section on Autonomous Intelligence for Security and Privacy Analytics}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {12}, pages = {2703--2705}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2951850}, doi = {10.1109/TVLSI.2019.2951850}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MishraMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SadhukhanDM19, author = {Rajat Sadhukhan and Nilanjan Datta and Debdeep Mukhopadhyay}, title = {Power Efficiency of S-Boxes: From a Machine-Learning-Based Tool to a Deterministic Model}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {12}, pages = {2829--2841}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2925421}, doi = {10.1109/TVLSI.2019.2925421}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SadhukhanDM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShahASMB19, author = {Nimesh Shah and Manaar Alam and Durga Prasad Sahoo and Debdeep Mukhopadhyay and Arindam Basu}, editor = {Toshiyuki Shibuya}, title = {A 0.16pJ/bit recurrent neural network based {PUF} for enhanced machine learning attack resistance}, booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019}, pages = {627--632}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3287624.3287696}, doi = {10.1145/3287624.3287696}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShahASMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChakrabortyAM19, author = {Anirban Chakraborty and Manaar Alam and Debdeep Mukhopadhyay}, title = {Deep Learning Based Diagnostics for Rowhammer Protection of {DRAM} Chips}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {86--91}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00016}, doi = {10.1109/ATS47505.2019.00016}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChakrabortyAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cardis/AlamSBPM19, author = {Manaar Alam and Astikey Singh and Sarani Bhattacharya and Kuheli Pratihar and Debdeep Mukhopadhyay}, editor = {Sonia Bela{\"{\i}}d and Tim G{\"{u}}neysu}, title = {In-situ Extraction of Randomness from Computer Architecture Through Hardware Performance Counters}, booktitle = {Smart Card Research and Advanced Applications - 18th International Conference, {CARDIS} 2019, Prague, Czech Republic, November 11-13, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11833}, pages = {3--19}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-42068-0\_1}, doi = {10.1007/978-3-030-42068-0\_1}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cardis/AlamSBPM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cosade/RaviRBCM19, author = {Prasanna Ravi and Debapriya Basu Roy and Shivam Bhasin and Anupam Chattopadhyay and Debdeep Mukhopadhyay}, editor = {Ilia Polian and Marc St{\"{o}}ttinger}, title = {Number "Not Used" Once - Practical Fault Attack on pqm4 Implementations of {NIST} Candidates}, booktitle = {Constructive Side-Channel Analysis and Secure Design - 10th International Workshop, {COSADE} 2019, Darmstadt, Germany, April 3-5, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11421}, pages = {232--250}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-16350-1\_13}, doi = {10.1007/978-3-030-16350-1\_13}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/cosade/RaviRBCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SahaKPMD19, author = {Sayandeep Saha and S. Nishok Kumar and Sikhar Patranabis and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {{ALAFA:} Automatic Leakage Assessment for Fault Attack Countermeasures}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {136}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317763}, doi = {10.1145/3316781.3317763}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SahaKPMD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChatterjeeSSGMC19, author = {Urbi Chatterjee and Pranesh Santikellur and Rajat Sadhukhan and Vidya Govindan and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {United We Stand: {A} Threshold Signature Scheme for Identifying Outliers in PLCs}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {224}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3322480}, doi = {10.1145/3316781.3322480}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChatterjeeSSGMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AlamM19, author = {Manaar Alam and Debdeep Mukhopadhyay}, title = {How Secure are Deep Learning Algorithms from Side-Channel based Reverse Engineering?}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {226}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3322465}, doi = {10.1145/3316781.3322465}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AlamM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/AlamBDSMC19, author = {Manaar Alam and Sarani Bhattacharya and Swastika Dutta and Sayan Sinha and Debdeep Mukhopadhyay and Anupam Chattopadhyay}, title = {{RATAFIA:} Ransomware Analysis using Time And Frequency Informed Autoencoders}, booktitle = {{IEEE} International Symposium on Hardware Oriented Security and Trust, {HOST} 2019, McLean, VA, USA, May 5-10, 2019}, pages = {218--227}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HST.2019.8740837}, doi = {10.1109/HST.2019.8740837}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/AlamBDSMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkc/PatranabisMR19, author = {Sikhar Patranabis and Debdeep Mukhopadhyay and Somindu C. Ramanna}, editor = {Dongdai Lin and Kazue Sako}, title = {Function Private Predicate Encryption for Low Min-Entropy Predicates}, booktitle = {Public-Key Cryptography - {PKC} 2019 - 22nd {IACR} International Conference on Practice and Theory of Public-Key Cryptography, Beijing, China, April 14-17, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11443}, pages = {189--219}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-17259-6\_7}, doi = {10.1007/978-3-030-17259-6\_7}, timestamp = {Fri, 01 May 2020 18:32:25 +0200}, biburl = {https://dblp.org/rec/conf/pkc/PatranabisMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/ChatterjeeHM19, author = {Durba Chatterjee and Aritra Hazra and Debdeep Mukhopadhyay}, editor = {Shivam Bhasin and Avi Mendelson and Mridul Nandi}, title = {Formal Analysis of {PUF} Instances Leveraging Correlation-Spectra in Boolean Functions}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 9th International Conference, {SPACE} 2019, Gandhinagar, India, December 3-7, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11947}, pages = {142--158}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35869-3\_11}, doi = {10.1007/978-3-030-35869-3\_11}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/space/ChatterjeeHM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/BagadiaCRMC19, author = {Krishna Bagadia and Urbi Chatterjee and Debapriya Basu Roy and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, editor = {Shivam Bhasin and Avi Mendelson and Mridul Nandi}, title = {Revisiting the Security of {LPN} Based {RFID} Authentication Protocol and Potential Exploits in Hardware Implementations}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 9th International Conference, {SPACE} 2019, Gandhinagar, India, December 3-7, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11947}, pages = {214--230}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35869-3\_15}, doi = {10.1007/978-3-030-35869-3\_15}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/space/BagadiaCRMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SadhukhanDM19, author = {Rajat Sadhukhan and Nilanjan Datta and Debdeep Mukhopadhyay}, title = {A Machine Learning Based Approach to Predict Power Efficiency of S-Boxes}, booktitle = {32nd International Conference on {VLSI} Design and 18th International Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January 5-9, 2019}, pages = {531--532}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSID.2019.00121}, doi = {10.1109/VLSID.2019.00121}, timestamp = {Mon, 14 Nov 2022 15:28:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SadhukhanDM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/19/SahooBPMC19, author = {Durga Prasad Sahoo and Arnab Bag and Sikhar Patranabis and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, editor = {Rajat Subhra Chakraborty and Jimson Mathew and Athanasios V. Vasilakos}, title = {Fault-Tolerant Implementations of Physically Unclonable Functions on {FPGA}}, booktitle = {Security and Fault Tolerance in Internet of Things - Technology, Communications and Computing}, pages = {129--153}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-02807-7\_7}, doi = {10.1007/978-3-030-02807-7\_7}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/19/SahooBPMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-04560, author = {Manaar Alam and Arnab Bag and Debapriya Basu Roy and Dirmanto Jap and Jakub Breier and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {Enhancing Fault Tolerance of Neural Networks for Security-Critical Applications}, journal = {CoRR}, volume = {abs/1902.04560}, year = {2019}, url = {http://arxiv.org/abs/1902.04560}, eprinttype = {arXiv}, eprint = {1902.04560}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-04560.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-12974, author = {Anirban Chakraborty and Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {Using Memory Allocation Schemes in Linux to Exploit {DRAM} Vulnerability: with Rowhammer as a Case Study}, journal = {CoRR}, volume = {abs/1905.12974}, year = {2019}, url = {http://arxiv.org/abs/1905.12974}, eprinttype = {arXiv}, eprint = {1905.12974}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-12974.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SadhukhanDM19, author = {Rajat Sadhukhan and Nilanjan Datta and Debdeep Mukhopadhyay}, title = {Modeling Power Efficiency of S-boxes Using Machine Learning}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {144}, year = {2019}, url = {https://eprint.iacr.org/2019/144}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SadhukhanDM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/RoyM19, author = {Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Post Quantum {ECC} on {FPGA} Platform}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {568}, year = {2019}, url = {https://eprint.iacr.org/2019/568}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/RoyM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaRBPM19, author = {Sayandeep Saha and Debapriya Basu Roy and Arnab Bag and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Breach the Gate: Exploiting Observability for Fault Template Attacks on Block Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {937}, year = {2019}, url = {https://eprint.iacr.org/2019/937}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaRBPM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/RoyABGRCM18, author = {Debapriya Basu Roy and Manaar Alam and Sarani Bhattacharya and Vidya Govindan and Francesco Regazzoni and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Customized Instructions for Protection Against Memory Integrity Attacks}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {10}, number = {3}, pages = {91--94}, year = {2018}, url = {https://doi.org/10.1109/LES.2018.2828506}, doi = {10.1109/LES.2018.2828506}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/RoyABGRCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/RoyBDGHMNN18, author = {Debapriya Basu Roy and Shivam Bhasin and Jean{-}Luc Danger and Sylvain Guilley and Wei He and Debdeep Mukhopadhyay and Zakaria Najm and Xuan Thuy Ngo}, title = {The Conflicted Usage of RLUTs for Security-Critical Applications on {FPGA}}, journal = {J. Hardw. Syst. Secur.}, volume = {2}, number = {2}, pages = {162--178}, year = {2018}, url = {https://doi.org/10.1007/s41635-018-0035-4}, doi = {10.1007/S41635-018-0035-4}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/RoyBDGHMNN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SahooMCN18, author = {Durga Prasad Sahoo and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Phuong Ha Nguyen}, title = {A Multiplexer-Based Arbiter {PUF} Composition with Enhanced Reliability and Security}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {3}, pages = {403--417}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2749226}, doi = {10.1109/TC.2017.2749226}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SahooMCN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/SahaMD18, author = {Sayandeep Saha and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {ExpFault: An Automated Framework for Exploitable Fault Characterization in Block Ciphers}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2018}, number = {2}, pages = {242--276}, year = {2018}, url = {https://doi.org/10.13154/tches.v2018.i2.242-276}, doi = {10.13154/TCHES.V2018.I2.242-276}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/SahaMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tissec/BhattacharyaM18, author = {Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {Utilizing Performance Counters for Compromising Public Key Ciphers}, journal = {{ACM} Trans. Priv. Secur.}, volume = {21}, number = {1}, pages = {5:1--5:31}, year = {2018}, url = {https://doi.org/10.1145/3156015}, doi = {10.1145/3156015}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tissec/BhattacharyaM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosc/GhoshalSPDPM18, author = {Ashrujit Ghoshal and Rajat Sadhukhan and Sikhar Patranabis and Nilanjan Datta and Stjepan Picek and Debdeep Mukhopadhyay}, title = {Lightweight and Side-channel Secure 4 {\texttimes} 4 S-Boxes from Cellular Automata Rules}, journal = {{IACR} Trans. Symmetric Cryptol.}, volume = {2018}, number = {3}, pages = {311--334}, year = {2018}, url = {https://doi.org/10.13154/tosc.v2018.i3.311-334}, doi = {10.13154/TOSC.V2018.I3.311-334}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tosc/GhoshalSPDPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/LaiPSLMSSLZ18, author = {Shangqi Lai and Sikhar Patranabis and Amin Sakzad and Joseph K. Liu and Debdeep Mukhopadhyay and Ron Steinfeld and Shifeng Sun and Dongxi Liu and Cong Zuo}, editor = {David Lie and Mohammad Mannan and Michael Backes and XiaoFeng Wang}, title = {Result Pattern Hiding Searchable Encryption for Conjunctive Queries}, booktitle = {Proceedings of the 2018 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2018, Toronto, ON, Canada, October 15-19, 2018}, pages = {745--762}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3243734.3243753}, doi = {10.1145/3243734.3243753}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/LaiPSLMSSLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/BoyapallyPCM18, author = {Harishma Boyapally and Sikhar Patranabis and Urbi Chatterjee and Debdeep Mukhopadhyay}, editor = {Jong Kim and Gail{-}Joon Ahn and Seungjoo Kim and Yongdae Kim and Javier L{\'{o}}pez and Taesoo Kim}, title = {{POSTER:} Authenticated Key-Exchange Protocol for Heterogeneous {CPS}}, booktitle = {Proceedings of the 2018 on Asia Conference on Computer and Communications Security, AsiaCCS 2018, Incheon, Republic of Korea, June 04-08, 2018}, pages = {849--851}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3196494.3201594}, doi = {10.1145/3196494.3201594}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/BoyapallyPCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/BagPTM18, author = {Arnab Bag and Sikhar Patranabis and L. Tribhuvan and Debdeep Mukhopadhyay}, editor = {David Lie and Mohammad Mannan and Michael Backes and XiaoFeng Wang}, title = {Hardware Acceleration of Searchable Encryption}, booktitle = {Proceedings of the 2018 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2018, Toronto, ON, Canada, October 15-19, 2018}, pages = {2201--2203}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3243734.3278509}, doi = {10.1145/3243734.3278509}, timestamp = {Tue, 10 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/BagPTM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/AlamMKLS18, author = {Manaar Alam and Debdeep Mukhopadhyay and Sai Praveen Kadiyala and Siew Kei Lam and Thambipillai Srikanthan}, editor = {Lejla Batina and Ulrich K{\"{u}}hne and Nele Mentens}, title = {Side-Channel Assisted Malware Classifier with Gradient Descent Correction for Embedded Platforms}, booktitle = {{PROOFS} 2018, 7th International Workshop on Security Proofs for Embedded Systems, colocated with {CHES} 2018, Amsterdam, The Netherlands, September 13, 2018}, series = {Kalpa Publications in Computing}, volume = {7}, pages = {1--15}, publisher = {EasyChair}, year = {2018}, url = {https://doi.org/10.29007/5sdj}, doi = {10.29007/5SDJ}, timestamp = {Tue, 30 Nov 2021 10:25:43 +0100}, biburl = {https://dblp.org/rec/conf/ches/AlamMKLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhairallahSSBBC18, author = {Mustafa Khairallah and Rajat Sadhukhan and Radhamanjari Samanta and Jakub Breier and Shivam Bhasin and Rajat Subhra Chakraborty and Anupam Chattopadhyay and Debdeep Mukhopadhyay}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{DFARPA:} Differential fault attack resistant physical design automation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1171--1174}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342190}, doi = {10.23919/DATE.2018.8342190}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhairallahSSBBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChatterjeeSMC18, author = {Urbi Chatterjee and Durga Prasad Sahoo and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Trustworthy proofs for sensor data using {FPGA} based physically unclonable functions}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1504--1507}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342252}, doi = {10.23919/DATE.2018.8342252}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChatterjeeSMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/KesarwaniKNPSMM18, author = {Manish Kesarwani and Akshar Kaul and Prasad Naldurg and Sikhar Patranabis and Gagandeep Singh and Sameep Mehta and Debdeep Mukhopadhyay}, editor = {Michael H. B{\"{o}}hlen and Reinhard Pichler and Norman May and Erhard Rahm and Shan{-}Hung Wu and Katja Hose}, title = {Efficient Secure k-Nearest Neighbours over Encrypted Data}, booktitle = {Proceedings of the 21st International Conference on Extending Database Technology, {EDBT} 2018, Vienna, Austria, March 26-29, 2018}, pages = {564--575}, publisher = {OpenProceedings.org}, year = {2018}, url = {https://doi.org/10.5441/002/edbt.2018.67}, doi = {10.5441/002/EDBT.2018.67}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edbt/KesarwaniKNPSMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/SahaJBBMD18, author = {Sayandeep Saha and Dirmanto Jap and Jakub Breier and Shivam Bhasin and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {Breaking Redundancy-Based Countermeasures with Random Faults and Power Side Channel}, booktitle = {2018 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2018, Amsterdam, The Netherlands, September 13, 2018}, pages = {15--22}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/FDTC.2018.00011}, doi = {10.1109/FDTC.2018.00011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/SahaJBBMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/MukhopadhyayR18, author = {Debdeep Mukhopadhyay and Debapriya Basu Roy}, title = {Revisiting {FPGA} Implementation of Montgomery Multiplier in Redundant Number System for Efficient {ECC} Application in GF(p)}, booktitle = {28th International Conference on Field Programmable Logic and Applications, {FPL} 2018, Dublin, Ireland, August 27-31, 2018}, pages = {323--326}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/FPL.2018.00061}, doi = {10.1109/FPL.2018.00061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/MukhopadhyayR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/ChatterjeeSGMCP18, author = {Urbi Chatterjee and Rajat Sadhukhan and Vidya Govindan and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Sweta Pati and Debashis Mahata and Mukesh M. Prabhu}, title = {{PUFSSL:} An OpenSSL Extension for {PUF} based Authentication}, booktitle = {23rd {IEEE} International Conference on Digital Signal Processing, {DSP} 2018, Shanghai, China, November 19-21, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICDSP.2018.8631814}, doi = {10.1109/ICDSP.2018.8631814}, timestamp = {Mon, 31 Oct 2022 09:05:23 +0100}, biburl = {https://dblp.org/rec/conf/icdsp/ChatterjeeSGMCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/VigLBM18, author = {Saru Vig and Siew{-}Kei Lam and Sarani Bhattacharya and Debdeep Mukhopadhyay}, editor = {Jakub Szefer and Weidong Shi and Ruby B. Lee}, title = {Rapid detection of rowhammer attacks using dynamic skewed hash tree}, booktitle = {Proceedings of the 7th International Workshop on Hardware and Architectural Support for Security and Privacy, HASP@ISCA 2018, Los Angeles, CA, USA, June 02-02, 2018}, pages = {7:1--7:8}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3214292.3214299}, doi = {10.1145/3214292.3214299}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/VigLBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RoyM18, author = {Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Minimalistic Perspective to Public Key Implementations on {FPGA}}, booktitle = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018, Hong Kong, China, July 8-11, 2018}, pages = {381--386}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISVLSI.2018.00076}, doi = {10.1109/ISVLSI.2018.00076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/RoyM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/BoseRMCL18, author = {Sumanta Bose and Mayank Raikwar and Debdeep Mukhopadhyay and Anupam Chattopadhyay and Kwok{-}Yan Lam}, title = {{BLIC:} {A} Blockchain Protocol for Manufacturing and Supply Chain Management of {ICS}}, booktitle = {{IEEE} International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), iThings/GreenCom/CPSCom/SmartData 2018, Halifax, NS, Canada, July 30 - August 3, 2018}, pages = {1326--1335}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/Cybermatics\_2018.2018.00229}, doi = {10.1109/CYBERMATICS\_2018.2018.00229}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ithings/BoseRMCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/GhoshalPM18, author = {Ashrujit Ghoshal and Sikhar Patranabis and Debdeep Mukhopadhyay}, editor = {Anupam Chattopadhyay and Chester Rebeiro and Yuval Yarom}, title = {Template-Based Fault Injection Analysis of Block Ciphers}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 8th International Conference, {SPACE} 2018, Kanpur, India, December 15-19, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11348}, pages = {21--36}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-05072-6\_2}, doi = {10.1007/978-3-030-05072-6\_2}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/space/GhoshalPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/VafaeiBSM18, author = {Navid Vafaei and Nasour Bagheri and Sayandeep Saha and Debdeep Mukhopadhyay}, editor = {Anupam Chattopadhyay and Chester Rebeiro and Yuval Yarom}, title = {Differential Fault Attack on {SKINNY} Block Cipher}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 8th International Conference, {SPACE} 2018, Kanpur, India, December 15-19, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11348}, pages = {177--197}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-05072-6\_11}, doi = {10.1007/978-3-030-05072-6\_11}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/space/VafaeiBSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/BhattacharyaBM18, author = {Sarani Bhattacharya and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {Online Detection and Reactive Countermeasure for Leakage from {BPU} Using {TVLA}}, booktitle = {31st International Conference on {VLSI} Design and 17th International Conference on Embedded Systems, {VLSID} 2018, Pune, India, January 6-10, 2018}, pages = {155--160}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/VLSID.2018.54}, doi = {10.1109/VLSID.2018.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/BhattacharyaBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-03909, author = {Manaar Alam and Sarani Bhattacharya and Debdeep Mukhopadhyay and Anupam Chattopadhyay}, title = {{RAPPER:} Ransomware Prevention via Performance Counters}, journal = {CoRR}, volume = {abs/1802.03909}, year = {2018}, url = {http://arxiv.org/abs/1802.03909}, eprinttype = {arXiv}, eprint = {1802.03909}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-03909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-04136, author = {Arnab Bag and Sikhar Patranabis and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Cryptographically Secure Multi-Tenant Provisioning of FPGAs}, journal = {CoRR}, volume = {abs/1802.04136}, year = {2018}, url = {http://arxiv.org/abs/1802.04136}, eprinttype = {arXiv}, eprint = {1802.04136}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-04136.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-00069, author = {Anirban Chakraborty and Manaar Alam and Vishal Dey and Anupam Chattopadhyay and Debdeep Mukhopadhyay}, title = {Adversarial Attacks and Defences: {A} Survey}, journal = {CoRR}, volume = {abs/1810.00069}, year = {2018}, url = {http://arxiv.org/abs/1810.00069}, eprinttype = {arXiv}, eprint = {1810.00069}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-00069.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-08821, author = {Durba Chatterjee and Aritra Hazra and Debdeep Mukhopadhyay}, title = {Testability Analysis of PUFs Leveraging Correlation-Spectra in Boolean Functions}, journal = {CoRR}, volume = {abs/1810.08821}, year = {2018}, url = {http://arxiv.org/abs/1810.08821}, eprinttype = {arXiv}, eprint = {1810.08821}, timestamp = {Wed, 31 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-08821.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-05259, author = {Manaar Alam and Debdeep Mukhopadhyay}, title = {How Secure are Deep Learning Algorithms from Side-Channel based Reverse Engineering?}, journal = {CoRR}, volume = {abs/1811.05259}, year = {2018}, url = {http://arxiv.org/abs/1811.05259}, eprinttype = {arXiv}, eprint = {1811.05259}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-05259.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-05347, author = {Nimesh Shah and Manaar Alam and Durga Prasad Sahoo and Debdeep Mukhopadhyay and Arindam Basu}, title = {A 0.16pJ/bit Recurrent Neural Network Based {PUF} for Enhanced Machine Learning Atack Resistance}, journal = {CoRR}, volume = {abs/1812.05347}, year = {2018}, url = {http://arxiv.org/abs/1812.05347}, eprinttype = {arXiv}, eprint = {1812.05347}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-05347.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GhoshalPM18, author = {Ashrujit Ghoshal and Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Template-based Fault Injection Analysis of Block Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {72}, year = {2018}, url = {http://eprint.iacr.org/2018/072}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/GhoshalPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisM18, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {New Lower Bounds on Predicate Entropy for Function Private Public-Key Predicate Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {190}, year = {2018}, url = {http://eprint.iacr.org/2018/190}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaMD18, author = {Sayandeep Saha and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {ExpFault: An Automated Framework for Exploitable Fault Characterization in Block Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {295}, year = {2018}, url = {https://eprint.iacr.org/2018/295}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GhoshalSPDPM18, author = {Ashrujit Ghoshal and Rajat Sadhukhan and Sikhar Patranabis and Nilanjan Datta and Stjepan Picek and Debdeep Mukhopadhyay}, title = {Lightweight and Side-channel Secure 4x4 S-Boxes from Cellular Automata Rules}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {832}, year = {2018}, url = {https://eprint.iacr.org/2018/832}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/GhoshalSPDPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LaiPSLMSSLZ18, author = {Shangqi Lai and Sikhar Patranabis and Amin Sakzad and Joseph K. Liu and Debdeep Mukhopadhyay and Ron Steinfeld and Shifeng Sun and Dongxi Liu and Cong Zuo}, title = {Result Pattern Hiding Searchable Encryption for Conjunctive Queries}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1168}, year = {2018}, url = {https://eprint.iacr.org/2018/1168}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/LaiPSLMSSLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisMR18, author = {Sikhar Patranabis and Debdeep Mukhopadhyay and Somindu C. Ramanna}, title = {Function Private Predicate Encryption for Low Min-Entropy Predicates}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1250}, year = {2018}, url = {https://eprint.iacr.org/2018/1250}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisMR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcc/ChakrabortySMMM17, author = {Kaushik Chakraborty and Sumanta Sarkar and Subhamoy Maitra and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay and Emmanuel Prouff}, title = {Redefining the transparency order}, journal = {Des. Codes Cryptogr.}, volume = {82}, number = {1-2}, pages = {95--115}, year = {2017}, url = {https://doi.org/10.1007/s10623-016-0250-3}, doi = {10.1007/S10623-016-0250-3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcc/ChakrabortySMMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/BhattacharyaM17, author = {Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {Formal fault analysis of branch predictors: attacking countermeasures of asymmetric key ciphers}, journal = {J. Cryptogr. Eng.}, volume = {7}, number = {4}, pages = {299--310}, year = {2017}, url = {https://doi.org/10.1007/s13389-017-0165-6}, doi = {10.1007/S13389-017-0165-6}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jce/BhattacharyaM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/Patranabis0M17, author = {Sikhar Patranabis and Abhishek Chakraborty and Debdeep Mukhopadhyay}, title = {Fault Tolerant Infective Countermeasure for {AES}}, journal = {J. Hardw. Syst. Secur.}, volume = {1}, number = {1}, pages = {3--17}, year = {2017}, url = {https://doi.org/10.1007/s41635-017-0006-1}, doi = {10.1007/S41635-017-0006-1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/Patranabis0M17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/MukhopadhyayS17, author = {Debdeep Mukhopadhyay and Vishal Saraswat}, title = {Editorial for the Special Issue in Journal of Hardware and Systems Security (HaSS) Based on Selected Papers from 6th International Conference on Security, Privacy and Applied Cryptographic Engineering {(SPACE} 2016)}, journal = {J. Hardw. Syst. Secur.}, volume = {1}, number = {3}, pages = {201--202}, year = {2017}, url = {https://doi.org/10.1007/s41635-017-0019-9}, doi = {10.1007/S41635-017-0019-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/MukhopadhyayS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/SadhukhanPGMSG17, author = {Rajat Sadhukhan and Sikhar Patranabis and Ashrujit Ghoshal and Debdeep Mukhopadhyay and Vishal Saraswat and Santosh Ghosh}, title = {An Evaluation of Lightweight Block Ciphers for Resource-Constrained Applications: Area, Performance, and Security}, journal = {J. Hardw. Syst. Secur.}, volume = {1}, number = {3}, pages = {203--218}, year = {2017}, url = {https://doi.org/10.1007/s41635-017-0021-2}, doi = {10.1007/S41635-017-0021-2}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/SadhukhanPGMSG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhss/RoyCCKMN17, author = {Debapriya Basu Roy and Avik Chakraborti and Donghoon Chang and S. V. Dilip Kumar and Debdeep Mukhopadhyay and Mridul Nandi}, title = {Two Efficient Fault-Based Attacks on {CLOC} and {SILC}}, journal = {J. Hardw. Syst. Secur.}, volume = {1}, number = {3}, pages = {252--268}, year = {2017}, url = {https://doi.org/10.1007/s41635-017-0022-1}, doi = {10.1007/S41635-017-0022-1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhss/RoyCCKMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/JohnsonPCM17, author = {Anju P. Johnson and Sikhar Patranabis and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Remote dynamic partial reconfiguration: {A} threat to Internet-of-Things and embedded security applications}, journal = {Microprocess. Microsystems}, volume = {52}, pages = {131--144}, year = {2017}, url = {https://doi.org/10.1016/j.micpro.2017.06.005}, doi = {10.1016/J.MICPRO.2017.06.005}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/JohnsonPCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MazumdarM17, author = {Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, title = {Construction of Rotation Symmetric S-Boxes with High Nonlinearity and Improved {DPA} Resistivity}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {1}, pages = {59--72}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2569410}, doi = {10.1109/TC.2016.2569410}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/MazumdarM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PatranabisSM17, author = {Sikhar Patranabis and Yash Shrivastava and Debdeep Mukhopadhyay}, title = {Provably Secure Key-Aggregate Cryptosystems with Broadcast Aggregate Keys for Online Data Sharing on the Cloud}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {5}, pages = {891--904}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2629510}, doi = {10.1109/TC.2016.2629510}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PatranabisSM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChakrabortyMM17, author = {Abhishek Chakraborty and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, title = {A Combined Power and Fault Analysis Attack on Protected Grain Family of Stream Ciphers}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {12}, pages = {1968--1977}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2017.2666601}, doi = {10.1109/TCAD.2017.2666601}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChakrabortyMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JohnsonCM17, author = {Anju P. Johnson and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {An Improved DCM-Based Tunable True Random Number Generator for Xilinx {FPGA}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {4}, pages = {452--456}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2016.2566262}, doi = {10.1109/TCSII.2016.2566262}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/JohnsonCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ChatterjeeCM17, author = {Urbi Chatterjee and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {A PUF-Based Secure Communication Protocol for IoT}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {16}, number = {3}, pages = {67:1--67:25}, year = {2017}, url = {https://doi.org/10.1145/3005715}, doi = {10.1145/3005715}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/ChatterjeeCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/PatranabisCMC17, author = {Sikhar Patranabis and Abhishek Chakraborty and Debdeep Mukhopadhyay and Partha Pratim Chakrabarti}, title = {Fault Space Transformation: {A} Generic Approach to Counter Differential Fault Analysis and Differential Fault Intensity Analysis on AES-Like Block Ciphers}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {12}, number = {5}, pages = {1092--1102}, year = {2017}, url = {https://doi.org/10.1109/TIFS.2016.2646638}, doi = {10.1109/TIFS.2016.2646638}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/PatranabisCMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/NguyenSCM17, author = {Phuong Ha Nguyen and Durga Prasad Sahoo and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Security Analysis of Arbiter {PUF} and Its Lightweight Compositions Under Predictability Test}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {2}, pages = {20:1--20:28}, year = {2017}, url = {https://doi.org/10.1145/2940326}, doi = {10.1145/2940326}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/NguyenSCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/PatranabisRM17, author = {Sikhar Patranabis and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Using Tweaks To Design Fault Resistant Ciphers (Full Version)}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'17, Siena, Italy, May 15-17, 2017}, pages = {402--408}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3075564.3091965}, doi = {10.1145/3075564.3091965}, timestamp = {Tue, 06 Nov 2018 11:07:32 +0100}, biburl = {https://dblp.org/rec/conf/cf/PatranabisRM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/0003RM17, author = {Poulami Das and Debapriya Basu Roy and Debdeep Mukhopadhyay}, editor = {Ulrich K{\"{u}}hne and Jean{-}Luc Danger and Sylvain Guilley}, title = {Automatic Generation of {HCCA} Resistant Scalar Multiplication Algorithm by Proper Sequencing of Field Multiplier Operands}, booktitle = {{PROOFS} 2017, 6th International Workshop on Security Proofs for Embedded Systems, Taipei, Taiwan, September 29th, 2017}, series = {EPiC Series in Computing}, volume = {49}, pages = {33--49}, publisher = {EasyChair}, year = {2017}, url = {https://doi.org/10.29007/qszz}, doi = {10.29007/QSZZ}, timestamp = {Tue, 30 Nov 2021 10:30:08 +0100}, biburl = {https://dblp.org/rec/conf/ches/0003RM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/SahaKMD17, author = {Sayandeep Saha and Ujjawal Kumar and Debdeep Mukhopadhyay and Pallab Dasgupta}, editor = {Ulrich K{\"{u}}hne and Jean{-}Luc Danger and Sylvain Guilley}, title = {An Automated Framework for Exploitable Fault Identification in Block Ciphers - {A} Data Mining Approach}, booktitle = {{PROOFS} 2017, 6th International Workshop on Security Proofs for Embedded Systems, Taipei, Taiwan, September 29th, 2017}, series = {EPiC Series in Computing}, volume = {49}, pages = {50--67}, publisher = {EasyChair}, year = {2017}, url = {https://doi.org/10.29007/fmzl}, doi = {10.29007/FMZL}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ches/SahaKMD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/SahooNRMC17, author = {Durga Prasad Sahoo and Phuong Ha Nguyen and Debapriya Basu Roy and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, editor = {Hana Kub{\'{a}}tov{\'{a}} and Martin Novotn{\'{y}} and Amund Skavhaug}, title = {Side Channel Evaluation of PUF-Based Pseudorandom Permutation}, booktitle = {Euromicro Conference on Digital System Design, {DSD} 2017, Vienna, Austria, August 30 - Sept. 1, 2017}, pages = {237--243}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/DSD.2017.79}, doi = {10.1109/DSD.2017.79}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/SahooNRMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/PatranabisBMB17, author = {Sikhar Patranabis and Jakub Breier and Debdeep Mukhopadhyay and Shivam Bhasin}, title = {One Plus One is More than Two: {A} Practical Combination of Power and Fault Analysis Attacks on {PRESENT} and PRESENT-Like Block Ciphers}, booktitle = {2017 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2017, Taipei, Taiwan, September 25, 2017}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FDTC.2017.11}, doi = {10.1109/FDTC.2017.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/PatranabisBMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/KumarPBMBCB17, author = {S. V. Dilip Kumar and Sikhar Patranabis and Jakub Breier and Debdeep Mukhopadhyay and Shivam Bhasin and Anupam Chattopadhyay and Anubhab Baksi}, title = {A Practical Fault Attack on ARX-Like Ciphers with a Case Study on ChaCha20}, booktitle = {2017 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2017, Taipei, Taiwan, September 25, 2017}, pages = {33--40}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FDTC.2017.14}, doi = {10.1109/FDTC.2017.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/KumarPBMBCB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/BagadiaCRMC17, author = {Krishna Bagadia and Urbi Chatterjee and Debapriya Basu Roy and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Exploiting safe error based leakage of {RFID} authentication protocol using hardware Trojan horse}, booktitle = {2017 {IEEE} International Symposium on Hardware Oriented Security and Trust, {HOST} 2017, McLean, VA, USA, May 1-5, 2017}, pages = {167}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HST.2017.7951831}, doi = {10.1109/HST.2017.7951831}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/BagadiaCRMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/SarkarSSM17, author = {Sumanta Sarkar and Habeeb Syed and Rajat Sadhukhan and Debdeep Mukhopadhyay}, editor = {Arpita Patra and Nigel P. Smart}, title = {Lightweight Design Choices for LED-like Block Ciphers}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2017 - 18th International Conference on Cryptology in India, Chennai, India, December 10-13, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10698}, pages = {267--281}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71667-1\_14}, doi = {10.1007/978-3-319-71667-1\_14}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/SarkarSSM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivsw/RoyBNM17, author = {Debapriya Basu Roy and Shivam Bhasin and Ivica Nikolic and Debdeep Mukhopadhyay}, title = {Opening pandora's box: Implication of {RLUT} on secure {FPGA} applications and {IP} security}, booktitle = {{IEEE} 2nd International Verification and Security Workshop, {IVSW} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {134--139}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IVSW.2017.8031558}, doi = {10.1109/IVSW.2017.8031558}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ivsw/RoyBNM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/AlamBM17, author = {Manaar Alam and Sarani Bhattacharya and Debdeep Mukhopadhyay}, editor = {Sk Subidh Ali and Jean{-}Luc Danger and Thomas Eisenbarth}, title = {Tackling the Time-Defence: An Instruction Count Based Micro-architectural Side-Channel Attack on Block Ciphers}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 7th International Conference, {SPACE} 2017, Goa, India, December 13-17, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10662}, pages = {30--52}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71501-8\_3}, doi = {10.1007/978-3-319-71501-8\_3}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/space/AlamBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisM17a, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Encrypt-Augment-Recover: Computationally Function Private Predicate Encryption in the Public-Key Setting}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {319}, year = {2017}, url = {http://eprint.iacr.org/2017/319}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisM17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChatterjeeGSMCM17, author = {Urbi Chatterjee and Vidya Govindan and Rajat Sadhukhan and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Debashis Mahata and Mukesh M. Prabhu}, title = {{PUF+IBE:} Blending Physically Unclonable Functions with Identity Based Encryption for Authentication and Key Exchange in IoTs}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {422}, year = {2017}, url = {http://eprint.iacr.org/2017/422}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChatterjeeGSMCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/NguyenSCM17, author = {Phuong Ha Nguyen and Durga Prasad Sahoo and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Security Analysis of Arbiter {PUF} and Its Lightweight Compositions Under Predictability Test}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {459}, year = {2017}, url = {http://eprint.iacr.org/2017/459}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/NguyenSCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChakrabortyRMR17, author = {Suvradip Chakraborty and Chester Rebeiro and Debdeep Mukhopadhyay and C. Pandu Rangan}, title = {Leakage-Resilient Tweakable Encryption from One-Way Functions}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {462}, year = {2017}, url = {http://eprint.iacr.org/2017/462}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChakrabortyRMR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/AlamBMB17, author = {Manaar Alam and Sarani Bhattacharya and Debdeep Mukhopadhyay and Sourangshu Bhattacharya}, title = {Performance Counters to Rescue: {A} Machine Learning based safeguard against Micro-architectural Side-Channel-Attacks}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {564}, year = {2017}, url = {http://eprint.iacr.org/2017/564}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/AlamBMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisM17b, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Spot the Black Hat in a Dark Room: Parallelized Controlled Access Searchable Encryption on FPGAs}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {668}, year = {2017}, url = {http://eprint.iacr.org/2017/668}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisM17b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaKMD17, author = {Sayandeep Saha and Ujjawal Kumar and Debdeep Mukhopadhyay and Pallab Dasgupta}, title = {Differential Fault Analysis Automation}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {673}, year = {2017}, url = {http://eprint.iacr.org/2017/673}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaKMD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisM17c, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Lightweight Symmetric-Key Hidden Vector Encryption without Pairings}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {796}, year = {2017}, url = {http://eprint.iacr.org/2017/796}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisM17c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BhattacharyaMBM17, author = {Sarani Bhattacharya and Cl{\'{e}}mentine Maurice and Shivam Bhasin and Debdeep Mukhopadhyay}, title = {Template Attack on Blinded Scalar Multiplication with Asynchronous perf-ioctl Calls}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {968}, year = {2017}, url = {http://eprint.iacr.org/2017/968}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BhattacharyaMBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaJPMBD17, author = {Sayandeep Saha and Dirmanto Jap and Sikhar Patranabis and Debdeep Mukhopadhyay and Shivam Bhasin and Pallab Dasgupta}, title = {Automatic Characterization of Exploitable Faults: {A} Machine Learning Approach}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1008}, year = {2017}, url = {http://eprint.iacr.org/2017/1008}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaJPMBD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SarkarSSM17, author = {Sumanta Sarkar and Habeeb Syed and Rajat Sadhukhan and Debdeep Mukhopadhyay}, title = {Lightweight Design Choices for LED-like Block Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1031}, year = {2017}, url = {http://eprint.iacr.org/2017/1031}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SarkarSSM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisBMB17, author = {Sikhar Patranabis and Jakub Breier and Debdeep Mukhopadhyay and Shivam Bhasin}, title = {One Plus One is More than Two: {A} Practical Combination of Power and Fault Analysis Attacks on {PRESENT} and PRESENT-like Block Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1073}, year = {2017}, url = {http://eprint.iacr.org/2017/1073}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisBMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KumarPBMBCB17, author = {S. V. Dilip Kumar and Sikhar Patranabis and Jakub Breier and Debdeep Mukhopadhyay and Shivam Bhasin and Anupam Chattopadhyay and Anubhab Baksi}, title = {A Practical Fault Attack on ARX-like Ciphers with a Case Study on ChaCha20}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1074}, year = {2017}, url = {http://eprint.iacr.org/2017/1074}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KumarPBMBCB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Mukhopadhyay16, author = {Debdeep Mukhopadhyay}, title = {PUFs as Promising Tools for Security in Internet of Things}, journal = {{IEEE} Des. Test}, volume = {33}, number = {3}, pages = {103--115}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2016.2544845}, doi = {10.1109/MDAT.2016.2544845}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Mukhopadhyay16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ifs/ChakrabortyBDRM16, author = {Abhishek Chakraborty and Sarani Bhattacharya and Tanu Hari Dixit and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Template attack on {SPA} and {FA} resistant implementation of Montgomery ladder}, journal = {{IET} Inf. Secur.}, volume = {10}, number = {5}, pages = {245--251}, year = {2016}, url = {https://doi.org/10.1049/iet-ifs.2015.0399}, doi = {10.1049/IET-IFS.2015.0399}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ifs/ChakrabortyBDRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/BurmanPMV16, author = {Sanjay Burman and Seetal Potluri and Debdeep Mukhopadhyay and Kamakoti Veezhinathan}, title = {Power Consumption versus Hardware Security: Feasibility Study of Differential Power Attack on Linear Feedback Shift Register Based Stream Ciphers and Its Countermeasures}, journal = {J. Low Power Electron.}, volume = {12}, number = {2}, pages = {99--106}, year = {2016}, url = {https://doi.org/10.1166/jolpe.2016.1434}, doi = {10.1166/JOLPE.2016.1434}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/BurmanPMV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ChatterjeeCKM16, author = {Urbi Chatterjee and Rajat Subhra Chakraborty and Hitesh Kapoor and Debdeep Mukhopadhyay}, title = {Theory and Application of Delay Constraints in Arbiter {PUF}}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {15}, number = {1}, pages = {10:1--10:20}, year = {2016}, url = {https://doi.org/10.1145/2815621}, doi = {10.1145/2815621}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/ChatterjeeCKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/0003RM16, author = {Poulami Das and Debapriya Basu Roy and Debdeep Mukhopadhyay}, editor = {Keita Emura and Goichiro Hanaoka and Rui Zhang}, title = {Improved Atomicity to Prevent {HCCA} on {NIST} Curves}, booktitle = {Proceedings of the 3rd {ACM} International Workshop on {ASIA} Public-Key Cryptography, AsiaPKC@AsiaCCS, Xi'an, China, May 30 - June 03, 2016}, pages = {21--30}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2898420.2901921}, doi = {10.1145/2898420.2901921}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/0003RM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/BhattacharyaM16, author = {Sarani Bhattacharya and Debdeep Mukhopadhyay}, editor = {Benedikt Gierlichs and Axel Y. Poschmann}, title = {Curious Case of Rowhammer: Flipping Secret Exponent Bits Using Timing Analysis}, booktitle = {Cryptographic Hardware and Embedded Systems - {CHES} 2016 - 18th International Conference, Santa Barbara, CA, USA, August 17-19, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9813}, pages = {602--624}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-662-53140-2\_29}, doi = {10.1007/978-3-662-53140-2\_29}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/ches/BhattacharyaM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/JohnsonPCM16, author = {Anju P. Johnson and Sikhar Patranabis and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, editor = {Paris Kitsos}, title = {Remote Dynamic Clock Reconfiguration Based Attacks on Internet of Things Applications}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {431--438}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.16}, doi = {10.1109/DSD.2016.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/JohnsonPCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/SahaCM16, author = {Sayandeep Saha and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, editor = {Paris Kitsos}, title = {Testability Based Metric for Hardware Trojan Vulnerability Assessment}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {503--510}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.17}, doi = {10.1109/DSD.2016.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/SahaCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/SahooPMC16, author = {Durga Prasad Sahoo and Sikhar Patranabis and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Fault Tolerant Implementations of Delay-Based Physically Unclonable Functions on {FPGA}}, booktitle = {2016 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2016, Santa Barbara, CA, USA, August 16, 2016}, pages = {87--101}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/FDTC.2016.10}, doi = {10.1109/FDTC.2016.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/SahooPMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/0003RBM16, author = {Poulami Das and Debapriya Basu Roy and Harishma Boyapally and Debdeep Mukhopadhyay}, title = {Inner collisions in {ECC:} Vulnerabilities of complete addition formulas for {NIST} curves}, booktitle = {2016 {IEEE} Asian Hardware-Oriented Security and Trust, AsianHOST 2016, Yilan, Taiwan, December 19-20, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/AsianHOST.2016.7835562}, doi = {10.1109/ASIANHOST.2016.7835562}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/0003RBM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/PatranabisRSMG16, author = {Sikhar Patranabis and Debapriya Basu Roy and Yash Shrivastava and Debdeep Mukhopadhyay and Santosh Ghosh}, editor = {William H. Robinson and Swarup Bhunia and Ryan Kastner}, title = {Parsimonious design strategy for linear layers with high diffusion in block ciphers}, booktitle = {2016 {IEEE} International Symposium on Hardware Oriented Security and Trust, {HOST} 2016, McLean, VA, USA, May 3-5, 2016}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HST.2016.7495552}, doi = {10.1109/HST.2016.7495552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/PatranabisRSMG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PatranabisRVMG16, author = {Sikhar Patranabis and Debapriya Basu Roy and Praveen Kumar Vadnala and Debdeep Mukhopadhyay and Santosh Ghosh}, title = {Shuffling across rounds: {A} lightweight strategy to counter side-channel attacks}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {440--443}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753323}, doi = {10.1109/ICCD.2016.7753323}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PatranabisRVMG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BhattacharyaRM16, author = {Sarani Bhattacharya and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {A Formal Security Analysis of Even-Odd Sequential Prefetching in Profiled Cache-Timing Attacks}, booktitle = {Proceedings of the Hardware and Architectural Support for Security and Privacy 2016, HASP@ICSA 2016, Seoul, Republic of Korea, June 18, 2016}, pages = {6:1--6:8}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2948618.2948624}, doi = {10.1145/2948618.2948624}, timestamp = {Tue, 06 Nov 2018 11:07:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/BhattacharyaRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isicir/RoyARM16, author = {Debapriya Basu Roy and Shubham Agrawal and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Accelerating OpenSSL's {ECC} with low cost reconfigurable hardware}, booktitle = {International Symposium on Integrated Circuits, {ISIC} 2016, Singapore, December 12-14, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISICIR.2016.7829684}, doi = {10.1109/ISICIR.2016.7829684}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/isicir/RoyARM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/AlamRBGCM16, author = {Manaar Alam and Debapriya Basu Roy and Sarani Bhattacharya and Vidya Govindan and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {SmashClean: {A} hardware level mitigation to stack smashing attacks in OpenRISC}, booktitle = {2016 {ACM/IEEE} International Conference on Formal Methods and Models for System Design, {MEMOCODE} 2016, Kanpur, India, November 18-20, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MEMCOD.2016.7797764}, doi = {10.1109/MEMCOD.2016.7797764}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/AlamRBGCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/0003RM16, author = {Poulami Das and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Secure public key hardware for IoT applications}, booktitle = {{IEEE} 59th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2016, Abu Dhabi, United Arab Emirates, October 16-19, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MWSCAS.2016.7870008}, doi = {10.1109/MWSCAS.2016.7870008}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/0003RM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/RoyCCKMN16, author = {Debapriya Basu Roy and Avik Chakraborti and Donghoon Chang and S. V. Dilip Kumar and Debdeep Mukhopadhyay and Mridul Nandi}, editor = {Claude Carlet and M. Anwar Hasan and Vishal Saraswat}, title = {Fault Based Almost Universal Forgeries on {CLOC} and {SILC}}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 6th International Conference, {SPACE} 2016, Hyderabad, India, December 14-18, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10076}, pages = {66--86}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49445-6\_4}, doi = {10.1007/978-3-319-49445-6\_4}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/space/RoyCCKMN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/BhasinM16, author = {Shivam Bhasin and Debdeep Mukhopadhyay}, editor = {Claude Carlet and M. Anwar Hasan and Vishal Saraswat}, title = {Fault Injection Attacks: Attack Methodologies, Injection Techniques and Protection Mechanisms - {A} Tutorial}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 6th International Conference, {SPACE} 2016, Hyderabad, India, December 14-18, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10076}, pages = {415--418}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49445-6\_24}, doi = {10.1007/978-3-319-49445-6\_24}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/space/BhasinM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/VerbauwhedeMR16, author = {Ingrid Verbauwhede and Debdeep Mukhopadhyay and Sujoy Sinha Roy}, title = {Embedded Security}, booktitle = {29th International Conference on {VLSI} Design and 15th International Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January 4-8, 2016}, pages = {23}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/VLSID.2016.138}, doi = {10.1109/VLSID.2016.138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/VerbauwhedeMR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ChakrabortyM16, author = {Abhishek Chakraborty and Debdeep Mukhopadhyay}, title = {A Practical Template Attack on {MICKEY-128} 2.0 Using {PSO} Generated IVs and {LS-SVM}}, booktitle = {29th International Conference on {VLSI} Design and 15th International Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January 4-8, 2016}, pages = {529--534}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/VLSID.2016.66}, doi = {10.1109/VLSID.2016.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ChakrabortyM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PatranabisRM16, author = {Sikhar Patranabis and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Using Tweaks to Design Fault Resistant Ciphers}, booktitle = {29th International Conference on {VLSI} Design and 15th International Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January 4-8, 2016}, pages = {585--586}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/VLSID.2016.58}, doi = {10.1109/VLSID.2016.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PatranabisRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChakrabortyM16, author = {Abhishek Chakraborty and Debdeep Mukhopadhyay}, title = {A Practical Template Attack on {MICKEY-128} 2.0 Using {PSO} Generated IVs and {LS-SVM}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {26}, year = {2016}, url = {http://eprint.iacr.org/2016/026}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChakrabortyM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahooNCM16, author = {Durga Prasad Sahoo and Phuong Ha Nguyen and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Architectural Bias: a Novel Statistical Metric to Evaluate Arbiter {PUF} Variants}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {57}, year = {2016}, url = {http://eprint.iacr.org/2016/057}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahooNCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SonarRCM16, author = {Souvik Sonar and Debapriya Basu Roy and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Side-Channel Watchdog: Run-Time Evaluation of Side-Channel Vulnerability in FPGA-Based Crypto-systems}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {182}, year = {2016}, url = {http://eprint.iacr.org/2016/182}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SonarRCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahooPMC16, author = {Durga Prasad Sahoo and Sikhar Patranabis and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Fault Tolerant Implementations of Delay-based Physically Unclonable Functions on {FPGA}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {441}, year = {2016}, url = {http://eprint.iacr.org/2016/441}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahooPMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BhattacharyaM16, author = {Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {Curious case of Rowhammer: Flipping Secret Exponent Bits using Timing Analysis}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {618}, year = {2016}, url = {http://eprint.iacr.org/2016/618}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BhattacharyaM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChatterjeeCM16, author = {Urbi Chatterjee and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {A PUF-based Secure Communication Protocol for IoT}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {674}, year = {2016}, url = {http://eprint.iacr.org/2016/674}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChatterjeeCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisM16, author = {Sikhar Patranabis and Debdeep Mukhopadhyay}, title = {Identity-Based Key Aggregate Cryptosystem from Multilinear Maps}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {693}, year = {2016}, url = {http://eprint.iacr.org/2016/693}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahooMCN16, author = {Durga Prasad Sahoo and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Phuong Ha Nguyen}, title = {A Multiplexer based Arbiter {PUF} Composition with Enhanced Reliability and Security}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1031}, year = {2016}, url = {http://eprint.iacr.org/2016/1031}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahooMCN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BagadiaCRMC16, author = {Krishna Bagadia and Urbi Chatterjee and Debapriya Basu Roy and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Exploiting Safe Error based Leakage of {RFID} Authentication Protocol using Hardware Trojan Horse}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1149}, year = {2016}, url = {http://eprint.iacr.org/2016/1149}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BagadiaCRMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/RoyBPMG16, author = {Debapriya Basu Roy and Shivam Bhasin and Sikhar Patranabis and Debdeep Mukhopadhyay and Sylvain Guilley}, title = {What Lies Ahead: Extending {TVLA} Testing Methodology Towards Success Rate}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1152}, year = {2016}, url = {http://eprint.iacr.org/2016/1152}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/RoyBPMG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccds/MazumdarMS15, author = {Bodhisatwa Mazumdar and Debdeep Mukhopadhyay and Indranil Sengupta}, title = {Construction of RSBFs with improved cryptographic properties to resist differential fault attack on grain family of stream ciphers}, journal = {Cryptogr. Commun.}, volume = {7}, number = {1}, pages = {35--69}, year = {2015}, url = {https://doi.org/10.1007/s12095-014-0108-3}, doi = {10.1007/S12095-014-0108-3}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccds/MazumdarMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/GuoMJK15, author = {Xiaofei Guo and Debdeep Mukhopadhyay and Chenglu Jin and Ramesh Karri}, title = {Security analysis of concurrent error detection against differential fault analysis}, journal = {J. Cryptogr. Eng.}, volume = {5}, number = {3}, pages = {153--169}, year = {2015}, url = {https://doi.org/10.1007/s13389-014-0092-8}, doi = {10.1007/S13389-014-0092-8}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jce/GuoMJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RebeiroM15, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Micro-Architectural Analysis of Time-Driven Cache Attacks: Quest for the Ideal Implementation}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {3}, pages = {778--790}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.212}, doi = {10.1109/TC.2013.212}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/RebeiroM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HajraM15, author = {Suvadeep Hajra and Debdeep Mukhopadhyay}, title = {Reaching the Limit of Nonprofiling {DPA}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {6}, pages = {915--927}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2014.2387830}, doi = {10.1109/TCAD.2014.2387830}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HajraM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SahooNMC15, author = {Durga Prasad Sahoo and Phuong Ha Nguyen and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {A Case of Lightweight {PUF} Constructions: Cryptanalysis and Machine Learning Attacks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {8}, pages = {1334--1343}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2448677}, doi = {10.1109/TCAD.2015.2448677}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SahooNMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/JohnsonCM15, author = {Anju P. Johnson and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {A PUF-Enabled Secure Architecture for FPGA-Based IoT Applications}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {1}, number = {2}, pages = {110--122}, year = {2015}, url = {https://doi.org/10.1109/TMSCS.2015.2494014}, doi = {10.1109/TMSCS.2015.2494014}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/JohnsonCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/BhattacharyaM15, author = {Sarani Bhattacharya and Debdeep Mukhopadhyay}, editor = {Tim G{\"{u}}neysu and Helena Handschuh}, title = {Who Watches the Watchmen?: Utilizing Performance Monitors for Compromising Keys of {RSA} on Intel Platforms}, booktitle = {Cryptographic Hardware and Embedded Systems - {CHES} 2015 - 17th International Workshop, Saint-Malo, France, September 13-16, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9293}, pages = {248--266}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-48324-4\_13}, doi = {10.1007/978-3-662-48324-4\_13}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ches/BhattacharyaM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/SahaCNAM15, author = {Sayandeep Saha and Rajat Subhra Chakraborty and Srinivasa Shashank Nuthakki and Anshul and Debdeep Mukhopadhyay}, editor = {Tim G{\"{u}}neysu and Helena Handschuh}, title = {Improved Test Pattern Generation for Hardware Trojan Detection Using Genetic Algorithm and Boolean Satisfiability}, booktitle = {Cryptographic Hardware and Embedded Systems - {CHES} 2015 - 17th International Workshop, Saint-Malo, France, September 13-16, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9293}, pages = {577--596}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-48324-4\_29}, doi = {10.1007/978-3-662-48324-4\_29}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ches/SahaCNAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cosade/PatranabisCNM15, author = {Sikhar Patranabis and Abhishek Chakraborty and Phuong Ha Nguyen and Debdeep Mukhopadhyay}, editor = {Stefan Mangard and Axel Y. Poschmann}, title = {A Biased Fault Attack on the Time Redundancy Countermeasure for {AES}}, booktitle = {Constructive Side-Channel Analysis and Secure Design - 6th International Workshop, {COSADE} 2015, Berlin, Germany, April 13-14, 2015. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9064}, pages = {189--203}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21476-4\_13}, doi = {10.1007/978-3-319-21476-4\_13}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/cosade/PatranabisCNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeyCAM15, author = {Prakash Dey and Abhishek Chakraborty and Avishek Adhikari and Debdeep Mukhopadhyay}, editor = {Wolfgang Nebel and David Atienza}, title = {Improved practical differential fault analysis of grain-128}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {459--464}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755858}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DeyCAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NguyenSCM15, author = {Phuong Ha Nguyen and Durga Prasad Sahoo and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, editor = {Wolfgang Nebel and David Atienza}, title = {Efficient attacks on robust ring oscillator {PUF} with enhanced challenge-response set}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {641--646}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755901}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NguyenSCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/SahooCM15, author = {Durga Prasad Sahoo and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Towards Ideal Arbiter {PUF} Design on Xilinx {FPGA:} {A} Practitioner's Perspective}, booktitle = {2015 Euromicro Conference on Digital System Design, {DSD} 2015, Madeira, Portugal, August 26-28, 2015}, pages = {559--562}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DSD.2015.51}, doi = {10.1109/DSD.2015.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/SahooCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esweek/JohnsonCM15, author = {Anju P. Johnson and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, editor = {Stavros A. Koubias and Thilo Sauter}, title = {A Novel Attack on a {FPGA} based True Random Number Generator}, booktitle = {Proceedings of the 10th Workshop on Embedded Systems Security, {WESS} 2015, Amsterdam, The Netherlands, October 8, 2015}, pages = {6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2818362.2818368}, doi = {10.1145/2818362.2818368}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esweek/JohnsonCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/ChakrabortyMM15, author = {Abhishek Chakraborty and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, title = {A practical {DPA} on Grain v1 using {LS-SVM}}, booktitle = {{IEEE} International Symposium on Hardware Oriented Security and Trust, {HOST} 2015, Washington, DC, USA, 5-7 May, 2015}, pages = {44--47}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/HST.2015.7140234}, doi = {10.1109/HST.2015.7140234}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/ChakrabortyMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RoyBGDM15, author = {Debapriya Basu Roy and Shivam Bhasin and Sylvain Guilley and Jean{-}Luc Danger and Debdeep Mukhopadhyay}, title = {From theory to practice of private circuit: {A} cautionary note}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {296--303}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357117}, doi = {10.1109/ICCD.2015.7357117}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RoyBGDM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/PatranabisSM15, author = {Sikhar Patranabis and Yash Shrivastava and Debdeep Mukhopadhyay}, editor = {Alex Biryukov and Vipul Goyal}, title = {Dynamic Key-Aggregate Cryptosystem on Elliptic Curves for Online Data Sharing}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2015 - 16th International Conference on Cryptology in India, Bangalore, India, December 6-9, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9462}, pages = {25--44}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-26617-6\_2}, doi = {10.1007/978-3-319-26617-6\_2}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/PatranabisSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sacrypt/Roy0M15, author = {Debapriya Basu Roy and Poulami Das and Debdeep Mukhopadhyay}, editor = {Orr Dunkelman and Liam Keliher}, title = {{ECC} on Your Fingertips: {A} Single Instruction Approach for Lightweight {ECC} Design in GF(p)}, booktitle = {Selected Areas in Cryptography - {SAC} 2015 - 22nd International Conference, Sackville, NB, Canada, August 12-14, 2015, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9566}, pages = {161--177}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-31301-6\_9}, doi = {10.1007/978-3-319-31301-6\_9}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sacrypt/Roy0M15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/PatranabisCM15, author = {Sikhar Patranabis and Abhishek Chakraborty and Debdeep Mukhopadhyay}, editor = {Rajat Subhra Chakraborty and Peter Schwabe and Jon A. Solworth}, title = {Fault Tolerant Infective Countermeasure for {AES}}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 5th International Conference, {SPACE} 2015, Jaipur, India, October 3-7, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9354}, pages = {190--209}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24126-5\_12}, doi = {10.1007/978-3-319-24126-5\_12}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/space/PatranabisCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/PicekMMB15, author = {Stjepan Picek and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay and Lejla Batina}, editor = {Rajat Subhra Chakraborty and Peter Schwabe and Jon A. Solworth}, title = {Modified Transparency Order Property: Solution or Just Another Attempt}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 5th International Conference, {SPACE} 2015, Jaipur, India, October 3-7, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9354}, pages = {210--227}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24126-5\_13}, doi = {10.1007/978-3-319-24126-5\_13}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/space/PicekMMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/RoyBGDMNN15, author = {Debapriya Basu Roy and Shivam Bhasin and Sylvain Guilley and Jean{-}Luc Danger and Debdeep Mukhopadhyay and Xuan Thuy Ngo and Zakaria Najm}, editor = {Rajat Subhra Chakraborty and Peter Schwabe and Jon A. Solworth}, title = {Reconfigurable {LUT:} {A} Double Edged Sword for Security-Critical Applications}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 5th International Conference, {SPACE} 2015, Jaipur, India, October 3-7, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9354}, pages = {248--268}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24126-5\_15}, doi = {10.1007/978-3-319-24126-5\_15}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/space/RoyBGDMNN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MukhopadhyayCNS15, author = {Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Phuong Ha Nguyen and Durga Prasad Sahoo}, title = {Tutorial {T7:} Physically Unclonable Function: {A} Promising Security Primitive for Internet of Things}, booktitle = {28th International Conference on {VLSI} Design, {VLSID} 2015, Bangalore, India, January 3-7, 2015}, pages = {14--15}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/VLSID.2015.115}, doi = {10.1109/VLSID.2015.115}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MukhopadhyayCNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/RoyBGDM15, author = {Debapriya Basu Roy and Shivam Bhasin and Sylvain Guilley and Jean{-}Luc Danger and Debdeep Mukhopadhyay}, title = {Reconfigurable {LUT:} Boon or Bane for Secure Applications}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {120}, year = {2015}, url = {http://eprint.iacr.org/2015/120}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/RoyBGDM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisCM15, author = {Sikhar Patranabis and Abhishek Chakraborty and Debdeep Mukhopadhyay}, title = {Fault Tolerant Infective Countermeasure for {AES}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {493}, year = {2015}, url = {http://eprint.iacr.org/2015/493}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChakrabortyMM15, author = {Abhishek Chakraborty and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, title = {Combined Side-Channel and Fault Analysis Attack on Protected Grain Family of Stream Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {602}, year = {2015}, url = {http://eprint.iacr.org/2015/602}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChakrabortyMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BhattacharyaM15, author = {Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {Who watches the watchmen? : Utilizing Performance Monitors for Compromising keys of {RSA} on Intel Platforms}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {621}, year = {2015}, url = {http://eprint.iacr.org/2015/621}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BhattacharyaM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisCMC15, author = {Sikhar Patranabis and Abhishek Chakraborty and Debdeep Mukhopadhyay and P. P. Chakrabarti}, title = {Using State Space Encoding To Counter Biased Fault Attacks on {AES} Countermeasures}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {806}, year = {2015}, url = {http://eprint.iacr.org/2015/806}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisCMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisSM15, author = {Sikhar Patranabis and Yash Shrivastava and Debdeep Mukhopadhyay}, title = {Dynamic Key-Aggregate Cryptosystem on Elliptic Curves for Online Data Sharing}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {923}, year = {2015}, url = {http://eprint.iacr.org/2015/923}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/DasRM15, author = {Poulami Das and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Exploiting the Order of Multiplier Operands: {A} Low Cost Approach for {HCCA} Resistance}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {925}, year = {2015}, url = {http://eprint.iacr.org/2015/925}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/DasRM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/PatranabisRM15, author = {Sikhar Patranabis and Debapriya Basu Roy and Debdeep Mukhopadhyay}, title = {Using Tweaks To Design Fault Resistant Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {932}, year = {2015}, url = {http://eprint.iacr.org/2015/932}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/PatranabisRM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/RebeiroM15, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, title = {A Formal Analysis of Prefetching in Profiled Cache-Timing Attacks on Block Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1191}, year = {2015}, url = {http://eprint.iacr.org/2015/1191}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/RebeiroM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/Roy0M15, author = {Debapriya Basu Roy and Poulami Das and Debdeep Mukhopadhyay}, title = {{ECC} on Your Fingertips: {A} Single Instruction Approach for Lightweight {ECC} Design in {GF} (p)}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1225}, year = {2015}, url = {http://eprint.iacr.org/2015/1225}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/Roy0M15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaCNAM15, author = {Sayandeep Saha and Rajat Subhra Chakraborty and Srinivasa Shashank Nuthakki and Anshul and Debdeep Mukhopadhyay}, title = {Improved Test Pattern Generation for Hardware Trojan Detection using Genetic Algorithm and Boolean Satisfiability}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1252}, year = {2015}, url = {http://eprint.iacr.org/2015/1252}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaCNAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0039124, author = {Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Hardware Security - Design, Threats, and Safeguards}, publisher = {{CRC} Press}, year = {2014}, url = {https://www.crcpress.com/Hardware-Security-Design-Threats-and-Safeguards/Mukhopadhyay-Chakraborty/p/book/9781439895832}, isbn = {978-1-439-89583-2}, timestamp = {Fri, 23 Sep 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0039124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/PalchaudhuriCSKM14, author = {Ayan Palchaudhuri and Rajat Subhra Chakraborty and Mohammad Salman and Sreemukh Kardas and Debdeep Mukhopadhyay}, editor = {Jaroslaw Was and Georgios Ch. Sirakoulis and Stefania Bandini}, title = {Highly Compact Automated Implementation of Linear {CA} on FPGAs}, booktitle = {Cellular Automata - 11th International Conference on Cellular Automata for Research and Industry, {ACRI} 2014, Krakow, Poland, September 22-25, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8751}, pages = {388--397}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11520-7\_40}, doi = {10.1007/978-3-319-11520-7\_40}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acri/PalchaudhuriCSKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africacrypt/HajraRBBSGM14, author = {Suvadeep Hajra and Chester Rebeiro and Shivam Bhasin and Gaurav Bajaj and Sahil Sharma and Sylvain Guilley and Debdeep Mukhopadhyay}, editor = {David Pointcheval and Damien Vergnaud}, title = {{DRECON:} {DPA} Resistant Encryption by Construction}, booktitle = {Progress in Cryptology - {AFRICACRYPT} 2014 - 7th International Conference on Cryptology in Africa, Marrakesh, Morocco, May 28-30, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8469}, pages = {420--439}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-06734-6\_25}, doi = {10.1007/978-3-319-06734-6\_25}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/africacrypt/HajraRBBSGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/JohnsonSCMG14, author = {Anju P. Johnson and Sayandeep Saha and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay and Sezer G{\"{o}}ren}, editor = {Ting Yu and Shengqi Yang}, title = {Fault attack on {AES} via hardware Trojan insertion by dynamic partial reconfiguration of {FPGA} over ethernet}, booktitle = {Proceedings of the 9th Workshop on Embedded Systems Security, {WESS} '14, New Delhi, India, October 17, 2014}, pages = {1:1--1:8}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2668322.2668323}, doi = {10.1145/2668322.2668323}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/JohnsonSCMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/TupsamudreBM14, author = {Harshal Tupsamudre and Shikha Bisht and Debdeep Mukhopadhyay}, editor = {Lejla Batina and Matthew Robshaw}, title = {Destroying Fault Invariant with Randomization - {A} Countermeasure for {AES} Against Differential Fault Attacks}, booktitle = {Cryptographic Hardware and Embedded Systems - {CHES} 2014 - 16th International Workshop, Busan, South Korea, September 23-26, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8731}, pages = {93--111}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44709-3\_6}, doi = {10.1007/978-3-662-44709-3\_6}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/ches/TupsamudreBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cosade/HajraM14, author = {Suvadeep Hajra and Debdeep Mukhopadhyay}, editor = {Emmanuel Prouff}, title = {On the Optimal Pre-processing for Non-profiling Differential Power Analysis}, booktitle = {Constructive Side-Channel Analysis and Secure Design - 5th International Workshop, {COSADE} 2014, Paris, France, April 13-15, 2014. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8622}, pages = {161--178}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-10175-0\_12}, doi = {10.1007/978-3-319-10175-0\_12}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/cosade/HajraM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoyMIT14, author = {Debapriya Basu Roy and Debdeep Mukhopadhyay and Masami Izumi and Junko Takahashi}, title = {Tile Before Multiplication: An Efficient Strategy to Optimize {DSP} Multiplier for Accelerating Prime Field {ECC} for {NIST} Curves}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {177:1--177:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593234}, doi = {10.1145/2593069.2593234}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RoyMIT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/DeBMM14, author = {Partha De and Kunal Banerjee and Chittaranjan A. Mandal and Debdeep Mukhopadhyay}, title = {Circuits and Synthesis Mechanism for Hardware Design to Counter Power Analysis Attacks}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {520--527}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.61}, doi = {10.1109/DSD.2014.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/DeBMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/TupsamudreBM14, author = {Harshal Tupsamudre and Shikha Bisht and Debdeep Mukhopadhyay}, editor = {Assia Tria and Dooho Choi}, title = {Differential Fault Analysis on the Families of {SIMON} and {SPECK} Ciphers}, booktitle = {2014 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2014, Busan, South Korea, September 23, 2014}, pages = {40--48}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FDTC.2014.14}, doi = {10.1109/FDTC.2014.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/TupsamudreBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/SahooSMCK14, author = {Durga Prasad Sahoo and Sayandeep Saha and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty and Hitesh Kapoor}, title = {Composite {PUF:} {A} new design paradigm for Physically Unclonable Functions on {FPGA}}, booktitle = {2014 {IEEE} International Symposium on Hardware-Oriented Security and Trust, {HOST} 2014, Arlington, VA, USA, May 6-7, 2014}, pages = {50--55}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HST.2014.6855567}, doi = {10.1109/HST.2014.6855567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/SahooSMCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/GuoMJK14, author = {Xiaofei Guo and Debdeep Mukhopadhyay and Chenglu Jin and Ramesh Karri}, title = {{NREPO:} Normal basis Recomputing with Permuted Operands}, booktitle = {2014 {IEEE} International Symposium on Hardware-Oriented Security and Trust, {HOST} 2014, Arlington, VA, USA, May 6-7, 2014}, pages = {118--123}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HST.2014.6855581}, doi = {10.1109/HST.2014.6855581}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/GuoMJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/ChakrabortyMM14, author = {Abhishek Chakraborty and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, editor = {Rajat Subhra Chakraborty and Vashek Matyas and Patrick Schaumont}, title = {Fibonacci {LFSR} vs. Galois {LFSR:} Which is More Vulnerable to Power Attacks?}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 4th International Conference, {SPACE} 2014, Pune, India, October 18-22, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8804}, pages = {14--27}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-12060-7\_2}, doi = {10.1007/978-3-319-12060-7\_2}, timestamp = {Mon, 15 Jun 2020 17:10:47 +0200}, biburl = {https://dblp.org/rec/conf/space/ChakrabortyMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/space/KolayM14, author = {Souvik Kolay and Debdeep Mukhopadhyay}, editor = {Rajat Subhra Chakraborty and Vashek Matyas and Patrick Schaumont}, title = {Khudra: {A} New Lightweight Block Cipher for FPGAs}, booktitle = {Security, Privacy, and Applied Cryptography Engineering - 4th International Conference, {SPACE} 2014, Pune, India, October 18-22, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8804}, pages = {126--145}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-12060-7\_9}, doi = {10.1007/978-3-319-12060-7\_9}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/space/KolayM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/NguyenSMC14, author = {Phuong Ha Nguyen and Durga Prasad Sahoo and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Cryptanalysis of Composite PUFs (Extended abstract-invited talk)}, booktitle = {18th International Symposium on {VLSI} Design and Test, {VDAT} 2014, Coimbatore, India, July 16-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISVDAT.2014.6881035}, doi = {10.1109/ISVDAT.2014.6881035}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/vdat/NguyenSMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/indocrypt/2014, editor = {Willi Meier and Debdeep Mukhopadhyay}, title = {Progress in Cryptology - {INDOCRYPT} 2014 - 15th International Conference on Cryptology in India, New Delhi, India, December 14-17, 2014, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8885}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13039-2}, doi = {10.1007/978-3-319-13039-2}, isbn = {978-3-319-13038-5}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/TupsamudreBM14, author = {Harshal Tupsamudre and Shikha Bisht and Debdeep Mukhopadhyay}, title = {Differential Fault Analysis on the families of {SIMON} and {SPECK} ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {267}, year = {2014}, url = {http://eprint.iacr.org/2014/267}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/TupsamudreBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChakrabortyMSMM14, author = {Kaushik Chakraborty and Subhamoy Maitra and Sumanta Sarkar and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, title = {Redefining the Transparency Order}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {367}, year = {2014}, url = {http://eprint.iacr.org/2014/367}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChakrabortyMSMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GuoMJK14, author = {Xiaofei Guo and Debdeep Mukhopadhyay and Chenglu Jin and Ramesh Karri}, title = {{NREPO:} Normal Basis Recomputing with Permuted Operands}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {497}, year = {2014}, url = {http://eprint.iacr.org/2014/497}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/GuoMJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KolayM14, author = {Souvik Kolay and Debdeep Mukhopadhyay}, title = {Lightweight Diffusion Layer from the k\({}^{\mbox{th}}\) root of the {MDS} Matrix}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {498}, year = {2014}, url = {http://eprint.iacr.org/2014/498}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KolayM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/DeyCAM14a, author = {Prakash Dey and Abhishek Chakraborty and Avishek Adhikari and Debdeep Mukhopadhyay}, title = {Multi-Bit Differential Fault Analysis of Grain-128 with Very Weak Assumptions}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {654}, year = {2014}, url = {http://eprint.iacr.org/2014/654}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/DeyCAM14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BhattacharyaM14, author = {Sarani Bhattacharya and Debdeep Mukhopadhyay}, title = {Fault Attack revealing Secret Keys of Exponentiation Algorithms from Branch Prediction Misses}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {790}, year = {2014}, url = {http://eprint.iacr.org/2014/790}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BhattacharyaM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/GorenOYUCM13, author = {Sezer G{\"{o}}ren and Ozgur Ozkurt and Abdullah Yildiz and H. Fatih Ugurdag and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay}, title = {Partial bitstream protection for low-cost FPGAs with physical unclonable function, obfuscation, and dynamic partial self reconfiguration}, journal = {Comput. Electr. Eng.}, volume = {39}, number = {2}, pages = {386--397}, year = {2013}, url = {https://doi.org/10.1016/j.compeleceng.2012.10.009}, doi = {10.1016/J.COMPELECENG.2012.10.009}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/GorenOYUCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/AliMM13, author = {Sk Subidh Ali and Bodhisatwa Mazumdar and Debdeep Mukhopadhyay}, title = {A Fault Analysis Perspective for Testing of Secured SoC Cores}, journal = {{IEEE} Des. Test}, volume = {30}, number = {5}, pages = {63--73}, year = {2013}, url = {https://doi.org/10.1109/MDAT.2013.2252951}, doi = {10.1109/MDAT.2013.2252951}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/AliMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/BhaumikMC13, author = {Jaydeb Bhaumik and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Rain: Reversible Addition with Increased Nonlinearity}, journal = {Int. J. Netw. Secur.}, volume = {15}, number = {4}, pages = {298--306}, year = {2013}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v15-n4/ijns-2013-v15-n4-p298-306.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/BhaumikMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jce/AliMT13, author = {Subidh Ali and Debdeep Mukhopadhyay and Michael Tunstall}, title = {Differential fault analysis of {AES:} towards reaching its limits}, journal = {J. Cryptogr. Eng.}, volume = {3}, number = {2}, pages = {73--97}, year = {2013}, url = {https://doi.org/10.1007/s13389-012-0046-y}, doi = {10.1007/S13389-012-0046-Y}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jce/AliMT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/RebeiroNMP13, author = {Chester Rebeiro and Phuong Ha Nguyen and Debdeep Mukhopadhyay and Axel Poschmann}, title = {Formalizing the Effect of Feistel Cipher Structures on Differential Cache Attacks}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {8}, number = {8}, pages = {1274--1279}, year = {2013}, url = {https://doi.org/10.1109/TIFS.2013.2267733}, doi = {10.1109/TIFS.2013.2267733}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/RebeiroNMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/MazumdarMS13, author = {Bodhisatwa Mazumdar and Debdeep Mukhopadhyay and Indranil Sengupta}, title = {Constrained Search for a Class of Good Bijective S-Boxes With Improved {DPA} Resistivity}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {8}, number = {12}, pages = {2154--2163}, year = {2013}, url = {https://doi.org/10.1109/TIFS.2013.2285522}, doi = {10.1109/TIFS.2013.2285522}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/MazumdarMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GhoshMC13, author = {Santosh Ghosh and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Secure Dual-Core Cryptoprocessor for Pairings Over Barreto-Naehrig Curves on {FPGA} Platform}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {3}, pages = {434--442}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2188655}, doi = {10.1109/TVLSI.2012.2188655}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/GhoshMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RoyRM13, author = {Sujoy Sinha Roy and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Theoretical Modeling of Elliptic Curve Scalar Multiplier on LUT-Based FPGAs for Area and Speed}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {5}, pages = {901--909}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2198502}, doi = {10.1109/TVLSI.2012.2198502}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RoyRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisc/HajraM13, author = {Suvadeep Hajra and Debdeep Mukhopadhyay}, editor = {Dongdai Lin and Shouhuai Xu and Moti Yung}, title = {Multivariate Leakage Model for Improving Non-profiling {DPA} on Noisy Power Traces}, booktitle = {Information Security and Cryptology - 9th International Conference, Inscrypt 2013, Guangzhou, China, November 27-30, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8567}, pages = {325--342}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-12087-4\_21}, doi = {10.1007/978-3-319-12087-4\_21}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/cisc/HajraM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangYRNZMMB13, author = {Xinmu Wang and Wen Yueh and Debapriya Basu Roy and Seetharam Narasimhan and Yu Zheng and Saibal Mukhopadhyay and Debdeep Mukhopadhyay and Swarup Bhunia}, title = {Role of power grid in side channel attack and power-grid-aware secure design}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {78:1--78:9}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488830}, doi = {10.1145/2463209.2488830}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangYRNZMMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/DeBMM13, author = {Partha De and Kunal Banerjee and Chittaranjan A. Mandal and Debdeep Mukhopadhyay}, title = {Designing {DPA} Resistant Circuits Using {BDD} Architecture and Bottom Pre-charge Logic}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {641--644}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.128}, doi = {10.1109/DSD.2013.128}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/DeBMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KolayKSRM13, author = {Souvik Kolay and Sagar Khurana and Anupam Sadhukhan and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {{PERMS:} {A} Bit Permutation Instruction for Accelerating Software Cryptography}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {963--968}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.109}, doi = {10.1109/DSD.2013.109}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/KolayKSRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/KhuranaKRM13, author = {Sagar Khurana and Souvik Kolay and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Lightweight cipher implementations on embedded processors}, booktitle = {Proceedings of the 8th International Conference on Design {\&} Technology of Integrated Systems in Nanoscale Era, {DTIS} 2013, 26-28 March, 2013, Abu Dhabi, {UAE}}, pages = {82--87}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/DTIS.2013.6527783}, doi = {10.1109/DTIS.2013.6527783}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/dtis/KhuranaKRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/AliM13, author = {Subidh Ali and Debdeep Mukhopadhyay}, editor = {Wieland Fischer and J{\"{o}}rn{-}Marc Schmidt}, title = {Improved Differential Fault Analysis of {CLEFIA}}, booktitle = {2013 Workshop on Fault Diagnosis and Tolerance in Cryptography, Los Alamitos, CA, USA, August 20, 2013}, pages = {60--70}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/FDTC.2013.11}, doi = {10.1109/FDTC.2013.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/AliM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/host/MazumdarMS13, author = {Bodhisatwa Mazumdar and Debdeep Mukhopadhyay and Indranil Sengupta}, title = {Design and implementation of rotation symmetric S-boxes with high nonlinearity and high {DPA} resilience}, booktitle = {2013 {IEEE} International Symposium on Hardware-Oriented Security and Trust, {HOST} 2013, Austin, TX, USA, June 2-3, 2013}, pages = {87--92}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/HST.2013.6581571}, doi = {10.1109/HST.2013.6581571}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/host/MazumdarMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Mukhopadhyay13, author = {Debdeep Mukhopadhyay}, title = {On-line testing for differential fault attacks in cryptographic circuits}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {226--227}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604084}, doi = {10.1109/IOLTS.2013.6604084}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Mukhopadhyay13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BhattacharyaRM13, author = {Sarani Bhattacharya and Chester Rebeiro and Debdeep Mukhopadhyay}, editor = {Ruby B. Lee and Weidong Shi}, title = {Unraveling timewarp: what all the fuzz is about?}, booktitle = {{HASP} 2013, The Second Workshop on Hardware and Architectural Support for Security and Privacy, Tel-Aviv, Israel, June 23-24, 2013}, pages = {8}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2487726.2487734}, doi = {10.1145/2487726.2487734}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/BhattacharyaRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SahooMC13, author = {Durga Prasad Sahoo and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Design of low area-overhead ring oscillator {PUF} with large challenge space}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732277}, doi = {10.1109/RECONFIG.2013.6732277}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SahooMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/space/2013, editor = {Benedikt Gierlichs and Sylvain Guilley and Debdeep Mukhopadhyay}, title = {Security, Privacy, and Applied Cryptography Engineering - Third International Conference, {SPACE} 2013, Kharagpur, India, October 19-23, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8204}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41224-0}, doi = {10.1007/978-3-642-41224-0}, isbn = {978-3-642-41223-3}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/space/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/HajraM13, author = {Suvadeep Hajra and Debdeep Mukhopadhyay}, title = {Pushing the Limit of Non-Profiling {DPA} using Multivariate Leakage Model}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {849}, year = {2013}, url = {http://eprint.iacr.org/2013/849}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/HajraM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/HajraM13a, author = {Suvadeep Hajra and Debdeep Mukhopadhyay}, title = {{SNR} to Success Rate: Reaching the Limit of Non-Profiling {DPA}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {865}, year = {2013}, url = {http://eprint.iacr.org/2013/865}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/HajraM13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/RoyRM12, author = {Sujoy Sinha Roy and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Generalized high speed Itoh-Tsujii multiplicative inversion architecture for FPGAs}, journal = {Integr.}, volume = {45}, number = {3}, pages = {307--315}, year = {2012}, url = {https://doi.org/10.1016/j.vlsi.2011.11.007}, doi = {10.1016/J.VLSI.2011.11.007}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/RoyRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/KarmakarMC12, author = {Sandip Karmakar and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {CAvium - Strengthening Trivium Stream Cipher Using Cellular Automata}, journal = {J. Cell. Autom.}, volume = {7}, number = {2}, pages = {179--197}, year = {2012}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-7-number-2-2012/jca-7-2-p-179-197/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/KarmakarMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/RebeiroM12, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Boosting Profiled Cache Timing Attacks With {A} Priori Analysis}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {7}, number = {6}, pages = {1900--1905}, year = {2012}, url = {https://doi.org/10.1109/TIFS.2012.2217333}, doi = {10.1109/TIFS.2012.2217333}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/RebeiroM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/Mukhopadhyay12, author = {Debdeep Mukhopadhyay}, editor = {Georgios Ch. Sirakoulis and Stefania Bandini}, title = {Generating Expander Graphs Using Cellular Automata}, booktitle = {Cellular Automata - 10th International Conference on Cellular Automata for Research and Industry, {ACRI} 2012, Santorini Island, Greece, September 24-27, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7495}, pages = {52--62}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33350-7\_6}, doi = {10.1007/978-3-642-33350-7\_6}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/acri/Mukhopadhyay12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/RebeiroRM12, author = {Chester Rebeiro and Sujoy Sinha Roy and Debdeep Mukhopadhyay}, editor = {Emmanuel Prouff and Patrick Schaumont}, title = {Pushing the Limits of High-Speed {GF(2} m {)} Elliptic Curve Scalar Multiplication on FPGAs}, booktitle = {Cryptographic Hardware and Embedded Systems - {CHES} 2012 - 14th International Workshop, Leuven, Belgium, September 9-12, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7428}, pages = {494--511}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33027-8\_29}, doi = {10.1007/978-3-642-33027-8\_29}, timestamp = {Mon, 15 Jun 2020 17:09:16 +0200}, biburl = {https://dblp.org/rec/conf/ches/RebeiroRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisc/AliM12, author = {Subidh Ali and Debdeep Mukhopadhyay}, editor = {Miroslaw Kutylowski and Moti Yung}, title = {Differential Fault Analysis of Twofish}, booktitle = {Information Security and Cryptology - 8th International Conference, Inscrypt 2012, Beijing, China, November 28-30, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7763}, pages = {10--28}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-38519-3\_2}, doi = {10.1007/978-3-642-38519-3\_2}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisc/AliM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisc/NguyenRMW12, author = {Phuong Ha Nguyen and Chester Rebeiro and Debdeep Mukhopadhyay and Huaxiong Wang}, editor = {Miroslaw Kutylowski and Moti Yung}, title = {Improved Differential Cache Attacks on {SMS4}}, booktitle = {Information Security and Cryptology - 8th International Conference, Inscrypt 2012, Beijing, China, November 28-30, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7763}, pages = {29--45}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-38519-3\_3}, doi = {10.1007/978-3-642-38519-3\_3}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisc/NguyenRMW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RoyRM12, author = {Sujoy Sinha Roy and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {A Parallel Architecture for Koblitz Curve Scalar Multiplications on {FPGA} Platforms}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {553--559}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.10}, doi = {10.1109/DSD.2012.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/RoyRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/BhattacharyaRM12, author = {Sarani Bhattacharya and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Hardware Prefetchers Leak: {A} Revisit of {SVF} for Cache-Timing Attacks}, booktitle = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2012, Workshops Proceedings, Vancouver, BC, Canada, December 1-5, 2012}, pages = {17--23}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MICROW.2012.13}, doi = {10.1109/MICROW.2012.13}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/BhattacharyaRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/RoyM12, author = {Debapriya Basu Roy and Debdeep Mukhopadhyay}, editor = {Hafizur Rahaman and Sanatan Chattopadhyay and Santanu Chattopadhyay}, title = {An Efficient High Speed Implementation of Flexible Characteristic-2 Multipliers on FPGAs}, booktitle = {Progress in {VLSI} Design and Test - 16th International Symposium, {VDAT} 2012, Shibpur, India, July 1-4, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7373}, pages = {99--110}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31494-0\_12}, doi = {10.1007/978-3-642-31494-0\_12}, timestamp = {Tue, 22 Oct 2019 15:21:19 +0200}, biburl = {https://dblp.org/rec/conf/vdat/RoyM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/BurmanPCMS12, author = {Sanjay Burman and Ayan Palchaudhuri and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay and Pranav Singh}, editor = {Hafizur Rahaman and Sanatan Chattopadhyay and Santanu Chattopadhyay}, title = {Effect of Malicious Hardware Logic on Circuit Reliability}, booktitle = {Progress in {VLSI} Design and Test - 16th International Symposium, {VDAT} 2012, Shibpur, India, July 1-4, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7373}, pages = {190--197}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31494-0\_22}, doi = {10.1007/978-3-642-31494-0\_22}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vdat/BurmanPCMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MazumdarMS12, author = {Bodhisatwa Mazumdar and Debdeep Mukhopadhyay and Indranil Sengupta}, editor = {Vishwani D. Agrawal and Srimat T. Chakradhar}, title = {Design for Security of Block Cipher S-Boxes to Resist Differential Power Attacks}, booktitle = {25th International Conference on {VLSI} Design, Hyderabad, India, January 7-11, 2012}, pages = {113--118}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/VLSID.2012.56}, doi = {10.1109/VLSID.2012.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MazumdarMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/AliM12, author = {Subidh Ali and Debdeep Mukhopadhyay}, title = {Protecting Last Four Rounds of {CLEFIA} is Not Enough Against Differential Fault Analysis}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {286}, year = {2012}, url = {http://eprint.iacr.org/2012/286}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/AliM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/AliMT12, author = {Subidh Ali and Debdeep Mukhopadhyay and Michael Tunstall}, title = {Differential Fault Analysis of {AES:} Towards Reaching its Limits}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {446}, year = {2012}, url = {http://eprint.iacr.org/2012/446}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/AliMT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/MazumdarMS12, author = {Bodhisatwa Mazumdar and Debdeep Mukhopadhyay and Indranil Sengupta}, title = {Constrained Search for a Class of Good S-Boxes with Improved {DPA} Resistivity}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {547}, year = {2012}, url = {http://eprint.iacr.org/2012/547}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/MazumdarMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GuoMK12, author = {Xiaofei Guo and Debdeep Mukhopadhyay and Ramesh Karri}, title = {Provably Secure Concurrent Error Detection Against Differential Fault Analysis}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {552}, year = {2012}, url = {http://eprint.iacr.org/2012/552}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/GuoMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/GhoshMC11, author = {Santosh Ghosh and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Fault Attack, Countermeasures on Pairing Based Cryptography}, journal = {Int. J. Netw. Secur.}, volume = {12}, number = {1}, pages = {21--28}, year = {2011}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v12-n1/ijns-2011-v12-n1-p21-28.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/GhoshMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MukhopadhyayC11, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {A Parallel Efficient Architecture for Large Cryptographically Robust n {\texttimes} k (k{\textgreater}n/2) Mappings}, journal = {{IEEE} Trans. Computers}, volume = {60}, number = {3}, pages = {375--385}, year = {2011}, url = {https://doi.org/10.1109/TC.2010.136}, doi = {10.1109/TC.2010.136}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MukhopadhyayC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/GhoshMC11, author = {Santosh Ghosh and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Petrel: Power and Timing Attack Resistant Elliptic Curve Scalar Multiplier Based on Programmable GF(p) Arithmetic Unit}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {8}, pages = {1798--1812}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2103190}, doi = {10.1109/TCSI.2010.2103190}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/GhoshMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RebeiroRRM11, author = {Chester Rebeiro and Sujoy Sinha Roy and Sankara Reddy and Debdeep Mukhopadhyay}, title = {Revisiting the Itoh-Tsujii Inversion Algorithm for {FPGA} Platforms}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {8}, pages = {1508--1512}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2051343}, doi = {10.1109/TVLSI.2010.2051343}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RebeiroRRM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africacrypt/AliM11, author = {Subidh Ali and Debdeep Mukhopadhyay}, editor = {Abderrahmane Nitaj and David Pointcheval}, title = {An Improved Differential Fault Analysis on {AES-256}}, booktitle = {Progress in Cryptology - {AFRICACRYPT} 2011 - 4th International Conference on Cryptology in Africa, Dakar, Senegal, July 5-7, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6737}, pages = {332--347}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21969-6\_21}, doi = {10.1007/978-3-642-21969-6\_21}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/africacrypt/AliM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MukhopadhyayC11, author = {Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Testability of Cryptographic Hardware and Detection of Hardware Trojans}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {517--524}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.27}, doi = {10.1109/ATS.2011.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MukhopadhyayC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cardis/AliM11, author = {Subidh Ali and Debdeep Mukhopadhyay}, editor = {Emmanuel Prouff}, title = {Differential Fault Analysis of {AES-128} Key Schedule Using a Single Multi-byte Fault}, booktitle = {Smart Card Research and Advanced Applications - 10th {IFIP} {WG} 8.8/11.2 International Conference, {CARDIS} 2011, Leuven, Belgium, September 14-16, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7079}, pages = {50--64}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27257-8\_4}, doi = {10.1007/978-3-642-27257-8\_4}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cardis/AliM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ctrsa/RebeiroM11, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, editor = {Aggelos Kiayias}, title = {Cryptanalysis of {CLEFIA} Using Differential Methods with Cache Trace Patterns}, booktitle = {Topics in Cryptology - {CT-RSA} 2011 - The Cryptographers' Track at the {RSA} Conference 2011, San Francisco, CA, USA, February 14-18, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6558}, pages = {89--103}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19074-2\_7}, doi = {10.1007/978-3-642-19074-2\_7}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/ctrsa/RebeiroM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AliCMB11, author = {Subidh Ali and Rajat Subhra Chakraborty and Debdeep Mukhopadhyay and Swarup Bhunia}, title = {Multi-level attacks: An emerging security concern for cryptographic hardware}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1176--1179}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763307}, doi = {10.1109/DATE.2011.5763307}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AliCMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RoyRM11, author = {Sujoy Sinha Roy and Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Theoretical modeling of the Itoh-Tsujii Inversion algorithm for enhanced performance on k-LUT based FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1231--1236}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763197}, doi = {10.1109/DATE.2011.5763197}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RoyRM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/AliM11, author = {Subidh Ali and Debdeep Mukhopadhyay}, editor = {Luca Breveglieri and Sylvain Guilley and Israel Koren and David Naccache and Junko Takahashi}, title = {A Differential Fault Analysis on {AES} Key Schedule Using Single Fault}, booktitle = {2011 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2011, Tokyo, Japan, September 29, 2011}, pages = {35--42}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/FDTC.2011.10}, doi = {10.1109/FDTC.2011.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdtc/AliM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RoyRM11, author = {Sujoy Sinha Roy and Chester Rebeiro and Debdeep Mukhopadhyay}, editor = {David Atienza and Yuan Xie and Jos{\'{e}} L. Ayala and Ken S. Stevens}, title = {Accelerating Itoh-Tsujii multiplicative inversion algorithm for FPGAs}, booktitle = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010, Lausanne, Switzerland, May 2-6, 2011}, pages = {67--72}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1973009.1973024}, doi = {10.1145/1973009.1973024}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/RoyRM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/SahaMC11, author = {Dhiman Saha and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Daniel J. Bernstein and Sanjit Chatterjee}, title = {{PKDPA:} An Enhanced Probabilistic Differential Power Attack Methodology}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2011 - 12th International Conference on Cryptology in India, Chennai, India, December 11-14, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7107}, pages = {3--21}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25578-6\_3}, doi = {10.1007/978-3-642-25578-6\_3}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/SahaMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/RebeiroPDM11, author = {Chester Rebeiro and Rishabh Poddar and Amit Datta and Debdeep Mukhopadhyay}, editor = {Daniel J. Bernstein and Sanjit Chatterjee}, title = {An Enhanced Differential Cache Attack on {CLEFIA} for Large Cache Lines}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2011 - 12th International Conference on Cryptology in India, Chennai, India, December 11-14, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7107}, pages = {58--75}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25578-6\_6}, doi = {10.1007/978-3-642-25578-6\_6}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/indocrypt/RebeiroPDM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wistp/TunstallMA11, author = {Michael Tunstall and Debdeep Mukhopadhyay and Subidh Ali}, editor = {Claudio A. Ardagna and Jianying Zhou}, title = {Differential Fault Analysis of the Advanced Encryption Standard Using a Single Fault}, booktitle = {Information Security Theory and Practice. Security and Privacy of Mobile Devices in Wireless Communication - 5th {IFIP} {WG} 11.2 International Workshop, {WISTP} 2011, Heraklion, Crete, Greece, June 1-3, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6633}, pages = {224--233}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21040-2\_15}, doi = {10.1007/978-3-642-21040-2\_15}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wistp/TunstallMA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/infosechicomnet/2011, editor = {Marc Joye and Debdeep Mukhopadhyay and Michael Tunstall}, title = {Security Aspects in Information Technology - First International Conference, InfoSecHiComNet 2011, Haldia, India, October 19-22, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7011}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24586-2}, doi = {10.1007/978-3-642-24586-2}, isbn = {978-3-642-24585-5}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infosechicomnet/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GhoshMC11, author = {Santosh Ghosh and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Security of Prime Field Pairing Cryptoprocessor Against Differential Power Attack}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {181}, year = {2011}, url = {http://eprint.iacr.org/2011/181}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/GhoshMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/RoyRMTF11, author = {Sujoy Sinha Roy and Chester Rebeiro and Debdeep Mukhopadhyay and Junko Takahashi and Toshinori Fukunaga}, title = {Scalar Multiplication on Koblitz Curves using tau\({}^{\mbox{2}}\)-NAF}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {318}, year = {2011}, url = {http://eprint.iacr.org/2011/318}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/RoyRMTF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/MondalCDM11, author = {Mainack Mondal and Avik Chakraborti and Nilanjan Datta and Debdeep Mukhopadhyay}, title = {TweLEX: {A} Tweaked Version of the {LEX} Stream Cipher}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {586}, year = {2011}, url = {http://eprint.iacr.org/2011/586}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/MondalCDM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/Mukhopadhyay10, author = {Debdeep Mukhopadhyay}, title = {Group Properties of Non-linear Cellular Automata}, journal = {J. Cell. Autom.}, volume = {5}, number = {1-2}, pages = {139--155}, year = {2010}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-5-number-1-2-2010/jca-5-1-2-p-139-155/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/Mukhopadhyay10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/KarmakarMC10, author = {Sandip Karmakar and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Stefania Bandini and Sara Manzoni and Hiroshi Umeo and Giuseppe Vizzari}, title = {\emph{d}-Monomial Tests of Nonlinear Cellular Automata for Cryptographic Design}, booktitle = {Cellular Automata - 9th International Conference on Cellular Automata for Research and Industry, {ACRI} 2010, Ascoli Piceno, Italy, September 21-24, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6350}, pages = {261--270}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15979-4\_28}, doi = {10.1007/978-3-642-15979-4\_28}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/acri/KarmakarMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasip/GhoshMC10, author = {Santosh Ghosh and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {High speed Fp multipliers and adders on {FPGA} platform}, booktitle = {Proceedings of the 2010 Conference on Design {\&} Architectures for Signal {\&} Image Processing, {DASIP} 2010, Edinburgh, Scotland, UK, October 26-28, 2010, Electronic Chips {\&} Systems design Initiative, {ECSI}}, pages = {21--26}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/DASIP.2010.5706241}, doi = {10.1109/DASIP.2010.5706241}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dasip/GhoshMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pairing/GhoshMC10, author = {Santosh Ghosh and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Marc Joye and Atsuko Miyaji and Akira Otsuka}, title = {High Speed Flexible Pairing Cryptoprocessor on {FPGA} Platform}, booktitle = {Pairing-Based Cryptography - Pairing 2010 - 4th International Conference, Yamanaka Hot Spring, Japan, December 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6487}, pages = {450--466}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17455-1\_28}, doi = {10.1007/978-3-642-17455-1\_28}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/pairing/GhoshMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secrypt/MallikM10, author = {Dibyendu Mallik and Debdeep Mukhopadhyay}, editor = {Sokratis K. Katsikas and Pierangela Samarati}, title = {New Pseudo Near Collision Attack on Tiger}, booktitle = {{SECRYPT} 2010 - Proceedings of the International Conference on Security and Cryptography, Athens, Greece, July 26-28, 2010, {SECRYPT} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {427--430}, publisher = {SciTePress}, year = {2010}, timestamp = {Fri, 07 Jan 2011 08:40:23 +0100}, biburl = {https://dblp.org/rec/conf/secrypt/MallikM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RebeiroMM10, author = {Chester Rebeiro and Mainack Mondal and Debdeep Mukhopadhyay}, title = {Pinpointing Cache Timing Attacks on {AES}}, booktitle = {{VLSI} Design 2010: 23rd International Conference on {VLSI} Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010}, pages = {306--311}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/VLSI.Design.2010.29}, doi = {10.1109/VLSI.DESIGN.2010.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RebeiroMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/RebeiroM10, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Differential Cache Trace Attack Against {CLEFIA}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {12}, year = {2010}, url = {http://eprint.iacr.org/2010/012}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/RebeiroM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/AliM10, author = {Subidh Ali and Debdeep Mukhopadhyay}, title = {Acceleration of Differential Fault Analysis of the Advanced Encryption Standard Using Single Fault}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {451}, year = {2010}, url = {http://eprint.iacr.org/2010/451}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/AliM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/AliMT10, author = {Subidh Ali and Debdeep Mukhopadhyay and Michael Tunstall}, title = {Differential Fault Analysis of {AES} using a Single Multiple-Byte Fault}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {636}, year = {2010}, url = {http://eprint.iacr.org/2010/636}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/AliMT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ifs/AlamGMMCS09, author = {Monjur Alam and Santosh Ghosh and M. J. Mohan and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury and Indranil Sengupta}, title = {Effect of glitches against masked {AES} S-box implementation and countermeasure}, journal = {{IET} Inf. Secur.}, volume = {3}, number = {1}, pages = {34--44}, year = {2009}, url = {https://doi.org/10.1049/iet-ifs:20080041}, doi = {10.1049/IET-IFS:20080041}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ifs/AlamGMMCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africacrypt/Mukhopadhyay09, author = {Debdeep Mukhopadhyay}, editor = {Bart Preneel}, title = {An Improved Fault Based Attack of the Advanced Encryption Standard}, booktitle = {Progress in Cryptology - {AFRICACRYPT} 2009, Second International Conference on Cryptology in Africa, Gammarth, Tunisia, June 21-25, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5580}, pages = {421--434}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02384-2\_26}, doi = {10.1007/978-3-642-02384-2\_26}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/africacrypt/Mukhopadhyay09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecctd/Mukhopadhyay09, author = {Debdeep Mukhopadhyay}, title = {A new fault attack on the advanced encryption standard hardware}, booktitle = {19th European Conference on Circuit Theory and Design, {ECCTD} 2009, Antalya, Turkey, August 23-27, 2009}, pages = {387--390}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ECCTD.2009.5275006}, doi = {10.1109/ECCTD.2009.5275006}, timestamp = {Fri, 13 Nov 2020 09:23:49 +0100}, biburl = {https://dblp.org/rec/conf/ecctd/Mukhopadhyay09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/RebeiroMTF09, author = {Chester Rebeiro and Debdeep Mukhopadhyay and Junko Takahashi and Toshinori Fukunaga}, editor = {Bimal K. Roy and Nicolas Sendrier}, title = {Cache Timing Attacks on Clefia}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2009, 10th International Conference on Cryptology in India, New Delhi, India, December 13-16, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5922}, pages = {104--118}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-10628-6\_7}, doi = {10.1007/978-3-642-10628-6\_7}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/RebeiroMTF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/TunstallM09, author = {Michael Tunstall and Debdeep Mukhopadhyay}, title = {Differential Fault Analysis of the Advanced Encryption Standard using a Single Fault}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {575}, year = {2009}, url = {http://eprint.iacr.org/2009/575}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/TunstallM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SahaMC09, author = {Dhiman Saha and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {A Diagonal Fault Attack on the Advanced Encryption Standard}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {581}, year = {2009}, url = {http://eprint.iacr.org/2009/581}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SahaMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/MukhopadhyayC08, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Customizing Cellular Message Encryption Algorithm}, journal = {Int. J. Netw. Secur.}, volume = {7}, number = {2}, pages = {193--201}, year = {2008}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v7-n2/ijns-2008-v7-n2-p193-201.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/MukhopadhyayC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/MukhopadhyayJC08, author = {Debdeep Mukhopadhyay and Pallavi Joshi and Dipanwita Roy Chowdhury}, title = {{VLSI} Architecture of a Cellular Automata based One-Way Function}, journal = {J. Comput.}, volume = {3}, number = {5}, pages = {46--53}, year = {2008}, url = {http://www.jcomputers.us/index.php?m=content\&\#38;c=index\&\#38;a=show\&\#38;catid=58\&\#38;id=649}, doi = {10.4304/JCP.3.5.46-53}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/MukhopadhyayJC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/MukhopadhyayCR08, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury and Chester Rebeiro}, editor = {Hiroshi Umeo and Shin Morishita and Katsuhiro Nishinari and Toshihiko Komatsuzaki and Stefania Bandini}, title = {Theory of Composing Non-linear Machines with Predictable Cyclic Structures}, booktitle = {Cellular Automata, 8th International Conference on Cellular Aotomata for Reseach and Industry, {ACRI} 2008, Yokohama, Japan, September 23-26, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5191}, pages = {210--219}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-79992-4\_27}, doi = {10.1007/978-3-540-79992-4\_27}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/acri/MukhopadhyayCR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/AgrawalKSM08, author = {Mukesh Agrawal and Sandip Karmakar and Dhiman Saha and Debdeep Mukhopadhyay}, editor = {Dipanwita Roy Chowdhury and Vincent Rijmen and Abhijit Das}, title = {Scan Based Side Channel Attacks on Stream Ciphers and Their Counter-Measures}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2008, 9th International Conference on Cryptology in India, Kharagpur, India, December 14-17, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5365}, pages = {226--238}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89754-5\_18}, doi = {10.1007/978-3-540-89754-5\_18}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/AgrawalKSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/RebeiroM08, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, editor = {Dipanwita Roy Chowdhury and Vincent Rijmen and Abhijit Das}, title = {High Speed Compact Elliptic Curve Cryptoprocessor for {FPGA} Platforms}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2008, 9th International Conference on Cryptology in India, Kharagpur, India, December 14-17, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5365}, pages = {376--388}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89754-5\_29}, doi = {10.1007/978-3-540-89754-5\_29}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/indocrypt/RebeiroM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RebeiroM08, author = {Chester Rebeiro and Debdeep Mukhopadhyay}, title = {Power Attack Resistant Efficient {FPGA} Architecture for Karatsuba Multiplier}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {706--711}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.65}, doi = {10.1109/VLSI.2008.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RebeiroM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/MukhopadhyayC07, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Theory of a Class of Complemented Group Cellular Automata and Its Application to Cryptography}, journal = {J. Cell. Autom.}, volume = {2}, number = {3}, pages = {243--271}, year = {2007}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-2-number-3-2007/jca-2-3-p-243-271/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/MukhopadhyayC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MukhopadhyaySC07, author = {Debdeep Mukhopadhyay and Gaurav Sengar and Dipanwita Roy Chowdhury}, title = {Hierarchical Verification of Galois Field Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {10}, pages = {1893--1898}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.895755}, doi = {10.1109/TCAD.2007.895755}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MukhopadhyaySC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SengarMC07, author = {Gaurav Sengar and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Secured Flipped Scan-Chain Model for Crypto-Architecture}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {11}, pages = {2080--2084}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.906483}, doi = {10.1109/TCAD.2007.906483}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SengarMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/BhattacharyaMSC07, author = {Debojyoti Bhattacharya and Debdeep Mukhopadhyay and Dhiman Saha and Dipanwita Roy Chowdhury}, editor = {Josef Pieprzyk and Hossein Ghodosi and Ed Dawson}, title = {Strengthening {NLS} Against Crossword Puzzle Attack}, booktitle = {Information Security and Privacy, 12th Australasian Conference, {ACISP} 2007, Townsville, Australia, July 2-4, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4586}, pages = {29--44}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73458-1\_3}, doi = {10.1007/978-3-540-73458-1\_3}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/acisp/BhattacharyaMSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlamRMGCS07, author = {Monjur Alam and Sonai Ray and Debdeep Mukhopadhyay and Santosh Ghosh and Dipanwita Roy Chowdhury and Indranil Sengupta}, editor = {Rudy Lauwereins and Jan Madsen}, title = {An area optimized reconfigurable encryptor for AES-Rijndael}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1116--1121}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266608}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/AlamRMGCS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/KumarMC07, author = {Kundan Kumar and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {K. Srinathan and C. Pandu Rangan and Moti Yung}, title = {Design of a Differential Power Analysis Resistant Masked {AES} S-Box}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2007, 8th International Conference on Cryptology in India, Chennai, India, December 9-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4859}, pages = {373--383}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77026-8\_29}, doi = {10.1007/978-3-540-77026-8\_29}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/KumarMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/BurmanMV07, author = {Sanjay Burman and Debdeep Mukhopadhyay and Kamakoti Veezhinathan}, editor = {K. Srinathan and C. Pandu Rangan and Moti Yung}, title = {{LFSR} Based Stream Ciphers Are Vulnerable to Power Attacks}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2007, 8th International Conference on Cryptology in India, Chennai, India, December 9-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4859}, pages = {384--392}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77026-8\_30}, doi = {10.1007/978-3-540-77026-8\_30}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/BurmanMV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MukhopadhyayJR07, author = {Debdeep Mukhopadhyay and Pallavi Joshi and Dipanwita Roy Chowdhury}, title = {An Efficient Design of Cellular Automata Based Cryptographically Robust One-Way Function}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {842--853}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.31}, doi = {10.1109/VLSID.2007.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MukhopadhyayJR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BanerjeeMRC06, author = {Shibaji Banerjee and Debdeep Mukhopadhyay and C. V. G. Rao and Dipanwita Roy Chowdhury}, title = {An integrated {DFT} solution for mixed-signal SOCs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {7}, pages = {1368--1377}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.855972}, doi = {10.1109/TCAD.2005.855972}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BanerjeeMRC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/BhattacharyaMC06, author = {Debojyoti Bhattacharya and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Samira El Yacoubi and Bastien Chopard and Stefania Bandini}, title = {A Cellular Automata Based Approach for Generation of Large Primitive Polynomial and Its Application to RS-Coded {MPSK} Modulation}, booktitle = {Cellular Automata, 7th International Conference on Cellular Automata, for Research and Industry, {ACRI} 2006, Perpignan, France, September 20-23, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4173}, pages = {204--214}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11861201\_25}, doi = {10.1007/11861201\_25}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/acri/BhattacharyaMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/MukhopadhyayC06, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Samira El Yacoubi and Bastien Chopard and Stefania Bandini}, title = {Generation of Expander Graphs Using Cellular Automata and Its Applications to Cryptography}, booktitle = {Cellular Automata, 7th International Conference on Cellular Automata, for Research and Industry, {ACRI} 2006, Perpignan, France, September 20-23, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4173}, pages = {636--645}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11861201\_74}, doi = {10.1007/11861201\_74}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acri/MukhopadhyayC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/JoshiMR06, author = {Pallavi Joshi and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Design and Analysis of a Robust and Efficient Block Cipher using Cellular Automata}, booktitle = {20th International Conference on Advanced Information Networking and Applications {(AINA} 2006), 18-20 April 2006, Vienna, Austria}, pages = {67--71}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/AINA.2006.138}, doi = {10.1109/AINA.2006.138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/JoshiMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cit/KumarMC06, author = {Kundan Kumar and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Saraju P. Mohanty and Anirudha Sahoo}, title = {A Programmable Parallel Structure to perform Galois Field Exponentiation}, booktitle = {9th International Conference in Information Technology, {ICIT} 2006, Bhubaneswar, Orissa, India, 18-21 December 2006}, pages = {277--280}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICIT.2006.18}, doi = {10.1109/ICIT.2006.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cit/KumarMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MukhopadhyayBRB05, author = {Debdeep Mukhopadhyay and Shibaji Banerjee and Dipanwita Roy Chowdhury and Bhargab B. Bhattacharya}, title = {CryptoScan: {A} Secured Scan Chain Architecture}, booktitle = {14th Asian Test Symposium {(ATS} 2005), 18-21 December 2005, Calcutta, India}, pages = {348--353}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ATS.2005.42}, doi = {10.1109/ATS.2005.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MukhopadhyayBRB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icete/MukhopadhyayR05, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Joaquim Filipe and Luminita Vasiu}, title = {Cellular automata based key agreement}, booktitle = {{ICETE} 2005 - Proceedings of the Second International Conference on e-Business and Telecommunication Networks, Reading, UK, October 3-7, 2005}, pages = {262--267}, publisher = {{INSTICC} Press}, year = {2005}, timestamp = {Tue, 07 Nov 2006 14:13:57 +0100}, biburl = {https://dblp.org/rec/conf/icete/MukhopadhyayR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciss/MukhopadhyayCNC05, author = {Debdeep Mukhopadhyay and Abhishek Chaudhary and Arvind Nebhnani and Dipanwita Roy Chowdhury}, editor = {Sushil Jajodia and Chandan Mazumdar}, title = {{CCMEA:} Customized Cellular Message Encryption Algorithm for Wireless Networks}, booktitle = {Information Systems Security, First International Conference, {ICISS} 2005, Kolkata, India, December 19-21, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3803}, pages = {217--227}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11593980\_16}, doi = {10.1007/11593980\_16}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/iciss/MukhopadhyayCNC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MukhopadhyayC05, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {An Efficient End to End Design of Rijndael Cryptosystem in 0.18 ? {CMOS}}, booktitle = {18th International Conference on {VLSI} Design {(VLSI} Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}, pages = {405--410}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICVD.2005.49}, doi = {10.1109/ICVD.2005.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MukhopadhyayC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/BanerjeeMC05, author = {Shibaji Banerjee and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Computer Aided Test {(CAT)} Tool for Mixed Signal SOCs}, booktitle = {18th International Conference on {VLSI} Design {(VLSI} Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}, pages = {787--790}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICVD.2005.67}, doi = {10.1109/ICVD.2005.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/BanerjeeMC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/MukhopadhyayC05, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Key Mixing in Block Ciphers through Addition modulo 2\({}^{\mbox{n}}\)}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {383}, year = {2005}, url = {http://eprint.iacr.org/2005/383}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/MukhopadhyayC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/JoshiMC05, author = {Pallavi Joshi and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, title = {Design and Analysis of a Robust and Efficient Block Cipher using Cellular Automata}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {396}, year = {2005}, url = {http://eprint.iacr.org/2005/396}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/JoshiMC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/MukhopadhyayC04, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {Peter M. A. Sloot and Bastien Chopard and Alfons G. Hoekstra}, title = {Characterization of a Class of Complemented Group Cellular Automata}, booktitle = {Cellular Automata, 6th International Conference on Cellular Automata for Research and Industry, {ACRI} 2004, Amsterdam, The Netherlands, October 25-28, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3305}, pages = {775--784}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30479-1\_80}, doi = {10.1007/978-3-540-30479-1\_80}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/acri/MukhopadhyayC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcit/MukhopadhyayC04, author = {Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury}, editor = {R. K. Ghosh and Hrushikesha Mohanty}, title = {Cellular Automata : An Ideal Candidate for a Block Cipher}, booktitle = {Distributed Computing and Internet Technology, First International Conference, {ICDCIT} 2004, Bhubaneswar, India, December 22-24, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3347}, pages = {452--457}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30555-2\_52}, doi = {10.1007/978-3-540-30555-2\_52}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/icdcit/MukhopadhyayC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/BasuMRSB02, author = {Subhayu Basu and Debdeep Mukhopadhyay and Dipanwita Roy Chowdhury and Indranil Sengupta and Sudipta Bhawmik}, title = {Reformatting Test Patterns for Testing Embedded Core Based System Using Test Access Mechanism {(TAM)} Switch}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {598--603}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.995001}, doi = {10.1109/ASPDAC.2002.995001}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/BasuMRSB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.