Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Miquel Moretó
@inproceedings{DBLP:conf/arc/KostalabrosRFMH24, author = {Vatistas Kostalabros and Jordi Ribes{-}Gonz{\'{a}}lez and Oriol Farr{\`{a}}s and Miquel Moret{\'{o}} and Carles Hern{\'{a}}ndez}, editor = {Iouliia Skliarova and Piedad Brox Jim{\'{e}}nez and M{\'{a}}rio P. V{\'{e}}stias and Pedro C. Diniz}, title = {A Safety-Critical, {RISC-V} SoC Integrated and ASIC-Ready Classic McEliece Accelerator}, booktitle = {Applied Reconfigurable Computing. Architectures, Tools, and Applications - 20th International Symposium, {ARC} 2024, Aveiro, Portugal, March 20-22, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14553}, pages = {282--295}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-55673-9\_20}, doi = {10.1007/978-3-031-55673-9\_20}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/KostalabrosRFMH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-09464, author = {Gerardo Bandera and Javier Salamero and Miquel Moret{\'{o}} and Julio Villalba}, title = {Floating Point {HUB} Adder for {RISC-V} Sargantana Processor}, journal = {CoRR}, volume = {abs/2401.09464}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.09464}, doi = {10.48550/ARXIV.2401.09464}, eprinttype = {arXiv}, eprint = {2401.09464}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-09464.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/MarcoSolaEGPGM23, author = {Santiago Marco{-}Sola and Jordan M. Eizenga and Andrea Guarracino and Benedict Paten and Erik Garrison and Miquel Moret{\'{o}}}, title = {Optimal gap-affine alignment in \emph{O}(\emph{s}) space}, journal = {Bioinform.}, volume = {39}, number = {2}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad074}, doi = {10.1093/BIOINFORMATICS/BTAD074}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/MarcoSolaEGPGM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/AguadoPuigDMEMMM23, author = {Quim Aguado{-}Puig and Max Doblas and Christos Matzoros and Antonio Espinosa and Juan Carlos Moure and Santiago Marco{-}Sola and Miquel Moret{\'{o}}}, title = {{WFA-GPU:} gap-affine pairwise read-alignment using GPUs}, journal = {Bioinform.}, volume = {39}, number = {12}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad701}, doi = {10.1093/BIOINFORMATICS/BTAD701}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/AguadoPuigDMEMMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/LopezVillellasMGMAIMSG23, author = {Lori{\'{e}}n L{\'{o}}pez{-}Villellas and Carl Christian Kjelgaard Mikkelsen and Juan Jos{\'{e}} Galano{-}Frutos and Santiago Marco{-}Sola and Jes{\'{u}}s Alastruey{-}Bened{\'{e}} and Pablo Ib{\'{a}}{\~{n}}ez and Miquel Moret{\'{o}} and Javier Sancho and Pablo Garc{\'{\i}}a{-}Risue{\~{n}}o}, title = {Accurate and efficient constrained molecular dynamics of polymers using Newton's method and special purpose code}, journal = {Comput. Phys. Commun.}, volume = {288}, pages = {108742}, year = {2023}, url = {https://doi.org/10.1016/j.cpc.2023.108742}, doi = {10.1016/J.CPC.2023.108742}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/LopezVillellasMGMAIMSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JimenezRDSDVGQGSPM23, author = {V{\'{\i}}ctor Jim{\'{e}}nez and Mario Rodr{\'{\i}}guez and Marc Dom{\'{\i}}nguez and Josep Sans and Ivan Diaz and Luca Valente and Vito Luca Guglielmi and Josue V. Quiroga and R. Ignacio Genovese and Nehir S{\"{o}}nmez and Oscar Palomar and Miquel Moret{\'{o}}}, title = {Functional Verification of a {RISC-V} Vector Accelerator}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {36--44}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3226709}, doi = {10.1109/MDAT.2022.3226709}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JimenezRDSDVGQGSPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NikdastMED23, author = {Mahdi Nikdast and Miquel Moret{\'{o}} and Masoumeh Ebrahimi and Sujay Deb}, title = {Special Issue on the 2023 International Symposium on Networks-on-Chip {(NOCS} 2023)}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {5--6}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3313970}, doi = {10.1109/MDAT.2023.3313970}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NikdastMED23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/HaghiMADHM23, author = {Abbas Haghi and Santiago Marco{-}Sola and Lluc Alvarez and Dionysios Diamantopoulos and Christoph Hagleitner and Miquel Moret{\'{o}}}, title = {{WFA-FPGA:} An efficient accelerator of the wavefront algorithm for short and long read genomics alignment}, journal = {Future Gener. Comput. Syst.}, volume = {149}, pages = {39--58}, year = {2023}, url = {https://doi.org/10.1016/j.future.2023.07.008}, doi = {10.1016/J.FUTURE.2023.07.008}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/HaghiMADHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/OrtegaABBRBM23, author = {Cristobal Ortega and Lluc Alvarez and Alper Buyuktosunoglu and Ramon Bertran and Todd Rosedahl and Pradip Bose and Miquel Moret{\'{o}}}, title = {Adaptive Power Shifting for Power-Constrained Heterogeneous Systems}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {3}, pages = {627--640}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3174545}, doi = {10.1109/TC.2022.3174545}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/OrtegaABBRBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/LangaritaAIAM23, author = {Rub{\'{e}}n Langarita and Adri{\`{a}} Armejach and Pablo Ib{\'{a}}{\~{n}}ez and Jes{\'{u}}s Alastruey{-}Bened{\'{e}} and Miquel Moret{\'{o}}}, title = {Porting and Optimizing {BWA-MEM2} Using the Fujitsu {A64FX} Processor}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {5}, pages = {3139--3153}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2023.3264514}, doi = {10.1109/TCBB.2023.3264514}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcbb/LangaritaAIAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LopezParadisLAWMB23, author = {Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Brian Li and Adri{\`{a}} Armejach and Stefan Wallentowitz and Miquel Moret{\'{o}} and Jonathan Balkind}, title = {Fast Behavioural {RTL} Simulation of 10B Transistor SoC Designs with Metro-Mpi}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137080}, doi = {10.23919/DATE56975.2023.10137080}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LopezParadisLAWMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/DoblasCCDE0HJKL23, author = {Max Doblas and Gerard Cand{\'{o}}n and Xavier Carril and Marc Dom{\'{\i}}nguez and Enric Erra and Alberto Gonz{\'{a}}lez and C{\'{e}}sar Hern{\'{a}}ndez and V{\'{\i}}ctor Jim{\'{e}}nez and Vatistas Kostalampros and Rub{\'{e}}n Langarita and Neiel Leyva and Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Jonnatan Mendoza and Josep Oltra and Juli{\'{a}}n Pav{\'{o}}n and Crist{\'{o}}bal Ram{\'{\i}}rez and Narc{\'{\i}}s Rodas and Enrico Reggiani and Mario Rodr{\'{\i}}guez and Carlos Rojas and Abraham Ruiz and Hugo Safadi and V{\'{\i}}ctor Soria and Alejandro Suanes and Iv{\'{a}}n Vargas and Fernando Arreza and Roger Figueras and Pau Fontova{-}Must{\'{e}} and Joan Marimon and Ricardo Mart{\'{\i}}nez and Sergio Moreno and Jordi Sacrist{\'{a}}n and Oscar Alonso and Xavier Aragon{\`{e}}s and Adri{\'{a}}n Cristal and {\'{A}}ngel Di{\'{e}}guez and Manuel L{\'{o}}pez and Diego Mateo and Francesc Moll and Miquel Moret{\'{o}} and Oscar Palomar and Marco A. Ram{\'{\i}}rez and Francisco Serra{-}Graells and Nehir S{\"{o}}nmez and Llu{\'{\i}}s Ter{\'{e}}s and Osman S. Unsal and Mateo Valero and Luis Villa}, title = {Sargantana: An Academic SoC {RISC-V} Processor in 22nm {FDSOI} Technology}, booktitle = {38th Conference on Design of Circuits and Integrated Systems, {DCIS} 2023, M{\'{a}}laga, Spain, November 15-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DCIS58620.2023.10335976}, doi = {10.1109/DCIS58620.2023.10335976}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcis/DoblasCCDE0HJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/Lopez-Villellas23, author = {Lori{\'{e}}n L{\'{o}}pez{-}Villellas and Esteve Pineda{-}S{\'{a}}nchez and Asaf Badouh and Santiago Marco{-}Sola and Pablo Ib{\'{a}}{\~{n}}ez and Jes{\'{u}}s Alastruey{-}Bened{\'{e}} and Miquel Moret{\'{o}}}, title = {{RISC-V} for Genome Data Analysis: Opportunities and Challenges}, booktitle = {38th Conference on Design of Circuits and Integrated Systems, {DCIS} 2023, M{\'{a}}laga, Spain, November 15-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DCIS58620.2023.10335997}, doi = {10.1109/DCIS58620.2023.10335997}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcis/Lopez-Villellas23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ReggianiPDMOUC23, author = {Enrico Reggiani and Alessandro Pappalardo and Max Doblas and Miquel Moret{\'{o}} and Mauro Olivieri and Osman Sabri Unsal and Adri{\'{a}}n Cristal}, title = {Mix-GEMM: An efficient {HW-SW} Architecture for Mixed-Precision Quantized Deep Neural Networks Inference on Edge Devices}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {1085--1098}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10071076}, doi = {10.1109/HPCA56546.2023.10071076}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ReggianiPDMOUC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HaghiAFRFDMM23, author = {Abbas Haghi and Lluc Alvarez and Jordi Fornt and Juan Miguel De Haro Ruiz and Roger Figueras and Max Doblas and Santiago Marco{-}Sola and Miquel Moret{\'{o}}}, title = {WFAsic: {A} High-Performance {ASIC} Accelerator for {DNA} Sequence Alignment on a {RISC-V} SoC}, booktitle = {Proceedings of the 52nd International Conference on Parallel Processing, {ICPP} 2023, Salt Lake City, UT, USA, August 7-10, 2023}, pages = {392--401}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3605573.3605651}, doi = {10.1145/3605573.3605651}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/HaghiAFRFDMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/PardosAMGJRM23, author = {V{\'{\i}}ctor Soria Pardos and Adri{\`{a}} Armejach and Tiago M{\"{u}}ck and Dar{\'{\i}}o Su{\'{a}}rez Gracia and Jos{\'{e}} A. Joao and Alejandro Rico and Miquel Moret{\'{o}}}, editor = {Yan Solihin and Mark A. Heinrich}, title = {DynAMO: Improving Parallelism Through Dynamic Placement of Atomic Memory Operations}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {30:1--30:13}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589065}, doi = {10.1145/3579371.3589065}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/PardosAMGJRM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LeyvaMOLAB0MA23, author = {Neiel Leyva and Alireza Monemi and Noelia Oliete{-}Escu{\'{\i}}n and Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Xabier Abancens and Jonathan Balkind and Enrique Vallejo and Miquel Moret{\'{o}} and Lluc Alvarez}, title = {OpenPiton Optimizations Towards High Performance Manycores}, booktitle = {Proceedings of the 16th International Workshop on Network on Chip Architectures, NoCArc 2023, Toronto, ON, Canada, 28 October 2023}, pages = {27--33}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610396.3623265}, doi = {10.1145/3610396.3623265}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/LeyvaMOLAB0MA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SiracusaPS0JPA23, author = {Marco Siracusa and V{\'{\i}}ctor Soria Pardos and Francesco Sgherzi and Joshua Randall and Douglas J. Joseph and Miquel Moret{\'{o}} Planas and Adri{\`{a}} Armejach}, title = {A Tensor Marshaling Unit for Sparse Tensor Algebra on General-Purpose Processors}, booktitle = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October 2023 - 1 November 2023}, pages = {1332--1346}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3613424.3614284}, doi = {10.1145/3613424.3614284}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/SiracusaPS0JPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/DoblasLACFBMM23, author = {Max Doblas and Oscar Lostes{-}Cazorla and Quim Aguado{-}Puig and Nick Cebry and Pau Fontova{-}Must{\'{e}} and Christopher Frances Batten and Santiago Marco{-}Sola and Miquel Moret{\'{o}}}, title = {{GMX:} Instruction Set Extensions for Fast, Scalable, and Efficient Genome Sequence Alignment}, booktitle = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October 2023 - 1 November 2023}, pages = {1466--1480}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3613424.3614306}, doi = {10.1145/3613424.3614306}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/DoblasLACFBMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/LopezParadisVAM23, author = {Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Balaji Venu and Adri{\`{a}} Armejach and Miquel Moret{\'{o}}}, editor = {Cristina Silvano and Christian Pilato and Marc Reichenbach}, title = {Characterization of a Coherent Hardware Accelerator Framework for SoCs}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 23rd International Conference, {SAMOS} 2023, Samos, Greece, July 2-6, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14385}, pages = {91--106}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46077-7\_7}, doi = {10.1007/978-3-031-46077-7\_7}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/LopezParadisVAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06944, author = {Francesco Sgherzi and Marco Siracusa and Ivan Fernandez and Adri{\`{a}} Armejach and Miquel Moret{\'{o}}}, title = {SpChar: Characterizing the Sparse Puzzle via Decision Trees}, journal = {CoRR}, volume = {abs/2304.06944}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06944}, doi = {10.48550/ARXIV.2304.06944}, eprinttype = {arXiv}, eprint = {2304.06944}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Aguado-PuigMMCA22, author = {Quim Aguado{-}Puig and Santiago Marco{-}Sola and Juan Carlos Moure and David Castells{-}Rufas and Lluc Alvarez and Antonio Espinosa and Miquel Moret{\'{o}}}, title = {Accelerating Edit-Distance Sequence Alignment on {GPU} Using the Wavefront Algorithm}, journal = {{IEEE} Access}, volume = {10}, pages = {63782--63796}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3182714}, doi = {10.1109/ACCESS.2022.3182714}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Aguado-PuigMMCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/LangaritaASIAM22, author = {Rub{\'{e}}n Langarita and Adri{\`{a}} Armejach and Javier Setoain and Pablo Ib{\'{a}}{\~{n}}ez{-}Mar{\'{\i}}n and Jes{\'{u}}s Alastruey{-}Bened{\'{e}} and Miquel Moret{\'{o}}}, title = {Compressed Sparse FM-Index: Fast Sequence Alignment Using Large K-Steps}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {19}, number = {1}, pages = {355--368}, year = {2022}, url = {https://doi.org/10.1109/TCBB.2020.3000253}, doi = {10.1109/TCBB.2020.3000253}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/LangaritaASIAM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/Ribes-GonzalezF22, author = {Jordi Ribes{-}Gonz{\'{a}}lez and Oriol Farr{\`{a}}s and Carles Hern{\'{a}}ndez and Vatistas Kostalabros and Miquel Moret{\'{o}}}, title = {A Security Model for Randomization-based Protected Caches}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2022}, number = {3}, pages = {1--25}, year = {2022}, url = {https://doi.org/10.46586/tches.v2022.i3.1-25}, doi = {10.46586/TCHES.V2022.I3.1-25}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/Ribes-GonzalezF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CebrianBBCMRJ22, author = {Juan M. Cebrian and Thibaud Balem and Adri{\'{a}}n Barredo and Marc Casas and Miquel Moret{\'{o}} and Alberto Ros and Alexandra Jimborean}, title = {Compiler-Assisted Compaction/Restoration of {SIMD} Instructions}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {33}, number = {4}, pages = {779--791}, year = {2022}, url = {https://doi.org/10.1109/TPDS.2021.3091015}, doi = {10.1109/TPDS.2021.3091015}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/CebrianBBCMRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HotfilterKKBRJM22, author = {Tim Hotfilter and Fabian Kre{\ss} and Fabian Kempf and J{\"{u}}rgen Becker and Juan Miguel De Haro Ruiz and Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Miquel Moret{\'{o}} and Carlos {\'{A}}lvarez and Jes{\'{u}}s Labarta and Imen Baili}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Towards Reconfigurable Accelerators in {HPC:} Designing a Multipurpose eFPGA Tile for Heterogeneous SoCs}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {628--631}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774716}, doi = {10.23919/DATE54114.2022.9774716}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HotfilterKKBRJM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/CaboCCDDGHJKLLL22, author = {Guillem Cabo and Gerard Cand{\'{o}}n and Xavier Carril and Max Doblas and Marc Dom{\'{\i}}nguez and Alberto Gonz{\'{a}}lez and C{\'{e}}sar Hern{\'{a}}ndez and V{\'{\i}}ctor Jim{\'{e}}nez and Vatistas Kostalampros and Rub{\'{e}}n Langarita and Neiel Leyva and Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Jonnatan Mendoza and Francesco Minervini and Juli{\'{a}}n Pav{\'{o}}n and Crist{\'{o}}bal Ram{\'{\i}}rez and Narc{\'{\i}}s Rodas and Enrico Reggiani and Mario Rodr{\'{\i}}guez and Carlos Rojas and Abraham Ruiz and V{\'{\i}}ctor Soria and Alejandro Suanes and Iv{\'{a}}n Vargas and Roger Figueras and Pau Fontova and Joan Marimon and V{\'{\i}}ctor Montabes and Adri{\'{a}}n Cristal and Carles Hern{\'{a}}ndez and Ricardo Mart{\'{\i}}nez and Miquel Moret{\'{o}} and Francesc Moll and Oscar Palomar and Marco A. Ram{\'{\i}}rez and Antonio Rubio and Jordi Sacrist{\'{a}}n and Francisco Serra{-}Graells and Nehir S{\"{o}}nmez and Llu{\'{\i}}s Ter{\'{e}}s and Osman S. Unsal and Mateo Valero and Lu{\'{\i}}s Villa}, title = {{DVINO:} {A} {RISC-V} Vector Processor Implemented in 65nm Technology}, booktitle = {37th Conference on Design of Circuits and Integrated Systems, {DCIS} 2022, Pamplona, Spain, November 16-18, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DCIS55711.2022.9970128}, doi = {10.1109/DCIS55711.2022.9970128}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcis/CaboCCDDGHJKLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/PardosDLCRCFLMM22, author = {V{\'{\i}}ctor Soria Pardos and Max Doblas and Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Gerard Cand{\'{o}}n and Narc{\'{\i}}s Rodas and Xavier Carril and Pau Fontova{-}Must{\'{e}} and Neiel Leyva and Santiago Marco{-}Sola and Miquel Moret{\'{o}}}, title = {Sargantana: {A} 1 GHz+ In-Order {RISC-V} Processor with {SIMD} Vector Extensions in 22nm {FD-SOI}}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {254--261}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00042}, doi = {10.1109/DSD57027.2022.00042}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/PardosDLCRCFLMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/CahenyACM22, author = {Paul Caheny and Lluc Alvarez and Marc Casas and Miquel Moret{\'{o}}}, editor = {Felix Wolf and Sameer Shende and Candace Culhane and Sadaf R. Alam and Heike Jagode}, title = {{TD-NUCA:} Runtime Driven Management of {NUCA} Caches in Task Dataflow Programming Models}, booktitle = {{SC22:} International Conference for High Performance Computing, Networking, Storage and Analysis, Dallas, TX, USA, November 13-18, 2022}, pages = {80:1--80:15}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SC41404.2022.00085}, doi = {10.1109/SC41404.2022.00085}, timestamp = {Wed, 24 May 2023 16:17:06 +0200}, biburl = {https://dblp.org/rec/conf/sc/CahenyACM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/Ribes-GonzalezF22, author = {Jordi Ribes{-}Gonz{\'{a}}lez and Oriol Farr{\`{a}}s and Carles Hern{\'{a}}ndez and Vatistas Kostalabros and Miquel Moret{\'{o}}}, title = {A Security Model for Randomization-based Protected Caches}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {440}, year = {2022}, url = {https://eprint.iacr.org/2022/440}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/Ribes-GonzalezF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/OrtegaACBBEBM21, author = {Cristobal Ortega and Lluc Alvarez and Marc Casas and Ramon Bertran and Alper Buyuktosunoglu and Alexandre E. Eichenberger and Pradip Bose and Miquel Moret{\'{o}}}, title = {Intelligent Adaptation of Hardware Knobs for Improving Performance and Power Consumption}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {1}, pages = {1--16}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.2980230}, doi = {10.1109/TC.2020.2980230}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/OrtegaACBBEBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PardosASM21, author = {V{\'{\i}}ctor Soria Pardos and Adri{\`{a}} Armejach and Dar{\'{\i}}o Su{\'{a}}rez Gracia and Miquel Moret{\'{o}}}, title = {On the use of many-core Marvell ThunderX2 processor for {HPC} workloads}, journal = {J. Supercomput.}, volume = {77}, number = {4}, pages = {3315--3338}, year = {2021}, url = {https://doi.org/10.1007/s11227-020-03397-6}, doi = {10.1007/S11227-020-03397-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PardosASM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ArmejachBCD0HLL21, author = {Adri{\`{a}} Armejach and Bine Brank and Jordi Cortina and Fran{\c{c}}ois Dolique and Timothy Hayes and Nam Ho and Pierre{-}Axel Lagadec and Romain Lemaire and Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Laurent Marliac and Miquel Moret{\'{o}} and Pedro Marcuello and Dirk Pleiter and Xubin Tan and Said Derradji}, title = {Mont-Blanc 2020: Towards Scalable and Power Efficient European {HPC} Processors}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {136--141}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474093}, doi = {10.23919/DATE51398.2021.9474093}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ArmejachBCD0HLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/CaboBLTAMH021, author = {Guillem Cabo and Francisco Bas and Ruben Lorenzo and David Trilla and Sergi Alcaide and Miquel Moret{\'{o}} and Carles Hern{\'{a}}ndez and Jaume Abella}, title = {SafeSU: an Extended Statistics Unit for Multicore Timing Interference}, booktitle = {26th {IEEE} European Test Symposium, {ETS} 2021, Bruges, Belgium, May 24-28, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ETS50041.2021.9465444}, doi = {10.1109/ETS50041.2021.9465444}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ets/CaboBLTAMH021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/DimicMCV21, author = {Vladimir Dimic and Miquel Moret{\'{o}} and Marc Casas and Mateo Valero}, editor = {Leonel Sousa and Nuno Roma and Pedro Tom{\'{a}}s}, title = {PrioRAT: Criticality-Driven Prioritization Inside the On-Chip Memory Hierarchy}, booktitle = {Euro-Par 2021: Parallel Processing - 27th International Conference on Parallel and Distributed Computing, Lisbon, Portugal, September 1-3, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12820}, pages = {599--615}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85665-6\_37}, doi = {10.1007/978-3-030-85665-6\_37}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/DimicMCV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/KostalabrosRFMH21, author = {Vatistas Kostalabros and Jordi Ribes{-}Gonz{\'{a}}lez and Oriol Farr{\`{a}}s and Miquel Moret{\'{o}} and Carles Hern{\'{a}}ndez}, title = {HLS-Based {HW/SW} Co-Design of the Post-Quantum Classic McEliece Cryptosystem}, booktitle = {31st International Conference on Field-Programmable Logic and Applications, {FPL} 2021, Dresden, Germany, August 30 - Sept. 3, 2021}, pages = {52--59}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FPL53798.2021.00017}, doi = {10.1109/FPL53798.2021.00017}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/KostalabrosRFMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/HaghiMADHM21, author = {Abbas Haghi and Santiago Marco{-}Sola and Lluc Alvarez and Dionysios Diamantopoulos and Christoph Hagleitner and Miquel Moret{\'{o}}}, title = {An {FPGA} Accelerator of the Wavefront Algorithm for Genomics Pairwise Alignment}, booktitle = {31st International Conference on Field-Programmable Logic and Applications, {FPL} 2021, Dresden, Germany, August 30 - Sept. 3, 2021}, pages = {151--159}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FPL53798.2021.00033}, doi = {10.1109/FPL53798.2021.00033}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/HaghiMADHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/Castells-RufasM21, author = {David Castells{-}Rufas and Santiago Marco{-}Sola and Quim Aguado{-}Puig and Antonio Espinosa{-}Morales and Juan Carlos Moure and Lluc Alvarez and Miquel Moret{\'{o}}}, title = {OpenCL-based {FPGA} Accelerator for Semi-Global Approximate String Matching Using Diagonal Bit-Vectors}, booktitle = {31st International Conference on Field-Programmable Logic and Applications, {FPL} 2021, Dresden, Germany, August 30 - Sept. 3, 2021}, pages = {174--178}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FPL53798.2021.00036}, doi = {10.1109/FPL53798.2021.00036}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/Castells-RufasM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/PavonVBMMMUVC21, author = {Julian Pavon and Iv{\'{a}}n Vargas Valdivieso and Adri{\'{a}}n Barredo and Joan Marimon and Miquel Moret{\'{o}} and Francesc Moll and Osman S. Unsal and Mateo Valero and Adri{\'{a}}n Cristal}, title = {{VIA:} {A} Smart Scratchpad for Vector Units with Application to Sparse Matrix Computations}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {921--934}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCA51647.2021.00081}, doi = {10.1109/HPCA51647.2021.00081}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/PavonVBMMMUVC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Lopez-ParadisAM21, author = {Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Adri{\`{a}} Armejach and Miquel Moret{\'{o}}}, editor = {Xian{-}He Sun and Sameer Shende and Laxmikant V. Kal{\'{e}} and Yong Chen}, title = {gem5 + rtl: {A} Framework to Enable {RTL} Models Inside a Full-System Simulator}, booktitle = {{ICPP} 2021: 50th International Conference on Parallel Processing, Lemont, IL, USA, August 9 - 12, 2021}, pages = {29:1--29:11}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472456.3472461}, doi = {10.1145/3472456.3472461}, timestamp = {Thu, 07 Oct 2021 14:37:30 +0200}, biburl = {https://dblp.org/rec/conf/icpp/Lopez-ParadisAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BarredoABM21, author = {Adri{\'{a}}n Barredo and Adri{\`{a}} Armejach and Jonathan C. Beard and Miquel Moret{\'{o}}}, editor = {Huiyang Zhou and Jose Moreira and Frank Mueller and Yoav Etsion}, title = {{PLANAR:} a programmable accelerator for near-memory data rearrangement}, booktitle = {{ICS} '21: 2021 International Conference on Supercomputing, Virtual Event, USA, June 14-17, 2021}, pages = {164--176}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447818.3460368}, doi = {10.1145/3447818.3460368}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BarredoABM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/Monemi0L0BM21, author = {Alireza Monemi and Iv{\'{a}}n P{\'{e}}rez and Neiel Leyva and Enrique Vallejo and Ram{\'{o}}n Beivide and Miquel Moret{\'{o}}}, editor = {Tushar Krishna and John Kim and Sergi Abadal and Joshua San Miguel}, title = {PIugSMART: a pluggable open-source module to implement multihop bypass in networks-on-chip}, booktitle = {{NOCS} '21: International Symposium on Networks-on-Chip, Virtual Event, October 14-15, 2021}, pages = {41--48}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3479876.3481601}, doi = {10.1145/3479876.3481601}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/Monemi0L0BM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/CebrianBCMCV20, author = {Juan M. Cebrian and Adri{\'{a}}n Barredo and Helena Caminal and Miquel Moret{\'{o}} and Marc Casas and Mateo Valero}, title = {Semi-automatic validation of cycle-accurate simulation infrastructures: The case for gem5-x86}, journal = {Future Gener. Comput. Syst.}, volume = {112}, pages = {832--847}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.06.035}, doi = {10.1016/J.FUTURE.2020.06.035}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/CebrianBCMCV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/BarredoCVCM20, author = {Adri{\'{a}}n Barredo and Juan M. Cebrian and Mateo Valero and Marc Casas and Miquel Moret{\'{o}}}, title = {Efficiency analysis of modern vector architectures: vector {ALU} sizes, core counts and clock frequencies}, journal = {J. Supercomput.}, volume = {76}, number = {3}, pages = {1960--1979}, year = {2020}, url = {https://doi.org/10.1007/s11227-019-02841-6}, doi = {10.1007/S11227-019-02841-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/BarredoCVCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ArmejachCCLGAVC20, author = {Adri{\`{a}} Armejach and Helena Caminal and Juan M. Cebrian and Rub{\'{e}}n Langarita and Rekai Gonz{\'{a}}lez{-}Alberquilla and Chris Adeniyi{-}Jones and Mateo Valero and Marc Casas and Miquel Moret{\'{o}}}, title = {Using Arm's scalable vector extension on stencil codes}, journal = {J. Supercomput.}, volume = {76}, number = {3}, pages = {2039--2062}, year = {2020}, url = {https://doi.org/10.1007/s11227-019-02842-5}, doi = {10.1007/S11227-019-02842-5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ArmejachCCLGAVC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/AbellaBCCCDFGHH20, author = {Jaume Abella and Calvin Bulla and Guillem Cabo and Francisco J. Cazorla and Adri{\'{a}}n Cristal and Max Doblas and Roger Figueras and Alberto Gonz{\'{a}}lez and Carles Hern{\'{a}}ndez and C{\'{e}}sar Hern{\'{a}}ndez and V{\'{\i}}ctor Jim{\'{e}}nez and Leonidas Kosmidis and Vatistas Kostalabros and Rub{\'{e}}n Langarita and Neiel Leyva and Guillem L{\'{o}}pez{-}Parad{\'{\i}}s and Joan Marimon and Ricardo Mart{\'{\i}}nez and Jonnatan Mendoza and Francesc Moll and Miquel Moret{\'{o}} and Juli{\'{a}}n Pav{\'{o}}n and Crist{\'{o}}bal Ram{\'{\i}}rez and Marco Antonio Ram{\'{\i}}rez and Carlos Rojas Morales and Antonio Rubio and Abraham Ruiz and Nehir S{\"{o}}nmez and V{\'{\i}}ctor Soria and Llu{\'{\i}}s Ter{\'{e}}s and Osman S. Unsal and Mateo Valero and Iv{\'{a}}n Vargas Valdivieso and Luis Villa}, title = {An Academic {RISC-V} Silicon Implementation Based on Open-Source Components}, booktitle = {{XXXV} Conference on Design of Circuits and Integrated Systems, {DCIS} 2020, Segovia, Spain, November 18-20, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DCIS51330.2020.9268664}, doi = {10.1109/DCIS51330.2020.9268664}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dcis/AbellaBCCCDFGHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/HaghiAPDHM20, author = {Abbas Haghi and Lluc Alvarez and Jord{\`{a}} Polo and Dionysios Diamantopoulos and Christoph Hagleitner and Miquel Moret{\'{o}}}, editor = {Nele Mentens and Leonel Sousa and Pedro Trancoso and Miquel Peric{\`{a}}s and Ioannis Sourdis}, title = {A Hardware/Software Co-Design of K-mer Counting Using a CAPI-Enabled {FPGA}}, booktitle = {30th International Conference on Field-Programmable Logic and Applications, {FPL} 2020, Gothenburg, Sweden, August 31 - September 4, 2020}, pages = {57--64}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FPL50879.2020.00020}, doi = {10.1109/FPL50879.2020.00020}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/HaghiAPDHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/BarredoCMCV20, author = {Adri{\'{a}}n Barredo and Juan M. Cebrian and Miquel Moret{\'{o}} and Marc Casas and Mateo Valero}, title = {Improving Predication Efficiency through Compaction/Restoration of {SIMD} Instructions}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {717--728}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00064}, doi = {10.1109/HPCA47549.2020.00064}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/BarredoCMCV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/CancillaCBACPGL20, author = {Michele Cancilla and Laura Canalini and Federico Bolelli and Stefano Allegretti and Salvador Carri{\'{o}}n and Roberto Paredes and Jon Ander G{\'{o}}mez and Simone Leo and Marco Enrico Piras and Luca Pireddu and Asaf Badouh and Santiago Marco{-}Sola and Lluc Alvarez and Miquel Moret{\'{o}} and Costantino Grana}, title = {The DeepHealth Toolkit: {A} Unified Framework to Boost Biomedical Applications}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {9881--9888}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9411954}, doi = {10.1109/ICPR48806.2021.9411954}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/CancillaCBACPGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/DimicMCCV20, author = {Vladimir Dimic and Miquel Moret{\'{o}} and Marc Casas and Jan Ciesko and Mateo Valero}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {{RICH:} implementing reductions in the cache hierarchy}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {16:1--16:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392736}, doi = {10.1145/3392717.3392736}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/DimicMCCV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BarreraBCMSP20, author = {Isaac {S{\\'{a}}nchez Barrera} and David Black{-}Schaffer and Marc Casas and Miquel Moret{\'{o}} and Anastasiia Stupnikova and Mihail Popov}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Modeling and optimizing {NUMA} effects and prefetching with machine learning}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {34:1--34:13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392765}, doi = {10.1145/3392717.3392765}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BarreraBCMSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/00040MB20, author = {Ivan Perez and Enrique Vallejo and Miquel Moret{\'{o}} and Ram{\'{o}}n Beivide}, title = {{BST:} {A} BookSim-Based Toolset to Simulate NoCs with Single- and Multi-Hop Bypass}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {47--57}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00015}, doi = {10.1109/ISPASS48437.2020.00015}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/00040MB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/JaulmesMVEC20, author = {Luc Jaulmes and Miquel Moret{\'{o}} and Mateo Valero and Mattan Erez and Marc Casas}, editor = {Christine Cuicchi and Irene Qualters and William T. Kramer}, title = {Runtime-guided {ECC} protection using online estimation of memory vulnerability}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2020, Virtual Event / Atlanta, Georgia, USA, November 9-19, 2020}, pages = {76}, publisher = {{IEEE/ACM}}, year = {2020}, url = {https://doi.org/10.1109/SC41405.2020.00080}, doi = {10.1109/SC41405.2020.00080}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/JaulmesMVEC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccfthpc/GagliardiMOV19, author = {Fabrizio Gagliardi and Miquel Moret{\'{o}} and Mauro Olivieri and Mateo Valero}, title = {The international race towards Exascale in Europe}, journal = {{CCF} Trans. High Perform. Comput.}, volume = {1}, number = {1}, pages = {3--13}, year = {2019}, url = {https://doi.org/10.1007/s42514-019-00002-y}, doi = {10.1007/S42514-019-00002-Y}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccfthpc/GagliardiMOV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ChronakiMCRBAV19, author = {Kallia Chronaki and Miquel Moret{\'{o}} and Marc Casas and Alejandro Rico and Rosa M. Badia and Eduard Ayguad{\'{e}} and Mateo Valero}, title = {On the maturity of parallel applications for asymmetric multi-core processors}, journal = {J. Parallel Distributed Comput.}, volume = {127}, pages = {105--115}, year = {2019}, url = {https://doi.org/10.1016/j.jpdc.2019.01.007}, doi = {10.1016/J.JPDC.2019.01.007}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/ChronakiMCRBAV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GrassCRCACM19, author = {Thomas Grass and Trevor E. Carlson and Alejandro Rico and Germ{\'{a}}n Ceballos and Eduard Ayguad{\'{e}} and Marc Casas and Miquel Moret{\'{o}}}, title = {Sampled Simulation of Task-Based Programs}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {2}, pages = {255--269}, year = {2019}, url = {https://doi.org/10.1109/TC.2018.2860012}, doi = {10.1109/TC.2018.2860012}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GrassCRCACM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ArmejachCM19, author = {Adri{\`{a}} Armejach and Marc Casas and Miquel Moret{\'{o}}}, title = {Design trade-offs for emerging {HPC} processors based on mobile market technology}, journal = {J. Supercomput.}, volume = {75}, number = {9}, pages = {5717--5740}, year = {2019}, url = {https://doi.org/10.1007/s11227-019-02819-4}, doi = {10.1007/S11227-019-02819-4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ArmejachCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/BarredoCMCV19, author = {Adri{\'{a}}n Barredo and Juan M. Cebrian and Miquel Moret{\'{o}} and Marc Casas and Mateo Valero}, title = {{POSTER:} An Optimized Predication Execution for {SIMD} Extensions}, booktitle = {28th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2019, Seattle, WA, USA, September 23-26, 2019}, pages = {479--480}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/PACT.2019.00054}, doi = {10.1109/PACT.2019.00054}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/BarredoCMCV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/BarredoBM19, author = {Adri{\'{a}}n Barredo and Jonathan C. Beard and Miquel Moret{\'{o}}}, title = {{POSTER:} SPiDRE: Accelerating Sparse Memory Access Patterns}, booktitle = {28th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2019, Seattle, WA, USA, September 23-26, 2019}, pages = {483--484}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/PACT.2019.00056}, doi = {10.1109/PACT.2019.00056}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/BarredoBM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChasapisM0RVC19, author = {Dimitrios Chasapis and Miquel Moret{\'{o}} and Martin Schulz and Barry Rountree and Mateo Valero and Marc Casas}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Power efficient job scheduling by predicting the impact of processor manufacturing variability}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {296--307}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330372}, doi = {10.1145/3330345.3330372}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChasapisM0RVC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/CastilloJCM0BVB19, author = {Emilio Castillo and Nikhil Jain and Marc Casas and Miquel Moret{\'{o}} and Martin Schulz and Ram{\'{o}}n Beivide and Mateo Valero and Abhinav Bhatele}, editor = {Rudolf Eigenmann and Chen Ding and Sally A. McKee}, title = {Optimizing computation-communication overlap in asynchronous task-based programs}, booktitle = {Proceedings of the {ACM} International Conference on Supercomputing, {ICS} 2019, Phoenix, AZ, USA, June 26-28, 2019}, pages = {380--391}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330345.3330379}, doi = {10.1145/3330345.3330379}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/CastilloJCM0BVB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JaulmesMVC19, author = {Luc Jaulmes and Miquel Moret{\'{o}} and Mateo Valero and Marc Casas}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A Vulnerability Factor for ECC-protected Memory}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {176--181}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854397}, doi = {10.1109/IOLTS.2019.8854397}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JaulmesMVC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GomezMAMMC19, author = {Constantino G{\'{o}}mez and Francesc Mart{\'{\i}}nez and Adri{\`{a}} Armejach and Miquel Moret{\'{o}} and Filippo Mantovani and Marc Casas}, title = {Design Space Exploration of Next-Generation {HPC} Machines}, booktitle = {2019 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2019, Rio de Janeiro, Brazil, May 20-24, 2019}, pages = {54--65}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IPDPS.2019.00017}, doi = {10.1109/IPDPS.2019.00017}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/GomezMAMMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isum/Leyva-Santes0H019, author = {Neiel I. Leyva{-}Santes and Ivan Perez and C{\'{e}}sar{-}Alejandro Hern{\'{a}}ndez{-}Calder{\'{o}}n and Enrique Vallejo and Miquel Moret{\'{o}} and Ram{\'{o}}n Beivide and Marco Antonio Ram{\'{\i}}rez Salinas and Luis A. Villa{-}Vargas}, editor = {Mois{\'{e}}s Torres and Jaime Klapp}, title = {Lagarto {I} {RISC-V} Multi-core: Research Challenges to Build and Integrate a Network-on-Chip}, booktitle = {Supercomputing - 10th International Conference on Supercomputing in Mexico, {ISUM} 2019, Monterrey, Mexico, March 25-29, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1151}, pages = {237--248}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-38043-4\_20}, doi = {10.1007/978-3-030-38043-4\_20}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isum/Leyva-Santes0H019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwomp/RicoBJRCM19, author = {Alejandro Rico and Isaac {S{\\'{a}}nchez Barrera} and Jos{\'{e}} A. Joao and Joshua Randall and Marc Casas and Miquel Moret{\'{o}}}, editor = {Xing Fan and Bronis R. de Supinski and Oliver Sinnen and Nasser Giacaman}, title = {On the Benefits of Tasking with OpenMP}, booktitle = {OpenMP: Conquering the Full Hardware Spectrum - 15th International Workshop on OpenMP, {IWOMP} 2019, Auckland, New Zealand, September 11-13, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11718}, pages = {217--230}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-28596-8\_15}, doi = {10.1007/978-3-030-28596-8\_15}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwomp/RicoBJRCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/CastilloJCM0BVB19, author = {Emilio Castillo and Nikhil Jain and Marc Casas and Miquel Moret{\'{o}} and Martin Schulz and Ram{\'{o}}n Beivide and Mateo Valero and Abhinav Bhatele}, editor = {Jeffrey K. Hollingsworth and Idit Keidar}, title = {Optimizing computation-communication overlap in asynchronous task-based programs: poster}, booktitle = {Proceedings of the 24th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, PPoPP 2019, Washington, DC, USA, February 16-20, 2019}, pages = {415--416}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3293883.3295720}, doi = {10.1145/3293883.3295720}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/CastilloJCM0BVB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/CaminalCCFCMMV18, author = {Helena Caminal and Diego Caballero and Juan M. Cebrian and Roger Ferrer and Marc Casas and Miquel Moret{\'{o}} and Xavier Martorell and Mateo Valero}, title = {Performance and energy effects on task-based parallelized applications - User-directed versus manual vectorization}, journal = {J. Supercomput.}, volume = {74}, number = {6}, pages = {2627--2637}, year = {2018}, url = {https://doi.org/10.1007/s11227-018-2294-9}, doi = {10.1007/S11227-018-2294-9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/CaminalCCFCMMV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CahenyADVMC18, author = {Paul Caheny and Lluc Alvarez and Said Derradji and Mateo Valero and Miquel Moret{\'{o}} and Marc Casas}, title = {Reducing Cache Coherence Traffic with a NUMA-Aware Runtime Approach}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {5}, pages = {1174--1187}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2017.2787123}, doi = {10.1109/TPDS.2017.2787123}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/CahenyADVMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/JaulmesMALVC18, author = {Luc Jaulmes and Miquel Moret{\'{o}} and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero and Marc Casas}, title = {Asynchronous and Exact Forward Recovery for Detected Errors in Iterative Solvers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {9}, pages = {1961--1974}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2817524}, doi = {10.1109/TPDS.2018.2817524}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/JaulmesMALVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ArmejachCCGAVCM18, author = {Adri{\`{a}} Armejach and Helena Caminal and Juan M. Cebrian and Rekai Gonz{\'{a}}lez{-}Alberquilla and Chris Adeniyi{-}Jones and Mateo Valero and Marc Casas and Miquel Moret{\'{o}}}, editor = {Skevos Evripidou and Per Stenstr{\"{o}}m and Michael F. P. O'Boyle}, title = {Stencil codes on a vector length agnostic architecture}, booktitle = {Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2018, Limassol, Cyprus, November 01-04, 2018}, pages = {13:1--13:12}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3243176.3243192}, doi = {10.1145/3243176.3243192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/ArmejachCCGAVCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/CastilloAMCVBBV18, author = {Emilio Castillo and Lluc Alvarez and Miquel Moret{\'{o}} and Marc Casas and Enrique Vallejo and Jos{\'{e}} Luis Bosque and Ram{\'{o}}n Beivide and Mateo Valero}, title = {Architectural Support for Task Dependence Management with Flexible Software Scheduling}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2018, Vienna, Austria, February 24-28, 2018}, pages = {283--295}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/HPCA.2018.00033}, doi = {10.1109/HPCA.2018.00033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/CastilloAMCVBBV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BarreraMALVC18, author = {Isaac {S{\\'{a}}nchez Barrera} and Miquel Moret{\'{o}} and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero and Marc Casas}, title = {Reducing Data Movement on Large Shared Memory Systems by Exploiting Computation Dependencies}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {207--217}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205310}, doi = {10.1145/3205289.3205310}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BarreraMALVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/AlvarezCLAVM18, author = {Lluc Alvarez and Marc Casas and Jes{\'{u}}s Labarta and Eduard Ayguad{\'{e}} and Mateo Valero and Miquel Moret{\'{o}}}, title = {Runtime-Guided Management of Stacked {DRAM} Memories in Task Parallel Programs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {218--228}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205312}, doi = {10.1145/3205289.3205312}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/AlvarezCLAVM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/OrtegaGMCR18, author = {Cristobal Ortega and Victor Garcia and Miquel Moret{\'{o}} and Marc Casas and Roxana Rusitoru}, title = {Data Prefetching on In-order Processors}, booktitle = {2018 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2018, Orleans, France, July 16-20, 2018}, pages = {322--329}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCS.2018.00061}, doi = {10.1109/HPCS.2018.00061}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/OrtegaGMCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BullaAMBBB18, author = {Calvin Bulla and Lluc Alvarez and Miquel Moret{\'{o}} and Ramon Bertran and Alper Buyuktosunoglu and Pradip Bose}, title = {ChopStiX: Systematic Extraction of Code-Representative Microbenchmarks}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {80--81}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573473}, doi = {10.1109/IISWC.2018.8573473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BullaAMBBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/BarreraCMALV18, author = {Isaac {S{\\'{a}}nchez Barrera} and Marc Casas and Miquel Moret{\'{o}} and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Andreas Krall and Thomas R. Gross}, title = {Graph partitioning applied to {DAG} scheduling to reduce {NUMA} effects}, booktitle = {Proceedings of the 23rd {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, PPoPP 2018, Vienna, Austria, February 24-28, 2018}, pages = {419--420}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178487.3178535}, doi = {10.1145/3178487.3178535}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/BarreraCMALV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/CahenyAVMC18, author = {Paul Caheny and Lluc Alvarez and Mateo Valero and Miquel Moret{\'{o}} and Marc Casas}, title = {Runtime-assisted cache coherence deactivation in task parallel programs}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis, {SC} 2018, Dallas, TX, USA, November 11-16, 2018}, pages = {35:1--35:12}, publisher = {{IEEE} / {ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3291703}, timestamp = {Mon, 12 Nov 2018 09:05:15 +0100}, biburl = {https://dblp.org/rec/conf/sc/CahenyAVMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/ChronakiCMBB18, author = {Kallia Chronaki and Marc Casas and Miquel Moret{\'{o}} and Jaume Bosch and Rosa M. Badia}, editor = {Rio Yokota and Mich{\`{e}}le Weiland and David E. Keyes and Carsten Trinitis}, title = {TaskGenX: {A} Hardware-Software Proposal for Accelerating Task Parallelism}, booktitle = {High Performance Computing - 33rd International Conference, {ISC} High Performance 2018, Frankfurt, Germany, June 24-28, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10876}, pages = {389--409}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-92040-5\_20}, doi = {10.1007/978-3-319-92040-5\_20}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/ChronakiCMBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-06472, author = {Luc Jaulmes and Miquel Moret{\'{o}} and Mateo Valero and Marc Casas}, title = {Memory Vulnerability: {A} Case for Delaying Error Reporting}, journal = {CoRR}, volume = {abs/1810.06472}, year = {2018}, url = {http://arxiv.org/abs/1810.06472}, eprinttype = {arXiv}, eprint = {1810.06472}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-06472.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChronakiRCMBALV17, author = {Kallia Chronaki and Alejandro Rico and Marc Casas and Miquel Moret{\'{o}} and Rosa M. Badia and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, title = {Task Scheduling Techniques for Asymmetric Multi-Core Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {7}, pages = {2074--2087}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2016.2633347}, doi = {10.1109/TPDS.2016.2633347}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChronakiRCMBALV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/DimicMCV17, author = {Vladimir Dimic and Miquel Moret{\'{o}} and Marc Casas and Mateo Valero}, editor = {Francisco F. Rivera and Tom{\'{a}}s F. Pena and Jos{\'{e}} Carlos Cabaleiro}, title = {Runtime-Assisted Shared Cache Insertion Policies Based on Re-reference Intervals}, booktitle = {Euro-Par 2017: Parallel Processing - 23rd International Conference on Parallel and Distributed Computing, Santiago de Compostela, Spain, August 28 - September 1, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10417}, pages = {247--259}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-64203-1\_18}, doi = {10.1007/978-3-319-64203-1\_18}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/DimicMCV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/PietriZCMS17, author = {Ilia Pietri and Sicong Zhuang and Marc Casas and Miquel Moret{\'{o}} and Rizos Sakellariou}, editor = {Dora Blanco Heras and Luc Boug{\'{e}} and Gabriele Mencagli and Emmanuel Jeannot and Rizos Sakellariou and Rosa M. Badia and Jorge G. Barbosa and Laura Ricci and Stephen L. Scott and Stefan Lankes and Josef Weidendorfer}, title = {Evaluating Scientific Workflow Execution on an Asymmetric Multicore Processor}, booktitle = {Euro-Par 2017: Parallel Processing Workshops - Euro-Par 2017 International Workshops, Santiago de Compostela, Spain, August 28-29, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10659}, pages = {439--451}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-75178-8\_36}, doi = {10.1007/978-3-319-75178-8\_36}, timestamp = {Thu, 14 Oct 2021 10:28:38 +0200}, biburl = {https://dblp.org/rec/conf/europar/PietriZCMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/OrtegaMCBBEB17, author = {Cristobal Ortega and Miquel Moret{\'{o}} and Marc Casas and Ramon Bertran and Alper Buyuktosunoglu and Alexandre E. Eichenberger and Pradip Bose}, editor = {William D. Gropp and Pete Beckman and Zhiyuan Li and Francisco J. Cazorla}, title = {libPRISM: an intelligent adaptation of prefetch and {SMT} levels}, booktitle = {Proceedings of the International Conference on Supercomputing, {ICS} 2017, Chicago, IL, USA, June 14-16, 2017}, pages = {28:1--28:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3079079.3079101}, doi = {10.1145/3079079.3079101}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/OrtegaMCBBEB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BrumarCMVS17, author = {Iulian Brumar and Marc Casas and Miquel Moret{\'{o}} and Mateo Valero and Gurindar S. Sohi}, title = {{ATM:} Approximate Task Memoization in the Runtime System}, booktitle = {2017 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2017, Orlando, FL, USA, May 29 - June 2, 2017}, pages = {1140--1150}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IPDPS.2017.49}, doi = {10.1109/IPDPS.2017.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BrumarCMVS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/RocaNCMVN17, author = {Damian Roca and Daniel Nemirovsky and Marc Casas and Miquel Moret{\'{o}} and Mateo Valero and Mario Nemirovsky}, title = {iQ: An Efficient and Flexible Queue-Based Simulation Framework}, booktitle = {25th {IEEE} International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2017, Banff, AB, Canada, September 20-22, 2017}, pages = {143--149}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/MASCOTS.2017.13}, doi = {10.1109/MASCOTS.2017.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/RocaNCMVN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/LiuMACV17, author = {Qixiao Liu and Miquel Moret{\'{o}} and Jaume Abella and Francisco J. Cazorla and Mateo Valero}, title = {{SEDEA:} {A} Sensible Approach to Account {DRAM} Energy in Multicore Systems}, booktitle = {29th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2017, Campinas, Brazil, October 17-20, 2017}, pages = {73--80}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SBAC-PAD.2017.17}, doi = {10.1109/SBAC-PAD.2017.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/LiuMACV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/ChasapisCMVALV16, author = {Dimitrios Chasapis and Marc Casas and Miquel Moret{\'{o}} and Raul Vidal and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, title = {PARSECSs: Evaluating the Impact of Task Parallelism in the {PARSEC} Benchmark Suite}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {12}, number = {4}, pages = {41:1--41:22}, year = {2016}, url = {https://doi.org/10.1145/2829952}, doi = {10.1145/2829952}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/ChasapisCMVALV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LiuMACJV16, author = {Qixiao Liu and Miquel Moret{\'{o}} and Jaume Abella and Francisco J. Cazorla and Daniel A. Jim{\'{e}}nez and Mateo Valero}, title = {Sensible Energy Accounting with Abstract Metering for Multicore Systems}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {12}, number = {4}, pages = {60:1--60:26}, year = {2016}, url = {https://doi.org/10.1145/2842616}, doi = {10.1145/2842616}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/LiuMACJV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RadojkovicCMCVP16, author = {Petar Radojkovic and Paul M. Carpenter and Miquel Moret{\'{o}} and Vladimir Cakarevic and Javier Verd{\'{u}} and Alex Pajuelo and Francisco J. Cazorla and Mario Nemirovsky and Mateo Valero}, title = {Thread Assignment in Multicore/Multithreaded Processors: {A} Statistical Approach}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {1}, pages = {256--269}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2417533}, doi = {10.1109/TC.2015.2417533}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/RadojkovicCMCVP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LiuMACV16, author = {Qixiao Liu and Miquel Moret{\'{o}} and Jaume Abella and Francisco J. Cazorla and Mateo Valero}, title = {DReAM: An Approach to Estimate per-Task {DRAM} Energy in Multicore Systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {1}, pages = {16:1--16:26}, year = {2016}, url = {https://doi.org/10.1145/2939370}, doi = {10.1145/2939370}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/LiuMACV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/CahenyCMGSALV16, author = {Paul Caheny and Marc Casas and Miquel Moret{\'{o}} and Herv{\'{e}} Gloaguen and Maxime Saintes and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Ayal Zaks and Bilha Mendelson and Lawrence Rauchwerger and Wen{-}mei W. Hwu}, title = {Reducing Cache Coherence Traffic with Hierarchical Directory Cache and NUMA-Aware Runtime Scheduling}, booktitle = {Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, {PACT} 2016, Haifa, Israel, September 11-15, 2016}, pages = {275--286}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2967938.2967962}, doi = {10.1145/2967938.2967962}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/CahenyCMGSALV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ChronakiMCRBALV16, author = {Kallia Chronaki and Miquel Moret{\'{o}} and Marc Casas and Alejandro Rico and Rosa M. Badia and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Ayal Zaks and Bilha Mendelson and Lawrence Rauchwerger and Wen{-}mei W. Hwu}, title = {{POSTER:} Exploiting Asymmetric Multi-Core Processors with Flexible System Sofware}, booktitle = {Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, {PACT} 2016, Haifa, Israel, September 11-15, 2016}, pages = {415--417}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2967938.2976038}, doi = {10.1145/2967938.2976038}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/ChronakiMCRBALV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ChasapisSCAVML16, author = {Dimitrios Chasapis and Marc Casas and Miquel Moret{\'{o}} and Martin Schulz and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Runtime-Guided Mitigation of Manufacturing Variability in Power-Constrained Multi-Socket {NUMA} Nodes}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {5:1--5:12}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926279}, doi = {10.1145/2925426.2926279}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ChasapisSCAVML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CastilloMCA0CBB16, author = {Emilio Castillo and Miquel Moret{\'{o}} and Marc Casas and Lluc Alvarez and Enrique Vallejo and Kallia Chronaki and Rosa M. Badia and Jos{\'{e}} Luis Bosque and Ram{\'{o}}n Beivide and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, title = {{CATA:} Criticality Aware Task Acceleration for Multicore Processors}, booktitle = {2016 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2016, Chicago, IL, USA, May 23-27, 2016}, pages = {413--422}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IPDPS.2016.49}, doi = {10.1109/IPDPS.2016.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CastilloMCA0CBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GrassRCMA16, author = {Thomas Grass and Alejandro Rico and Marc Casas and Miquel Moret{\'{o}} and Eduard Ayguad{\'{e}}}, title = {TaskPoint: Sampled simulation of task-based programs}, booktitle = {2016 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2016, Uppsala, Sweden, April 17-19, 2016}, pages = {296--306}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISPASS.2016.7482104}, doi = {10.1109/ISPASS.2016.7482104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GrassRCMA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/GrassAARALVCM16, author = {Thomas Grass and C{\'{e}}sar Allande and Adri{\`{a}} Armejach and Alejandro Rico and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero and Marc Casas and Miquel Moret{\'{o}}}, editor = {John West and Cherri M. Pancake}, title = {{MUSA:} a multi-level simulation approach for next-generation {HPC} machines}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2016, Salt Lake City, UT, USA, November 13-18, 2016}, pages = {526--537}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/SC.2016.44}, doi = {10.1109/SC.2016.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/GrassAARALVCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/AlvarezMCCMLAV15, author = {Lluc Alvarez and Miquel Moret{\'{o}} and Marc Casas and Emilio Castillo and Xavier Martorell and Jes{\'{u}}s Labarta and Eduard Ayguad{\'{e}} and Mateo Valero}, title = {Runtime-Guided Management of Scratchpad Memories in Multicore Architectures}, booktitle = {2015 International Conference on Parallel Architectures and Compilation, {PACT} 2015, San Francisco, CA, USA, October 18-21, 2015}, pages = {379--391}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PACT.2015.26}, doi = {10.1109/PACT.2015.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/AlvarezMCCMLAV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/CasasMACC0JPUCA15, author = {Marc Casas and Miquel Moret{\'{o}} and Lluc Alvarez and Emilio Castillo and Dimitrios Chasapis and Timothy Hayes and Luc Jaulmes and Oscar Palomar and Osman S. Unsal and Adri{\'{a}}n Cristal and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Jesper Larsson Tr{\"{a}}ff and Sascha Hunold and Francesco Versaci}, title = {Runtime-Aware Architectures}, booktitle = {Euro-Par 2015: Parallel Processing - 21st International Conference on Parallel and Distributed Computing, Vienna, Austria, August 24-28, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9233}, pages = {16--27}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-48096-0\_2}, doi = {10.1007/978-3-662-48096-0\_2}, timestamp = {Sun, 12 Nov 2023 02:07:45 +0100}, biburl = {https://dblp.org/rec/conf/europar/CasasMACC0JPUCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AlvarezVMCGMNAV15, author = {Lluc Alvarez and Llu{\'{\i}}s Vilanova and Miquel Moret{\'{o}} and Marc Casas and Marc Gonz{\'{a}}lez and Xavier Martorell and Nacho Navarro and Eduard Ayguad{\'{e}} and Mateo Valero}, editor = {Deborah T. Marr and David H. Albonesi}, title = {Coherence protocol for transparent management of scratchpad memories in shared memory manycore architectures}, booktitle = {Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015}, pages = {720--732}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749469.2750411}, doi = {10.1145/2749469.2750411}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/AlvarezVMCGMNAV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwomp/VidalCMCFMALV15, author = {Raul Vidal and Marc Casas and Miquel Moret{\'{o}} and Dimitrios Chasapis and Roger Ferrer and Xavier Martorell and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Christian Terboven and Bronis R. de Supinski and Pablo Reble and Barbara M. Chapman and Matthias S. M{\"{u}}ller}, title = {Evaluating the Impact of OpenMP 4.0 Extensions on Relevant Parallel Workloads}, booktitle = {OpenMP: Heterogenous Execution and Data Movements - 11th International Workshop on OpenMP, {IWOMP} 2015, Aachen, Germany, October 1-2, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9342}, pages = {60--72}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24595-9\_5}, doi = {10.1007/978-3-319-24595-9\_5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwomp/VidalCMCFMALV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/JaulmesACLMV15, author = {Luc Jaulmes and Marc Casas and Miquel Moret{\'{o}} and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Jackie Kern and Jeffrey S. Vetter}, title = {Exploiting asynchrony from exact forward recovery for {DUE} in iterative solvers}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2015, Austin, TX, USA, November 15-20, 2015}, pages = {53:1--53:12}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2807591.2807599}, doi = {10.1145/2807591.2807599}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/JaulmesACLMV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PratOCMV15, author = {David Prat and Cristobal Ortega and Marc Casas and Miquel Moret{\'{o}} and Mateo Valero}, title = {Adaptive and application dependent runtime guided hardware prefetcher reconfiguration on the {IBM} {POWER7}}, journal = {CoRR}, volume = {abs/1501.02282}, year = {2015}, url = {http://arxiv.org/abs/1501.02282}, eprinttype = {arXiv}, eprint = {1501.02282}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PratOCMV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LiuJMACV14, author = {Qixiao Liu and V{\'{\i}}ctor Jim{\'{e}}nez and Miquel Moret{\'{o}} and Jaume Abella and Francisco J. Cazorla and Mateo Valero}, title = {Per-task Energy Accounting in Computing Systems}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {13}, number = {2}, pages = {85--88}, year = {2014}, url = {https://doi.org/10.1109/L-CA.2013.24}, doi = {10.1109/L-CA.2013.24}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/LiuJMACV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/superfri/ValeroMCAL14, author = {Mateo Valero and Miquel Moret{\'{o}} and Marc Casas and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta}, title = {Runtime-Aware Architectures: {A} First Approach}, journal = {Supercomput. Front. Innov.}, volume = {1}, number = {1}, pages = {29--44}, year = {2014}, url = {https://doi.org/10.14529/jsfi140102}, doi = {10.14529/JSFI140102}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/superfri/ValeroMCAL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/LiuMACV14, author = {Qixiao Liu and Miquel Moret{\'{o}} and Jaume Abella and Francisco J. Cazorla and Mateo Valero}, editor = {Fernando M. A. Silva and In{\^{e}}s de Castro Dutra and V{\'{\i}}tor Santos Costa}, title = {DReAM: Per-Task {DRAM} Energy Metering in Multicore Systems}, booktitle = {Euro-Par 2014 Parallel Processing - 20th International Conference, Porto, Portugal, August 25-29, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8632}, pages = {111--123}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09873-9\_10}, doi = {10.1007/978-3-319-09873-9\_10}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/LiuMACV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/GrassRCMR14, author = {Thomas Grass and Alejandro Rico and Marc Casas and Miquel Moret{\'{o}} and Alex Ram{\'{\i}}rez}, editor = {Lu{\'{\i}}s M. B. Lopes and Julius Zilinskas and Alexandru Costan and Roberto G. Cascella and Gabor Kecskemeti and Emmanuel Jeannot and Mario Cannataro and Laura Ricci and Siegfried Benkner and Salvador Petit and Vittorio Scarano and Jos{\'{e}} Gracia and Sascha Hunold and Stephen L. Scott and Stefan Lankes and Christian Lengauer and Jes{\'{u}}s Carretero and Jens Breitbart and Michael Alexander}, title = {Evaluating Execution Time Predictability of Task-Based Programs on Multi-Core Processors}, booktitle = {Euro-Par 2014: Parallel Processing Workshops - Euro-Par 2014 International Workshops, Porto, Portugal, August 25-26, 2014, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8806}, pages = {218--229}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-14313-2\_19}, doi = {10.1007/978-3-319-14313-2\_19}, timestamp = {Sun, 12 Nov 2023 02:07:45 +0100}, biburl = {https://dblp.org/rec/conf/europar/GrassRCMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LuqueMCV13, author = {Carlos Luque and Miquel Moret{\'{o}} and Francisco J. Cazorla and Mateo Valero}, title = {Fair {CPU} time accounting in {CMP+SMT} processors}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {9}, number = {4}, pages = {50:1--50:25}, year = {2013}, url = {https://doi.org/10.1145/2400682.2400709}, doi = {10.1145/2400682.2400709}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/LuqueMCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LiuMJACV13, author = {Qixiao Liu and Miquel Moret{\'{o}} and V{\'{\i}}ctor Jim{\'{e}}nez and Jaume Abella and Francisco J. Cazorla and Mateo Valero}, title = {Hardware support for accurate per-task energy metering in multicore systems}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {4}, pages = {34:1--34:27}, year = {2013}, url = {https://doi.org/10.1145/2541228.2555291}, doi = {10.1145/2541228.2555291}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/LiuMJACV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ColmenaresEHBMCGRBMAK13, author = {Juan A. Colmenares and Gage Eads and Steven A. Hofmeyr and Sarah Bird and Miquel Moret{\'{o}} and David Chou and Brian Gluzman and Eric Roman and Davide B. Bartolini and Nitesh Mor and Krste Asanovic and John Kubiatowicz}, title = {Tessellation: refactoring the {OS} around explicit resource containers with continuous adaptation}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {76:1--76:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488827}, doi = {10.1145/2463209.2488827}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ColmenaresEHBMCGRBMAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GirbalMGAQCY13, author = {Sylvain Girbal and Miquel Moret{\'{o}} and Arnaud Grasset and Jaume Abella and Eduardo Qui{\~{n}}ones and Francisco J. Cazorla and Sami Yehia}, title = {On the convergence of mainstream and mission-critical markets}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {185:1--185:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488962}, doi = {10.1145/2463209.2488962}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GirbalMGAQCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CookMBDPA13, author = {Henry Cook and Miquel Moret{\'{o}} and Sarah Bird and Khanh Dao and David A. Patterson and Krste Asanovic}, editor = {Avi Mendelson}, title = {A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness}, booktitle = {The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013}, pages = {308--319}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2485922.2485949}, doi = {10.1145/2485922.2485949}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/CookMBDPA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/Camarero0MMB13, author = {Cristobal Camarero and Enrique Vallejo and Carmen Mart{\'{\i}}nez and Miquel Moret{\'{o}} and Ram{\'{o}}n Beivide}, editor = {Fang (Cherry) Liu and Karl Rupp and Rhonda D. Phillips and William I. Thacker}, title = {Task mapping in rectangular twisted tori}, booktitle = {2013 Spring Simulation Multiconference, SpringSim '13, San Diego, CA, USA, April 7-10, 2013, Proceedings of the High Performance Computing Symposium {(HPC)}}, pages = {15}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2499983}, timestamp = {Fri, 20 Sep 2013 09:44:13 +0200}, biburl = {https://dblp.org/rec/conf/springsim/Camarero0MMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LuqueMCGBV12, author = {Carlos Luque and Miquel Moret{\'{o}} and Francisco J. Cazorla and Roberto Gioiosa and Alper Buyuktosunoglu and Mateo Valero}, title = {{CPU} Accounting for Multicore Processors}, journal = {{IEEE} Trans. Computers}, volume = {61}, number = {2}, pages = {251--264}, year = {2012}, url = {https://doi.org/10.1109/TC.2011.152}, doi = {10.1109/TC.2011.152}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LuqueMCGBV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/RadojkovicCMVPCNV12, author = {Petar Radojkovic and Vladimir Cakarevic and Miquel Moret{\'{o}} and Javier Verd{\'{u}} and Alex Pajuelo and Francisco J. Cazorla and Mario Nemirovsky and Mateo Valero}, editor = {Tim Harris and Michael L. Scott}, title = {Optimal task assignment in multithreaded processors: a statistical approach}, booktitle = {Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2012, London, UK, March 3-7, 2012}, pages = {235--248}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2150976.2151002}, doi = {10.1145/2150976.2151002}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/RadojkovicCMVPCNV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ManousopoulosMGKC12, author = {Stelios Manousopoulos and Miquel Moret{\'{o}} and Roberto Gioiosa and Nectarios Koziris and Francisco J. Cazorla}, title = {Characterizing thread placement in the {IBM} {POWER7} processor}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Workload Characterization, {IISWC} 2012, La Jolla, CA, USA, November 4-6, 2012}, pages = {120--130}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IISWC.2012.6402916}, doi = {10.1109/IISWC.2012.6402916}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ManousopoulosMGKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/RadojkovicCMRC12, author = {Petar Radojkovic and Paul M. Carpenter and Miquel Moret{\'{o}} and Alex Ram{\'{\i}}rez and Francisco J. Cazorla}, title = {Kernel Partitioning of Streaming Applications: {A} Statistical Approach to an NP-complete Problem}, booktitle = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012}, pages = {401--412}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MICRO.2012.44}, doi = {10.1109/MICRO.2012.44}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/RadojkovicCMRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/GonzalezGCMRLV11, author = {Juan Gonzalez and Judit Gim{\'{e}}nez and Marc Casas and Miquel Moret{\'{o}} and Alex Ram{\'{\i}}rez and Jes{\'{u}}s Labarta and Mateo Valero}, title = {Simulating Whole Supercomputer Applications}, journal = {{IEEE} Micro}, volume = {31}, number = {3}, pages = {32--45}, year = {2011}, url = {https://doi.org/10.1109/MM.2011.58}, doi = {10.1109/MM.2011.58}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/GonzalezGCMRLV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thipeac/MoretoCRV11, author = {Miquel Moret{\'{o}} and Francisco J. Cazorla and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {Dynamic Cache Partitioning Based on the {MLP} of Cache Misses}, journal = {Trans. High Perform. Embed. Archit. Compil.}, volume = {3}, pages = {3--23}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19448-1\_1}, doi = {10.1007/978-3-642-19448-1\_1}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thipeac/MoretoCRV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/es/Planas10, author = {Miquel Moret{\'{o}}}, title = {Improving cache Behavior in {CMP} architectures throug cache partitioning techniques}, school = {Polytechnic University of Catalonia, Spain}, year = {2010}, url = {http://hdl.handle.net/10803/6021}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/es/Planas10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CamaraMVBMMN10, author = {Jos{\'{e}} M. C{\'{a}}mara and Miquel Moret{\'{o}} and Enrique Vallejo and Ram{\'{o}}n Beivide and Jos{\'{e}} Miguel{-}Alonso and Carmen Mart{\'{\i}}nez and Javier Navaridas}, title = {Twisted Torus Topologies for Enhanced Interconnection Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {21}, number = {12}, pages = {1765--1778}, year = {2010}, url = {https://doi.org/10.1109/TPDS.2010.30}, doi = {10.1109/TPDS.2010.30}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/CamaraMVBMMN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/MoretoCSV10, author = {Miquel Moret{\'{o}} and Francisco J. Cazorla and Rizos Sakellariou and Mateo Valero}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Load balancing using dynamic cache allocation}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {153--164}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787320}, doi = {10.1145/1787275.1787320}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/MoretoCSV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KedzierskiMCV10, author = {Kamil Kedzierski and Miquel Moret{\'{o}} and Francisco J. Cazorla and Mateo Valero}, title = {Adapting cache partitioning algorithms to pseudo-LRU replacement policies}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Conference Proceedings}, pages = {1--12}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPS.2010.5470352}, doi = {10.1109/IPDPS.2010.5470352}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KedzierskiMCV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LuqueMCGBV09, author = {Carlos Luque and Miquel Moret{\'{o}} and Francisco J. Cazorla and Roberto Gioiosa and Alper Buyuktosunoglu and Mateo Valero}, title = {{CPU} Accounting in {CMP} Processors}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {8}, number = {1}, pages = {17--20}, year = {2009}, url = {https://doi.org/10.1109/L-CA.2009.3}, doi = {10.1109/L-CA.2009.3}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/LuqueMCGBV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigops/MoretoCRSV09, author = {Miquel Moret{\'{o}} and Francisco J. Cazorla and Alex Ram{\'{\i}}rez and Rizos Sakellariou and Mateo Valero}, title = {FlexDCP: a QoS framework for {CMP} architectures}, journal = {{ACM} {SIGOPS} Oper. Syst. Rev.}, volume = {43}, number = {2}, pages = {86--96}, year = {2009}, url = {https://doi.org/10.1145/1531793.1531806}, doi = {10.1145/1531793.1531806}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigops/MoretoCRSV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LuqueMCGBV09, author = {Carlos Luque and Miquel Moret{\'{o}} and Francisco J. Cazorla and Roberto Gioiosa and Alper Buyuktosunoglu and Mateo Valero}, title = {{ITCA:} Inter-task Conflict-Aware {CPU} Accounting for CMPs}, booktitle = {{PACT} 2009, Proceedings of the 18th International Conference on Parallel Architectures and Compilation Techniques, 12-16 September 2009, Raleigh, North Carolina, {USA}}, pages = {203--213}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/PACT.2009.33}, doi = {10.1109/PACT.2009.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/LuqueMCGBV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/NesbitMCRVS08, author = {Kyle J. Nesbit and Miquel Moret{\'{o}} and Francisco J. Cazorla and Alex Ram{\'{\i}}rez and Mateo Valero and James E. Smith}, title = {Multicore Resource Management}, journal = {{IEEE} Micro}, volume = {28}, number = {3}, pages = {6--16}, year = {2008}, url = {https://doi.org/10.1109/MM.2008.43}, doi = {10.1109/MM.2008.43}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/NesbitMCRVS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MartinezBSMG08, author = {Carmen Mart{\'{\i}}nez and Ram{\'{o}}n Beivide and Esteban Stafford and Miquel Moret{\'{o}} and Ernst M. Gabidulin}, title = {Modeling Toroidal Networks with the Gaussian Integers}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {8}, pages = {1046--1056}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.57}, doi = {10.1109/TC.2008.57}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MartinezBSMG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/ValdiviesoGMCVMLM08, author = {Pedro {\'{A}}ngel Castillo Valdivieso and Juan Juli{\'{a}}n Merelo Guerv{\'{o}}s and Miquel Moret{\'{o}} and Francisco J. Cazorla and Mateo Valero and Antonio Miguel Mora and Juan Luis Jim{\'{e}}nez Laredo and Sally A. McKee}, title = {Evolutionary system for prediction and optimization of hardware architecture performance}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2008, June 1-6, 2008, Hong Kong, China}, pages = {1941--1948}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CEC.2008.4631054}, doi = {10.1109/CEC.2008.4631054}, timestamp = {Thu, 16 Dec 2021 14:01:33 +0100}, biburl = {https://dblp.org/rec/conf/cec/ValdiviesoGMCVMLM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/evoW/CastilloMGLMCVM08, author = {Pedro A. Castillo and Antonio Miguel Mora and Juan Juli{\'{a}}n Merelo Guerv{\'{o}}s and Juan Luis Jim{\'{e}}nez Laredo and Miquel Moret{\'{o}} and Francisco J. Cazorla and Mateo Valero and Sally A. McKee}, editor = {Mario Giacobini and Anthony Brabazon and Stefano Cagnoni and Gianni Di Caro and Rolf Drechsler and Anik{\'{o}} Ek{\'{a}}rt and Anna Esparcia{-}Alc{\'{a}}zar and Muddassar Farooq and Andreas Fink and Jon McCormack and Michael O'Neill and Juan Romero and Franz Rothlauf and Giovanni Squillero and Sima Uyar and Shengxiang Yang}, title = {Architecture Performance Prediction Using Evolutionary Artificial Neural Networks}, booktitle = {Applications of Evolutionary Computing, EvoWorkshops 2008: EvoCOMNET, EvoFIN, EvoHOT, EvoIASP, EvoMUSART, EvoNUM, EvoSTOC, and EvoTransLog, Naples, Italy, March 26-28, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4974}, pages = {175--183}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-78761-7\_18}, doi = {10.1007/978-3-540-78761-7\_18}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/evoW/CastilloMGLMCVM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/MoretoCRV08, author = {Miquel Moret{\'{o}} and Francisco J. Cazorla and Alex Ram{\'{\i}}rez and Mateo Valero}, editor = {Per Stenstr{\"{o}}m and Michel Dubois and Manolis Katevenis and Rajiv Gupta and Theo Ungerer}, title = {MLP-Aware Dynamic Cache Partitioning}, booktitle = {High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, G{\"{o}}teborg, Sweden, January 27-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4917}, pages = {337--352}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-77560-7\_23}, doi = {10.1007/978-3-540-77560-7\_23}, timestamp = {Mon, 06 Dec 2021 16:37:01 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/MoretoCRV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/MoretoCRV07, author = {Miquel Moret{\'{o}} and Francisco J. Cazorla and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {Explaining Dynamic Cache Partitioning Speed Ups}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {6}, number = {1}, pages = {1--4}, year = {2007}, url = {https://doi.org/10.1109/L-CA.2007.3}, doi = {10.1109/L-CA.2007.3}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/MoretoCRV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/MoretoCRV07, author = {Miquel Moret{\'{o}} and Francisco J. Cazorla and Alex Ram{\'{\i}}rez and Mateo Valero}, title = {MLP-Aware Dynamic Cache Partitioning}, booktitle = {16th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2007), Brasov, Romania, September 15-19, 2007}, pages = {418}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.ieeecomputersociety.org/10.1109/PACT.2007.49}, doi = {10.1109/PACT.2007.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/MoretoCRV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CamaraMVBMMN07, author = {Jos{\'{e}} M. C{\'{a}}mara and Miquel Moret{\'{o}} and Enrique Vallejo and Ram{\'{o}}n Beivide and Jos{\'{e}} Miguel{-}Alonso and Carmen Mart{\'{\i}}nez and Javier Navaridas}, title = {Mixed-radix Twisted Torus Interconnection Networks}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--10}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370270}, doi = {10.1109/IPDPS.2007.370270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CamaraMVBMMN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/MoretoCRV07, author = {Miquel Moret{\'{o}} and Francisco J. Cazorla and Alex Ram{\'{\i}}rez and Mateo Valero}, editor = {Holger Blume and Georgi Gaydadjiev and C. John Glossner and Peter M. W. Knijnenburg}, title = {Online Prediction of Applications Cache Utility}, booktitle = {Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2007), Samos, Greece, July 16-19, 2007}, pages = {169--177}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSAMOS.2007.4285748}, doi = {10.1109/ICSAMOS.2007.4285748}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/MoretoCRV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/MartinezVBIM06, author = {Carmen Mart{\'{\i}}nez and Enrique Vallejo and Ram{\'{o}}n Beivide and Cruz Izu and Miquel Moret{\'{o}}}, title = {Dense Gaussian Networks: Suitable Topologies for On-Chip Multiprocessors}, journal = {Int. J. Parallel Program.}, volume = {34}, number = {3}, pages = {193--211}, year = {2006}, url = {https://doi.org/10.1007/s10766-006-0014-1}, doi = {10.1007/S10766-006-0014-1}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/MartinezVBIM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/MartinezMBG06, author = {Carmen Mart{\'{\i}}nez and Miquel Moret{\'{o}} and Ram{\'{o}}n Beivide and Ernst M. Gabidulin}, title = {A Generalization of Perfect Lee Codes over Gaussian Integers}, booktitle = {Proceedings 2006 {IEEE} International Symposium on Information Theory, {ISIT} 2006, The Westin Seattle, Seattle, Washington, USA, July 9-14, 2006}, pages = {1070--1074}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISIT.2006.261892}, doi = {10.1109/ISIT.2006.261892}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/MartinezMBG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.