BibTeX records: Philip H. W. Leong

download as .bib file

@article{DBLP:journals/spl/LiRBJSL24,
  author       = {Carol Jingyi Li and
                  Richard Rademacher and
                  David Boland and
                  Craig T. Jin and
                  Chad M. Spooner and
                  Philip H. W. Leong},
  title        = {S{\textdollar}{\^{}}\{3\}{\textdollar}CA: {A} Sparse Strip Spectral
                  Correlation Analyzer},
  journal      = {{IEEE} Signal Process. Lett.},
  volume       = {31},
  pages        = {646--650},
  year         = {2024},
  url          = {https://doi.org/10.1109/LSP.2024.3364062},
  doi          = {10.1109/LSP.2024.3364062},
  timestamp    = {Sat, 16 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/spl/LiRBJSL24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmc/YangXLLS24,
  author       = {Yufei Yang and
                  Chenhao Xie and
                  Liansheng Liu and
                  Philip H. W. Leong and
                  Shuaiwen Leon Song},
  title        = {Efficient Radius Search for Adaptive Foveal Sizing Mechanism in Collaborative
                  Foveated Rendering Framework},
  journal      = {{IEEE} Trans. Mob. Comput.},
  volume       = {23},
  number       = {5},
  pages        = {3620--3632},
  year         = {2024},
  url          = {https://doi.org/10.1109/TMC.2023.3277577},
  doi          = {10.1109/TMC.2023.3277577},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmc/YangXLLS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/air/CullenRKFL23,
  author       = {Andrew C. Cullen and
                  Benjamin I. P. Rubinstein and
                  Sithamparanathan Kandeepan and
                  Barry Flower and
                  Philip H. W. Leong},
  title        = {Predicting dynamic spectrum allocation: a review covering simulation,
                  modelling, and prediction},
  journal      = {Artif. Intell. Rev.},
  volume       = {56},
  number       = {10},
  pages        = {10921--10959},
  year         = {2023},
  url          = {https://doi.org/10.1007/s10462-023-10449-9},
  doi          = {10.1007/S10462-023-10449-9},
  timestamp    = {Tue, 12 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/air/CullenRKFL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/LiMLLB23,
  author       = {Xiangwei Li and
                  Douglas L. Maskell and
                  Carol Jingyi Li and
                  Philip H. W. Leong and
                  David Boland},
  title        = {A Scalable Systolic Accelerator for Estimation of the Spectral Correlation
                  Density Function and Its {FPGA} Implementation},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {16},
  number       = {1},
  pages        = {9:1--9:24},
  year         = {2023},
  url          = {https://doi.org/10.1145/3546181},
  doi          = {10.1145/3546181},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/LiMLLB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/LiLLJBL23,
  author       = {Carol Jingyi Li and
                  Xiangwei Li and
                  Binglei Lou and
                  Craig T. Jin and
                  David Boland and
                  Philip H. W. Leong},
  title        = {Fixed-point {FPGA} Implementation of the {FFT} Accumulation Method
                  for Real-time Cyclostationary Analysis},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {16},
  number       = {3},
  pages        = {41:1--41:28},
  year         = {2023},
  url          = {https://doi.org/10.1145/3567429},
  doi          = {10.1145/3567429},
  timestamp    = {Sat, 14 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/LiLLJBL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/LouBL23,
  author       = {Binglei Lou and
                  David Boland and
                  Philip H. W. Leong},
  title        = {fSEAD: {A} Composable FPGA-based Streaming Ensemble Anomaly Detection
                  Library},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {16},
  number       = {3},
  pages        = {42:1--42:27},
  year         = {2023},
  url          = {https://doi.org/10.1145/3568992},
  doi          = {10.1145/3568992},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/LouBL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvt/QingLY23,
  author       = {Jiaxin Qing and
                  Philip H. W. Leong and
                  Raymond W. Yeung},
  title        = {Performance Analysis and Optimal Design of {BATS} Code: {A} Hardware
                  Perspective},
  journal      = {{IEEE} Trans. Veh. Technol.},
  volume       = {72},
  number       = {8},
  pages        = {9733--9745},
  year         = {2023},
  url          = {https://doi.org/10.1109/TVT.2023.3261359},
  doi          = {10.1109/TVT.2023.3261359},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvt/QingLY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/GuoLLBL23,
  author       = {Chuliang Guo and
                  Binglei Lou and
                  Xueyuan Liu and
                  David Boland and
                  Philip H. W. Leong},
  editor       = {Paolo Ienne and
                  Zhiru Zhang},
  title        = {Single-Batch {CNN} Training using Block Minifloats on FPGAs},
  booktitle    = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February
                  12-14, 2023},
  pages        = {53},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3543622.3573171},
  doi          = {10.1145/3543622.3573171},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/GuoLLBL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/HuangDTLG23,
  author       = {Teng{-}Hui Huang and
                  Thilini Dahanayaka and
                  Kanchana Thilakarathna and
                  Philip H. W. Leong and
                  Hesham El Gamal},
  title        = {The Wyner Variational Autoencoder for Unsupervised Multi-Layer Wireless
                  Fingerprinting},
  booktitle    = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur,
                  Malaysia, December 4-8, 2023},
  pages        = {820--825},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/GLOBECOM54140.2023.10437200},
  doi          = {10.1109/GLOBECOM54140.2023.10437200},
  timestamp    = {Sun, 10 Mar 2024 10:39:12 +0100},
  biburl       = {https://dblp.org/rec/conf/globecom/HuangDTLG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuoLLBLZ23,
  author       = {Chuliang Guo and
                  Binglei Lou and
                  Xueyuan Liu and
                  David Boland and
                  Philip H. W. Leong and
                  Cheng Zhuo},
  title        = {{BOOST:} Block Minifloat-Based On-Device {CNN} Training Accelerator
                  with Transfer Learning},
  booktitle    = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD}
                  2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCAD57390.2023.10323638},
  doi          = {10.1109/ICCAD57390.2023.10323638},
  timestamp    = {Wed, 03 Jan 2024 08:34:26 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GuoLLBLZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpads/JabbarpourJLCBB23,
  author       = {Mohammad Reza Jabbarpour and
                  Bahman Javadi and
                  Philip H. W. Leong and
                  Rodrigo N. Calheiros and
                  David Boland and
                  Chris Butler},
  title        = {On-Board Federated Learning in Orbital Edge Computing},
  booktitle    = {29th {IEEE} International Conference on Parallel and Distributed Systems,
                  {ICPADS} 2023, Ocean Flower Island, China, December 17-21, 2023},
  pages        = {1045--1052},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICPADS60453.2023.00154},
  doi          = {10.1109/ICPADS60453.2023.00154},
  timestamp    = {Sun, 14 Apr 2024 20:48:59 +0200},
  biburl       = {https://dblp.org/rec/conf/icpads/JabbarpourJLCBB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2303-15860,
  author       = {Teng{-}Hui Huang and
                  Thilini Dahanayaka and
                  Kanchana Thilakarathna and
                  Philip H. W. Leong and
                  Hesham El Gamal},
  title        = {The Wyner Variational Autoencoder for Unsupervised Multi-Layer Wireless
                  Fingerprinting},
  journal      = {CoRR},
  volume       = {abs/2303.15860},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2303.15860},
  doi          = {10.48550/ARXIV.2303.15860},
  eprinttype    = {arXiv},
  eprint       = {2303.15860},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2303-15860.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LiuLJL22,
  author       = {Xueyuan Liu and
                  Carol Jingyi Li and
                  Craig T. Jin and
                  Philip H. W. Leong},
  title        = {Wireless Signal Representation Techniques for Automatic Modulation
                  Classification},
  journal      = {{IEEE} Access},
  volume       = {10},
  pages        = {84166--84187},
  year         = {2022},
  url          = {https://doi.org/10.1109/ACCESS.2022.3197224},
  doi          = {10.1109/ACCESS.2022.3197224},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/LiuLJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tii/UmerTQLL22,
  author       = {Ayaz Umer and
                  Chakkrit Termritthikun and
                  Tie Qiu and
                  Philip H. W. Leong and
                  Ivan Lee},
  title        = {On-Device Saliency Prediction Based on Pseudoknowledge Distillation},
  journal      = {{IEEE} Trans. Ind. Informatics},
  volume       = {18},
  number       = {9},
  pages        = {6317--6325},
  year         = {2022},
  url          = {https://doi.org/10.1109/TII.2022.3153365},
  doi          = {10.1109/TII.2022.3153365},
  timestamp    = {Tue, 16 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tii/UmerTQLL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/WangRLS22,
  author       = {Maolin Wang and
                  Seyedramin Rasoulinezhad and
                  Philip H. W. Leong and
                  Hayden Kwok{-}Hay So},
  title        = {{NITI:} Training Integer Neural Networks Using Integer-Only Arithmetic},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {33},
  number       = {11},
  pages        = {3249--3261},
  year         = {2022},
  url          = {https://doi.org/10.1109/TPDS.2022.3149787},
  doi          = {10.1109/TPDS.2022.3149787},
  timestamp    = {Mon, 13 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/WangRLS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/RasoulinezhadRW22,
  author       = {Seyedramin Rasoulinezhad and
                  Esther Roorda and
                  Steve Wilton and
                  Philip H. W. Leong and
                  David Boland},
  title        = {Rethinking Embedded Blocks for Machine Learning Applications},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {15},
  number       = {1},
  pages        = {9:1--9:30},
  year         = {2022},
  url          = {https://doi.org/10.1145/3491234},
  doi          = {10.1145/3491234},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/RasoulinezhadRW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/RoordaRLW22,
  author       = {Esther Roorda and
                  Seyedramin Rasoulinezhad and
                  Philip H. W. Leong and
                  Steven J. E. Wilton},
  title        = {{FPGA} Architecture Exploration for {DNN} Acceleration},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {15},
  number       = {3},
  pages        = {33:1--33:37},
  year         = {2022},
  url          = {https://doi.org/10.1145/3503465},
  doi          = {10.1145/3503465},
  timestamp    = {Mon, 25 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/RoordaRLW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/Leong22,
  author       = {Philip H. W. Leong},
  title        = {Introduction to Special Section on {FPGA} 2021},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {15},
  number       = {4},
  pages        = {42:1},
  year         = {2022},
  url          = {https://doi.org/10.1145/3536335},
  doi          = {10.1145/3536335},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/Leong22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhouQBL22,
  author       = {Wenjie Zhou and
                  Haoyan Qi and
                  David Boland and
                  Philip H. W. Leong},
  title        = {{FPGA} Implementation of {N-BEATS} for Time Series Forecasting Using
                  Block Minifloat Arithmetic},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2022,
                  Shenzhen, China, November 11-13, 2022},
  pages        = {546--550},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/APCCAS55924.2022.10090282},
  doi          = {10.1109/APCCAS55924.2022.10090282},
  timestamp    = {Sat, 22 Apr 2023 16:25:51 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhouQBL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/RasoulinezhadBL21,
  author       = {Seyedramin Rasoulinezhad and
                  David Boland and
                  Philip H. W. Leong},
  editor       = {Lesley Shannon and
                  Michael Adler},
  title        = {MLBlocks: {FPGA} Blocks for Machine Learning Applications},
  booktitle    = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field
                  Programmable Gate Arrays, Virtual Event, USA, February 28 - March
                  2, 2021},
  pages        = {228},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3431920.3439479},
  doi          = {10.1145/3431920.3439479},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/RasoulinezhadBL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/DaiLLZRLW21,
  author       = {Yuan Dai and
                  Simin Liu and
                  Yao Lu and
                  Hao Zhou and
                  Seyedramin Rasoulinezhad and
                  Philip H. W. Leong and
                  Lingli Wang},
  title        = {{APIR-DSP:} An approximate {PIR-DSP} architecture for error-tolerant
                  applications},
  booktitle    = {International Conference on Field-Programmable Technology, {(IC)FPT}
                  2021, Auckland, New Zealand, December 6-10, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICFPT52863.2021.9609927},
  doi          = {10.1109/ICFPT52863.2021.9609927},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/DaiLLZRLW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iclr/FoxRFBL21,
  author       = {Sean Fox and
                  Seyedramin Rasoulinezhad and
                  Julian Faraone and
                  David Boland and
                  Philip H. W. Leong},
  title        = {A Block Minifloat Representation for Training Deep Neural Networks},
  booktitle    = {9th International Conference on Learning Representations, {ICLR} 2021,
                  Virtual Event, Austria, May 3-7, 2021},
  publisher    = {OpenReview.net},
  year         = {2021},
  url          = {https://openreview.net/forum?id=6zaTwpNSsQ2},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iclr/FoxRFBL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/imm/EshraghianBLKAL20,
  author       = {Jason Kamran Eshraghian and
                  Seungbum Baek and
                  Timoth{\'{e}}e Levi and
                  Takashi Kohno and
                  Said F. Al{-}Sarawi and
                  Philip H. W. Leong and
                  Kyoung{-}Rok Cho and
                  Derek Abbott and
                  Omid Kavehei},
  title        = {Nonlinear retinal response modeling for future neuromorphic instrumentation},
  journal      = {{IEEE} Instrum. Meas. Mag.},
  volume       = {23},
  number       = {1},
  pages        = {21--29},
  year         = {2020},
  url          = {https://doi.org/10.1109/MIM.2020.8979519},
  doi          = {10.1109/MIM.2020.8979519},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/imm/EshraghianBLKAL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/FraserL20,
  author       = {Nicholas J. Fraser and
                  Philip H. W. Leong},
  title        = {Kernel Normalised Least Mean Squares with Delayed Model Adaptation},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {13},
  number       = {2},
  pages        = {7:1--7:30},
  year         = {2020},
  url          = {https://doi.org/10.1145/3376924},
  doi          = {10.1145/3376924},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/FraserL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/FaraoneKHZLBL20,
  author       = {Julian Faraone and
                  Martin Kumm and
                  Martin Hardieck and
                  Peter Zipf and
                  Xueyuan Liu and
                  David Boland and
                  Philip H. W. Leong},
  title        = {AddNet: Deep Neural Networks Using FPGA-Optimized Multipliers},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {1},
  pages        = {115--128},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2939429},
  doi          = {10.1109/TVLSI.2019.2939429},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/FaraoneKHZLBL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/Rasoulinezhad0Z20,
  author       = {Seyedramin Rasoulinezhad and
                  Siddhartha and
                  Hao Zhou and
                  Lingli Wang and
                  David Boland and
                  Philip H. W. Leong},
  editor       = {Stephen Neuendorffer and
                  Lesley Shannon},
  title        = {{LUXOR:} An {FPGA} Logic Cell Architecture for Efficient Compressor
                  Tree Implementations},
  booktitle    = {{FPGA} '20: The 2020 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, Seaside, CA, USA, February 23-25, 2020},
  pages        = {161--171},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3373087.3375303},
  doi          = {10.1145/3373087.3375303},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/Rasoulinezhad0Z20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/TridgellBLKK020,
  author       = {Stephen Tridgell and
                  David Boland and
                  Philip H. W. Leong and
                  Ryan Kastner and
                  Alireza Khodamoradi and
                  Siddhartha},
  title        = {Real-time Automatic Modulation Classification using RFSoC},
  booktitle    = {2020 {IEEE} International Parallel and Distributed Processing Symposium
                  Workshops, {IPDPSW} 2020, New Orleans, LA, USA, May 18-22, 2020},
  pages        = {82--89},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/IPDPSW50202.2020.00021},
  doi          = {10.1109/IPDPSW50202.2020.00021},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ipps/TridgellBLKK020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChanLS20,
  author       = {Cyrus Wing{-}Hei Chan and
                  Philip H. W. Leong and
                  Hayden Kwok{-}Hay So},
  title        = {Vision Guided Crop Detection in Field Robots using FPGA-Based Reconfigurable
                  Computers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9181302},
  doi          = {10.1109/ISCAS45731.2020.9181302},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChanLS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2002-12900,
  author       = {Seyedramin Rasoulinezhad and
                  Sean Fox and
                  Hao Zhou and
                  Lingli Wang and
                  David Boland and
                  Philip H. W. Leong},
  title        = {MajorityNets: BNNs Utilising Approximate Popcount for Improved Efficiency},
  journal      = {CoRR},
  volume       = {abs/2002.12900},
  year         = {2020},
  url          = {https://arxiv.org/abs/2002.12900},
  eprinttype    = {arXiv},
  eprint       = {2002.12900},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2002-12900.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2003-03043,
  author       = {Seyedramin Rasoulinezhad and
                  Siddhartha and
                  Hao Zhou and
                  Lingli Wang and
                  David Boland and
                  Philip H. W. Leong},
  title        = {{LUXOR:} An {FPGA} Logic Cell Architecture for Efficient Compressor
                  Tree Implementations},
  journal      = {CoRR},
  volume       = {abs/2003.03043},
  year         = {2020},
  url          = {https://arxiv.org/abs/2003.03043},
  eprinttype    = {arXiv},
  eprint       = {2003.03043},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2003-03043.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2009-13108,
  author       = {Maolin Wang and
                  Seyedramin Rasoulinezhad and
                  Philip H. W. Leong and
                  Hayden Kwok{-}Hay So},
  title        = {{NITI:} Training Integer Neural Networks Using Integer-only Arithmetic},
  journal      = {CoRR},
  volume       = {abs/2009.13108},
  year         = {2020},
  url          = {https://arxiv.org/abs/2009.13108},
  eprinttype    = {arXiv},
  eprint       = {2009.13108},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2009-13108.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/LuoCWL19,
  author       = {Cheng Luo and
                  Wei Cao and
                  Lingli Wang and
                  Philip H. W. Leong},
  title        = {{RNA:} An Accurate Residual Network Accelerator for Quantized and
                  Reconstructed Deep Neural Networks},
  journal      = {{IEICE} Trans. Inf. Syst.},
  volume       = {102-D},
  number       = {5},
  pages        = {1037--1045},
  year         = {2019},
  url          = {https://doi.org/10.1587/transinf.2018RCP0008},
  doi          = {10.1587/TRANSINF.2018RCP0008},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ieicet/LuoCWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/TridgellKHBMZL19,
  author       = {Stephen Tridgell and
                  Martin Kumm and
                  Martin Hardieck and
                  David Boland and
                  Duncan J. M. Moss and
                  Peter Zipf and
                  Philip H. W. Leong},
  title        = {Unrolling Ternary Neural Networks},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {12},
  number       = {4},
  pages        = {22:1--22:23},
  year         = {2019},
  url          = {https://doi.org/10.1145/3359983},
  doi          = {10.1145/3359983},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/TridgellKHBMZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MossBL19,
  author       = {Duncan J. M. Moss and
                  David Boland and
                  Philip H. W. Leong},
  title        = {A Two-Speed, Radix-4, Serial-Parallel Multiplier},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {4},
  pages        = {769--777},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2018.2883645},
  doi          = {10.1109/TVLSI.2018.2883645},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MossBL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/RasoulinezhadZW19,
  author       = {Seyedramin Rasoulinezhad and
                  Hao Zhou and
                  Lingli Wang and
                  Philip H. W. Leong},
  title        = {{PIR-DSP:} An {FPGA} {DSP} Block Architecture for Multi-precision
                  Deep Neural Networks},
  booktitle    = {27th {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2019, San Diego, CA, USA, April 28 - May
                  1, 2019},
  pages        = {35--44},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/FCCM.2019.00015},
  doi          = {10.1109/FCCM.2019.00015},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fccm/RasoulinezhadZW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icfpt/FoxFBVL19,
  author       = {Sean Fox and
                  Julian Faraone and
                  David Boland and
                  Kees A. Vissers and
                  Philip H. W. Leong},
  title        = {Training Deep Neural Networks in Low-Precision with High Accuracy
                  Using FPGAs},
  booktitle    = {International Conference on Field-Programmable Technology, {FPT} 2019,
                  Tianjin, China, December 9-13, 2019},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICFPT47387.2019.00009},
  doi          = {10.1109/ICFPT47387.2019.00009},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icfpt/FoxFBVL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icfpt/TridgellBL019,
  author       = {Stephen Tridgell and
                  David Boland and
                  Philip H. W. Leong and
                  Siddhartha},
  title        = {Real-Time Automatic Modulation Classification},
  booktitle    = {International Conference on Field-Programmable Technology, {FPT} 2019,
                  Tianjin, China, December 9-13, 2019},
  pages        = {299--302},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICFPT47387.2019.00052},
  doi          = {10.1109/ICFPT47387.2019.00052},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icfpt/TridgellBL019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icfpt/RasoulinezhadFZ19,
  author       = {Seyedramin Rasoulinezhad and
                  Sean Fox and
                  Hao Zhou and
                  Lingli Wang and
                  David Boland and
                  Philip H. W. Leong},
  title        = {MajorityNets: BNNs Utilising Approximate Popcount for Improved Efficiency},
  booktitle    = {International Conference on Field-Programmable Technology, {FPT} 2019,
                  Tianjin, China, December 9-13, 2019},
  pages        = {339--342},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICFPT47387.2019.00062},
  doi          = {10.1109/ICFPT47387.2019.00062},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icfpt/RasoulinezhadFZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1909-04509,
  author       = {Stephen Tridgell and
                  Martin Kumm and
                  Martin Hardieck and
                  David Boland and
                  Duncan J. M. Moss and
                  Peter Zipf and
                  Philip H. W. Leong},
  title        = {Unrolling Ternary Neural Networks},
  journal      = {CoRR},
  volume       = {abs/1909.04509},
  year         = {2019},
  url          = {http://arxiv.org/abs/1909.04509},
  eprinttype    = {arXiv},
  eprint       = {1909.04509},
  timestamp    = {Wed, 18 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1909-04509.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1911-08097,
  author       = {Julian Faraone and
                  Martin Kumm and
                  Martin Hardieck and
                  Peter Zipf and
                  Xueyuan Liu and
                  David Boland and
                  Philip H. W. Leong},
  title        = {AddNet: Deep Neural Networks Using FPGA-Optimized Multipliers},
  journal      = {CoRR},
  volume       = {abs/1911.08097},
  year         = {2019},
  url          = {http://arxiv.org/abs/1911.08097},
  eprinttype    = {arXiv},
  eprint       = {1911.08097},
  timestamp    = {Wed, 04 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1911-08097.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/MaPWL18,
  author       = {Ning Ma and
                  Yu Peng and
                  Shaojun Wang and
                  Philip Heng Wai Leong},
  title        = {An Unsupervised Deep Hyperspectral Anomaly Detector},
  journal      = {Sensors},
  volume       = {18},
  number       = {3},
  pages        = {693},
  year         = {2018},
  url          = {https://doi.org/10.3390/s18030693},
  doi          = {10.3390/S18030693},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sensors/MaPWL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tim/HePWLL18,
  author       = {Yongfu He and
                  Yu Peng and
                  Shaojun Wang and
                  Datong Liu and
                  Philip Heng Wai Leong},
  title        = {A Structured Sparse Subspace Learning Algorithm for Anomaly Detection
                  in {UAV} Flight Data},
  journal      = {{IEEE} Trans. Instrum. Meas.},
  volume       = {67},
  number       = {1},
  pages        = {90--100},
  year         = {2018},
  url          = {https://doi.org/10.1109/TIM.2017.2754698},
  doi          = {10.1109/TIM.2017.2754698},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tim/HePWLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SuFLZTLC18,
  author       = {Jiang Su and
                  Julian Faraone and
                  Junyi Liu and
                  Yiren Zhao and
                  David B. Thomas and
                  Philip Heng Wai Leong and
                  Peter Y. K. Cheung},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Redundancy-Reduced MobileNet Acceleration on Reconfigurable Logic
                  for ImageNet Classification},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {16--28},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_2},
  doi          = {10.1007/978-3-319-78890-6\_2},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SuFLZTLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/SuFGBDTLC18,
  author       = {Jiang Su and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Michaela Blott and
                  Gianluca Durelli and
                  David B. Thomas and
                  Philip Heng Wai Leong and
                  Peter Y. K. Cheung},
  editor       = {Nikolaos S. Voros and
                  Michael H{\"{u}}bner and
                  Georgios Keramidas and
                  Diana Goehringer and
                  Christos P. Antonopoulos and
                  Pedro C. Diniz},
  title        = {Accuracy to Throughput Trade-Offs for Reduced Precision Neural Networks
                  on Reconfigurable Logic},
  booktitle    = {Applied Reconfigurable Computing. Architectures, Tools, and Applications
                  - 14th International Symposium, {ARC} 2018, Santorini, Greece, May
                  2-4, 2018, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {10824},
  pages        = {29--42},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-319-78890-6\_3},
  doi          = {10.1007/978-3-319-78890-6\_3},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/arc/SuFGBDTLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cvpr/FaraoneFBL18,
  author       = {Julian Faraone and
                  Nicholas J. Fraser and
                  Michaela Blott and
                  Philip H. W. Leong},
  title        = {{SYQ:} Learning Symmetric Quantization for Efficient Deep Neural Networks},
  booktitle    = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition,
                  {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018},
  pages        = {4300--4309},
  publisher    = {Computer Vision Foundation / {IEEE} Computer Society},
  year         = {2018},
  url          = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Faraone\_SYQ\_Learning\_Symmetric\_CVPR\_2018\_paper.html},
  doi          = {10.1109/CVPR.2018.00452},
  timestamp    = {Tue, 31 Aug 2021 14:00:32 +0200},
  biburl       = {https://dblp.org/rec/conf/cvpr/FaraoneFBL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/MossSNRJSMMSL18,
  author       = {Duncan J. M. Moss and
                  Krishnan Srivatsan and
                  Eriko Nurvitadhi and
                  Piotr Ratuszniak and
                  Chris Johnson and
                  Jaewoong Sim and
                  Asit K. Mishra and
                  Debbie Marr and
                  Suchit Subhaschandra and
                  Philip Heng Wai Leong},
  editor       = {Jason Helge Anderson and
                  Kia Bazargan},
  title        = {A Customizable Matrix Multiplication Framework for the Intel HARPv2
                  Xeon+FPGA Platform: {A} Deep Learning Case Study},
  booktitle    = {Proceedings of the 2018 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2018, Monterey, CA, USA, February 25-27, 2018},
  pages        = {107--116},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3174243.3174258},
  doi          = {10.1145/3174243.3174258},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/MossSNRJSMMSL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/FoxBL18,
  author       = {Sean Fox and
                  David Boland and
                  Philip Heng Wai Leong},
  editor       = {Jason Helge Anderson and
                  Kia Bazargan},
  title        = {{FPGA} Fastfood - {A} High Speed Systolic Implementation of a Large
                  Scale Online Kernel Method},
  booktitle    = {Proceedings of the 2018 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2018, Monterey, CA, USA, February 25-27, 2018},
  pages        = {279--284},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3174243.3174271},
  doi          = {10.1145/3174243.3174271},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/FoxBL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LuoWCLW18,
  author       = {Cheng Luo and
                  Yuhua Wang and
                  Wei Cao and
                  Philip H. W. Leong and
                  Lingli Wang},
  title        = {{RNA:} An Accurate Residual Network Accelerator for Quantized and
                  Reconstructed Deep Neural Networks},
  booktitle    = {28th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2018, Dublin, Ireland, August 27-31, 2018},
  pages        = {60--63},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/FPL.2018.00018},
  doi          = {10.1109/FPL.2018.00018},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/LuoWCLW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/FaraoneGFBLB18,
  author       = {Julian Faraone and
                  Giulio Gambardella and
                  Nicholas J. Fraser and
                  Michaela Blott and
                  Philip H. W. Leong and
                  David Boland},
  title        = {Customizing Low-Precision Deep Neural Networks for FPGAs},
  booktitle    = {28th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2018, Dublin, Ireland, August 27-31, 2018},
  pages        = {97--100},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/FPL.2018.00025},
  doi          = {10.1109/FPL.2018.00025},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/FaraoneGFBLB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/0003WBFBL18,
  author       = {Siddhartha and
                  Steven J. E. Wilton and
                  David Boland and
                  Barry Flower and
                  Perry Blackmore and
                  Philip H. W. Leong},
  title        = {Simultaneous Inference and Training Using On-FPGA Weight Perturbation
                  Techniques},
  booktitle    = {International Conference on Field-Programmable Technology, {FPT} 2018,
                  Naha, Okinawa, Japan, December 10-14, 2018},
  pages        = {306--309},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/FPT.2018.00060},
  doi          = {10.1109/FPT.2018.00060},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/0003WBFBL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/NoronhaLW18,
  author       = {Daniel Holanda Noronha and
                  Philip Heng Wai Leong and
                  Steven J. E. Wilton},
  title        = {Kibo: An Open-Source Fixed-Point Tool-kit for Training and Inference
                  in FPGA-Based Deep Learning Networks},
  booktitle    = {2018 {IEEE} International Parallel and Distributed Processing Symposium
                  Workshops, {IPDPS} Workshops 2018, Vancouver, BC, Canada, May 21-25,
                  2018},
  pages        = {178--185},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/IPDPSW.2018.00034},
  doi          = {10.1109/IPDPSW.2018.00034},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/NoronhaLW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MossBPL18,
  author       = {Duncan J. M. Moss and
                  David Boland and
                  Peyam Pourbeik and
                  Philip Heng Wai Leong},
  title        = {Real-time FPGA-based Anomaly Detection for Radio Frequency Signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8350890},
  doi          = {10.1109/ISCAS.2018.8350890},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MossBPL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ismict/PhamNDMLF18,
  author       = {Thuy T. Pham and
                  Diep N. Nguyen and
                  Eryk Dutkiewicz and
                  Alistair Lee McEwan and
                  Philip H. W. Leong and
                  Andrew J. Fuglevand},
  title        = {Feature Analysis for Discrimination of Motor Unit Action Potentials},
  booktitle    = {12th International Symposium on Medical Information and Communication
                  Technology, {ISMICT} 2018, Sydney, Australia, March 26-28, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISMICT.2018.8573738},
  doi          = {10.1109/ISMICT.2018.8573738},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ismict/PhamNDMLF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/milcom/SiddharthaLMFBS18,
  author       = {Siddhartha and
                  Yee Hui Lee and
                  Duncan J. M. Moss and
                  Julian Faraone and
                  Perry Blackmore and
                  Daniel Salmond and
                  David Boland and
                  Philip H. W. Leong},
  title        = {Long Short-Term Memory for Radio Frequency Spectral Prediction and
                  its Real-Time {FPGA} Implementation},
  booktitle    = {2018 {IEEE} Military Communications Conference, {MILCOM} 2018, Los
                  Angeles, CA, USA, October 29-31, 2018},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MILCOM.2018.8599833},
  doi          = {10.1109/MILCOM.2018.8599833},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/milcom/SiddharthaLMFBS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1807-00301,
  author       = {Julian Faraone and
                  Nicholas J. Fraser and
                  Michaela Blott and
                  Philip Heng Wai Leong},
  title        = {{SYQ:} Learning Symmetric Quantization For Efficient Deep Neural Networks},
  journal      = {CoRR},
  volume       = {abs/1807.00301},
  year         = {2018},
  url          = {http://arxiv.org/abs/1807.00301},
  eprinttype    = {arXiv},
  eprint       = {1807.00301},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1807-00301.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1807-10577,
  author       = {Jiang Su and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Michaela Blott and
                  Gianluca Durelli and
                  David B. Thomas and
                  Philip Heng Wai Leong and
                  Peter Y. K. Cheung},
  title        = {Accuracy to Throughput Trade-offs for Reduced Precision Neural Networks
                  on Reconfigurable Logic},
  journal      = {CoRR},
  volume       = {abs/1807.10577},
  year         = {2018},
  url          = {http://arxiv.org/abs/1807.10577},
  eprinttype    = {arXiv},
  eprint       = {1807.10577},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1807-10577.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ci/SilvaDPL17,
  author       = {Anthony Mihirana De Silva and
                  Richard I. A. Davis and
                  Syed Ahmed Pasha and
                  Philip Heng Wai Leong},
  title        = {Forecasting Financial Time Series with Grammar-Guided Feature Generation},
  journal      = {Comput. Intell.},
  volume       = {33},
  number       = {2},
  pages        = {241--261},
  year         = {2017},
  url          = {https://doi.org/10.1111/coin.12083},
  doi          = {10.1111/COIN.12083},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ci/SilvaDPL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/DaoKAJSL17,
  author       = {Cong Nguyen Dao and
                  Abdallah El Kass and
                  Mostafa Rahimi Azghadi and
                  Craig T. Jin and
                  Jonathan Scott and
                  Philip Heng Wai Leong},
  title        = {An enhanced {MOSFET} threshold voltage model for the 6-300 {K} temperature
                  range},
  journal      = {Microelectron. Reliab.},
  volume       = {69},
  pages        = {36--39},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.microrel.2016.12.007},
  doi          = {10.1016/J.MICROREL.2016.12.007},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/DaoKAJSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/AzghadiLAL17,
  author       = {Mostafa Rahimi Azghadi and
                  Bernab{\'{e}} Linares{-}Barranco and
                  Derek Abbott and
                  Philip Heng Wai Leong},
  title        = {A Hybrid CMOS-Memristor Neuromorphic Synapse},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {11},
  number       = {2},
  pages        = {434--445},
  year         = {2017},
  url          = {https://doi.org/10.1109/TBCAS.2016.2618351},
  doi          = {10.1109/TBCAS.2016.2618351},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tbcas/AzghadiLAL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbe/PhamTRML17,
  author       = {Thuy T. Pham and
                  Cindy Thamrin and
                  Paul D. Robinson and
                  Alistair Lee McEwan and
                  Philip Heng Wai Leong},
  title        = {Respiratory Artefact Removal in Forced Oscillation Measurements: {A}
                  Machine Learning Approach},
  journal      = {{IEEE} Trans. Biomed. Eng.},
  volume       = {64},
  number       = {8},
  pages        = {1679--1687},
  year         = {2017},
  url          = {https://doi.org/10.1109/TBME.2016.2554599},
  doi          = {10.1109/TBME.2016.2554599},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tbe/PhamTRML17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbe/PhamMLNDFML17,
  author       = {Thuy T. Pham and
                  Steven T. Moore and
                  Simon J. G. Lewis and
                  Diep N. Nguyen and
                  Eryk Dutkiewicz and
                  Andrew J. Fuglevand and
                  Alistair Lee McEwan and
                  Philip Heng Wai Leong},
  title        = {Freezing of Gait Detection in Parkinson's Disease: {A} Subject-Independent
                  Detector Using Anomaly Scores},
  journal      = {{IEEE} Trans. Biomed. Eng.},
  volume       = {64},
  number       = {11},
  pages        = {2719--2728},
  year         = {2017},
  url          = {https://doi.org/10.1109/TBME.2017.2665438},
  doi          = {10.1109/TBME.2017.2665438},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tbe/PhamMLNDFML17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcst/NoorianL17,
  author       = {Farzad Noorian and
                  Philip Heng Wai Leong},
  title        = {On Time Series Forecasting Error Measures for Finite Horizon Control},
  journal      = {{IEEE} Trans. Control. Syst. Technol.},
  volume       = {25},
  number       = {2},
  pages        = {736--743},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCST.2016.2571661},
  doi          = {10.1109/TCST.2016.2571661},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcst/NoorianL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/LeongAABCDGHLLL17,
  author       = {Philip Heng Wai Leong and
                  Hideharu Amano and
                  Jason Helge Anderson and
                  Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Oliver Diessel and
                  Guy Gogniat and
                  Mike Hutton and
                  JunKyu Lee and
                  Wayne Luk and
                  Patrick Lysaght and
                  Marco Platzner and
                  Viktor K. Prasanna and
                  Tero Rissa and
                  Cristina Silvano and
                  Hayden Kwok{-}Hay So and
                  Yu Wang},
  title        = {The First 25 Years of the {FPL} Conference: Significant Papers},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {10},
  number       = {2},
  pages        = {15:1--15:17},
  year         = {2017},
  url          = {https://doi.org/10.1145/2996468},
  doi          = {10.1145/2996468},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/LeongAABCDGHLLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/FraserLMFTJL17,
  author       = {Nicholas J. Fraser and
                  JunKyu Lee and
                  Duncan J. M. Moss and
                  Julian Faraone and
                  Stephen Tridgell and
                  Craig T. Jin and
                  Philip Heng Wai Leong},
  title        = {{FPGA} Implementations of Kernel Normalised Least Mean Squares Processors},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {10},
  number       = {4},
  pages        = {26:1--26:20},
  year         = {2017},
  url          = {https://doi.org/10.1145/3106744},
  doi          = {10.1145/3106744},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/FraserLMFTJL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YanYLLW17,
  author       = {Jian Yan and
                  Junqi Yuan and
                  Philip Heng Wai Leong and
                  Wayne Luk and
                  Lingli Wang},
  title        = {Lossless Compression Decoders for Bitstreams and Software Binaries
                  Based on High-Level Synthesis},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {10},
  pages        = {2842--2855},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2713527},
  doi          = {10.1109/TVLSI.2017.2713527},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YanYLLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/UmurogluFGBLJV17,
  author       = {Yaman Umuroglu and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Michaela Blott and
                  Philip Heng Wai Leong and
                  Magnus Jahre and
                  Kees A. Vissers},
  editor       = {Jonathan W. Greene and
                  Jason Helge Anderson},
  title        = {{FINN:} {A} Framework for Fast, Scalable Binarized Neural Network
                  Inference},
  booktitle    = {Proceedings of the 2017 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2017, Monterey, CA, USA, February 22-24, 2017},
  pages        = {65--74},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {http://dl.acm.org/citation.cfm?id=3021744},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/UmurogluFGBLJV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/MossNSMMSL17,
  author       = {Duncan J. M. Moss and
                  Eriko Nurvitadhi and
                  Jaewoong Sim and
                  Asit K. Mishra and
                  Debbie Marr and
                  Suchit Subhaschandra and
                  Philip Heng Wai Leong},
  editor       = {Marco D. Santambrogio and
                  Diana G{\"{o}}hringer and
                  Dirk Stroobandt and
                  Nele Mentens and
                  Jari Nurmi},
  title        = {High performance binary neural networks on the Xeon+FPGA{\texttrademark}
                  platform},
  booktitle    = {27th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2017, Ghent, Belgium, September 4-8, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/FPL.2017.8056823},
  doi          = {10.23919/FPL.2017.8056823},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/MossNSMMSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hipeac/FraserUGBLJV17,
  author       = {Nicholas J. Fraser and
                  Yaman Umuroglu and
                  Giulio Gambardella and
                  Michaela Blott and
                  Philip Heng Wai Leong and
                  Magnus Jahre and
                  Kees A. Vissers},
  title        = {Scaling Binarized Neural Networks on Reconfigurable Logic},
  booktitle    = {Proceedings of the 8th Workshop and 6th Workshop on Parallel Programming
                  and Run-Time Management Techniques for Many-core Architectures and
                  Design Tools and Architectures for Multicore Embedded Computing Platforms,
                  PARMA-DITAM@HiPEAC 2017, Stockholm, Sweden, January 25, 2017},
  pages        = {25--30},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3029580.3029586},
  doi          = {10.1145/3029580.3029586},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hipeac/FraserUGBLJV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/PhamNDML17,
  author       = {Thuy T. Pham and
                  Diep N. Nguyen and
                  Eryk Dutkiewicz and
                  Alistair Lee McEwan and
                  Philip Heng Wai Leong},
  title        = {Wearable healthcare systems: {A} single channel accelerometer based
                  anomaly detector for studies of gait freezing in Parkinson's disease},
  booktitle    = {{IEEE} International Conference on Communications, {ICC} 2017, Paris,
                  France, May 21-25, 2017},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICC.2017.7997415},
  doi          = {10.1109/ICC.2017.7997415},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icc/PhamNDML17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icoin/PhamNDML17,
  author       = {Thuy T. Pham and
                  Diep N. Nguyen and
                  Eryk Dutkiewicz and
                  Alistair Lee McEwan and
                  Philip Heng Wai Leong},
  title        = {An anomaly detection technique in wearable wireless monitoring systems
                  for studies of gait freezing in Parkinson's disease},
  booktitle    = {2017 International Conference on Information Networking, {ICOIN} 2017,
                  Da Nang, Vietnam, January 11-13, 2017},
  pages        = {41--45},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICOIN.2017.7899471},
  doi          = {10.1109/ICOIN.2017.7899471},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icoin/PhamNDML17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iconip/FaraoneFGBL17,
  author       = {Julian Faraone and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Michaela Blott and
                  Philip Heng Wai Leong},
  editor       = {Derong Liu and
                  Shengli Xie and
                  Yuanqing Li and
                  Dongbin Zhao and
                  El{-}Sayed M. El{-}Alfy},
  title        = {Compressing Low Precision Deep Neural Networks Using Sparsity-Induced
                  Regularization in Ternary Networks},
  booktitle    = {Neural Information Processing - 24th International Conference, {ICONIP}
                  2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part {II}},
  series       = {Lecture Notes in Computer Science},
  volume       = {10635},
  pages        = {393--404},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-3-319-70096-0\_41},
  doi          = {10.1007/978-3-319-70096-0\_41},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iconip/FaraoneFGBL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/FraserUGBLJV17,
  author       = {Nicholas J. Fraser and
                  Yaman Umuroglu and
                  Giulio Gambardella and
                  Michaela Blott and
                  Philip Heng Wai Leong and
                  Magnus Jahre and
                  Kees A. Vissers},
  title        = {Scaling Binarized Neural Networks on Reconfigurable Logic},
  journal      = {CoRR},
  volume       = {abs/1701.03400},
  year         = {2017},
  url          = {http://arxiv.org/abs/1701.03400},
  eprinttype    = {arXiv},
  eprint       = {1701.03400},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/FraserUGBLJV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1709-06262,
  author       = {Julian Faraone and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Michaela Blott and
                  Philip Heng Wai Leong},
  title        = {Compressing Low Precision Deep Neural Networks Using Sparsity-Induced
                  Regularization in Ternary Networks},
  journal      = {CoRR},
  volume       = {abs/1709.06262},
  year         = {2017},
  url          = {http://arxiv.org/abs/1709.06262},
  eprinttype    = {arXiv},
  eprint       = {1709.06262},
  timestamp    = {Wed, 18 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1709-06262.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cmig/KumarDKLLFF16,
  author       = {Ashnil Kumar and
                  Shane Dyer and
                  Jinman Kim and
                  Changyang Li and
                  Philip Heng Wai Leong and
                  Michael J. Fulham and
                  Dagan Feng},
  title        = {Adapting content-based image retrieval techniques for the semantic
                  annotation of medical images},
  journal      = {Comput. Medical Imaging Graph.},
  volume       = {49},
  pages        = {37--45},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.compmedimag.2016.01.001},
  doi          = {10.1016/J.COMPMEDIMAG.2016.01.001},
  timestamp    = {Tue, 11 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cmig/KumarDKLLFF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/PangWPPFL16,
  author       = {Yeyong Pang and
                  Shaojun Wang and
                  Yu Peng and
                  Xiyuan Peng and
                  Nicholas J. Fraser and
                  Philip Heng Wai Leong},
  title        = {A Microcoded Kernel Recursive Least Squares Processor Using {FPGA}
                  Technology},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {10},
  number       = {1},
  pages        = {5:1--5:22},
  year         = {2016},
  url          = {https://doi.org/10.1145/2950061},
  doi          = {10.1145/2950061},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/PangWPPFL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/WangNMLLP16,
  author       = {Shaojun Wang and
                  Xinyu Niu and
                  Ning Ma and
                  Wayne Luk and
                  Philip H. W. Leong and
                  Yu Peng},
  editor       = {Vanderlei Bonato and
                  Christos Bouganis and
                  Marek Gorgon},
  title        = {A Scalable Dataflow Accelerator for Real Time Onboard Hyperspectral
                  Image Classification},
  booktitle    = {Applied Reconfigurable Computing - 12th International Symposium, {ARC}
                  2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9625},
  pages        = {105--116},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-3-319-30481-6\_9},
  doi          = {10.1007/978-3-319-30481-6\_9},
  timestamp    = {Wed, 30 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/WangNMLLP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/FoxTJL16,
  author       = {Sean Fox and
                  Stephen Tridgell and
                  Craig T. Jin and
                  Philip Heng Wai Leong},
  editor       = {Yuchen Song and
                  Shaojun Wang and
                  Brent Nelson and
                  Junbao Li and
                  Yu Peng},
  title        = {Random projections for scaling machine learning on FPGAs},
  booktitle    = {2016 International Conference on Field-Programmable Technology, {FPT}
                  2016, Xi'an, China, December 7-9, 2016},
  pages        = {85--92},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/FPT.2016.7929193},
  doi          = {10.1109/FPT.2016.7929193},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/FoxTJL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/PhamNDMTRL16,
  author       = {Thuy T. Pham and
                  Diep N. Nguyen and
                  Eryk Dutkiewicz and
                  Alistair Lee McEwan and
                  Cindy Thamrin and
                  Paul D. Robinson and
                  Philip Heng Wai Leong},
  title        = {Feature Engineering and Supervised Learning Classifiers for Respiratory
                  Artefact Removal in Lung Function Tests},
  booktitle    = {2016 {IEEE} Global Communications Conference, {GLOBECOM} 2016, Washington,
                  DC, USA, December 4-8, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/GLOCOM.2016.7841839},
  doi          = {10.1109/GLOCOM.2016.7841839},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/globecom/PhamNDMTRL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/UmurogluFGBLJV16,
  author       = {Yaman Umuroglu and
                  Nicholas J. Fraser and
                  Giulio Gambardella and
                  Michaela Blott and
                  Philip Heng Wai Leong and
                  Magnus Jahre and
                  Kees A. Vissers},
  title        = {{FINN:} {A} Framework for Fast, Scalable Binarized Neural Network
                  Inference},
  journal      = {CoRR},
  volume       = {abs/1612.07119},
  year         = {2016},
  url          = {http://arxiv.org/abs/1612.07119},
  eprinttype    = {arXiv},
  eprint       = {1612.07119},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/UmurogluFGBLJV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/toplas/FrechtlingL15,
  author       = {Michael Frechtling and
                  Philip Heng Wai Leong},
  title        = {{MCALIB:} Measuring Sensitivity to Rounding Error with Monte Carlo
                  Programming},
  journal      = {{ACM} Trans. Program. Lang. Syst.},
  volume       = {37},
  number       = {2},
  pages        = {5:1--5:25},
  year         = {2015},
  url          = {https://doi.org/10.1145/2665073},
  doi          = {10.1145/2665073},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/toplas/FrechtlingL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/clef/LyndonKKLF15,
  author       = {David Lyndon and
                  Ashnil Kumar and
                  Jinman Kim and
                  Philip Heng Wai Leong and
                  Dagan Feng},
  editor       = {Linda Cappellato and
                  Nicola Ferro and
                  Gareth J. F. Jones and
                  Eric SanJuan},
  title        = {Convolutional Neural Networks for Medical Clustering},
  booktitle    = {Working Notes of {CLEF} 2015 - Conference and Labs of the Evaluation
                  forum, Toulouse, France, September 8-11, 2015},
  series       = {{CEUR} Workshop Proceedings},
  volume       = {1391},
  publisher    = {CEUR-WS.org},
  year         = {2015},
  url          = {https://ceur-ws.org/Vol-1391/52-CR.pdf},
  timestamp    = {Tue, 11 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/clef/LyndonKKLF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/clef/LyndonKKLF15a,
  author       = {David Lyndon and
                  Ashnil Kumar and
                  Jinman Kim and
                  Philip Heng Wai Leong and
                  Dagan Feng},
  editor       = {Linda Cappellato and
                  Nicola Ferro and
                  Gareth J. F. Jones and
                  Eric SanJuan},
  title        = {Convolutional Neural Networks for Subfigure Classification},
  booktitle    = {Working Notes of {CLEF} 2015 - Conference and Labs of the Evaluation
                  forum, Toulouse, France, September 8-11, 2015},
  series       = {{CEUR} Workshop Proceedings},
  volume       = {1391},
  publisher    = {CEUR-WS.org},
  year         = {2015},
  url          = {https://ceur-ws.org/Vol-1391/53-CR.pdf},
  timestamp    = {Tue, 11 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/clef/LyndonKKLF15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/ZhangL15,
  author       = {Zhe Zhang and
                  Philip Heng Wai Leong},
  title        = {An online algorithm for least-square spectral analysis: Applied to
                  time-frequency analysis of heart rate},
  booktitle    = {37th Annual International Conference of the {IEEE} Engineering in
                  Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29,
                  2015},
  pages        = {2219--2222},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/EMBC.2015.7318832},
  doi          = {10.1109/EMBC.2015.7318832},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/embc/ZhangL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/ShannonCDL15,
  author       = {Lesley Shannon and
                  Veronica Cojocaru and
                  Cong Nguyen Dao and
                  Philip Heng Wai Leong},
  title        = {Technology Scaling in FPGAs: Trends in Applications and Architectures},
  booktitle    = {23rd {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2015, Vancouver, BC, Canada, May 2-6, 2015},
  pages        = {1--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/FCCM.2015.11},
  doi          = {10.1109/FCCM.2015.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/ShannonCDL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/FraserMLTJL15,
  author       = {Nicholas J. Fraser and
                  Duncan J. M. Moss and
                  JunKyu Lee and
                  Stephen Tridgell and
                  Craig T. Jin and
                  Philip Heng Wai Leong},
  title        = {A fully pipelined kernel normalised least mean squares processor for
                  accelerated parameter optimisation},
  booktitle    = {25th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2015, London, United Kingdom, September 2-4, 2015},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/FPL.2015.7293952},
  doi          = {10.1109/FPL.2015.7293952},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/FraserMLTJL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LeongAABCDGHLLL15,
  author       = {Philip Heng Wai Leong and
                  Hideharu Amano and
                  Jason Helge Anderson and
                  Koen Bertels and
                  Jo{\~{a}}o M. P. Cardoso and
                  Oliver Diessel and
                  Guy Gogniat and
                  Mike Hutton and
                  JunKyu Lee and
                  Wayne Luk and
                  Patrick Lysaght and
                  Marco Platzner and
                  Viktor K. Prasanna and
                  Tero Rissa and
                  Cristina Silvano and
                  Hayden Kwok{-}Hay So and
                  Yu Wang},
  title        = {Significant papers from the first 25 years of the {FPL} conference},
  booktitle    = {25th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2015, London, United Kingdom, September 2-4, 2015},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/FPL.2015.7293747},
  doi          = {10.1109/FPL.2015.7293747},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/LeongAABCDGHLLL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/YanJWZLW15,
  author       = {Jian Yan and
                  Jifang Jin and
                  Ying Wang and
                  Xuegong Zhou and
                  Philip H. W. Leong and
                  Lingli Wang},
  title        = {UniStream: {A} unified stream architecture combining configuration
                  and data processing},
  booktitle    = {25th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2015, London, United Kingdom, September 2-4, 2015},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/FPL.2015.7294000},
  doi          = {10.1109/FPL.2015.7294000},
  timestamp    = {Tue, 19 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/YanJWZLW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TridgellMFL15,
  author       = {Stephen Tridgell and
                  Duncan J. M. Moss and
                  Nicholas J. Fraser and
                  Philip Heng Wai Leong},
  title        = {Braiding: {A} scheme for resolving hazards in kernel adaptive filters},
  booktitle    = {2015 International Conference on Field Programmable Technology, {FPT}
                  2015, Queenstown, New Zealand, December 7-9, 2015},
  pages        = {136--143},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/FPT.2015.7393140},
  doi          = {10.1109/FPT.2015.7393140},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/TridgellMFL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/NguyenHHL15,
  author       = {Kevin Nguyen and
                  David Humphrey and
                  Mark Hedley and
                  Philip Heng Wai Leong},
  title        = {Phase recovery for time of arrival estimation in the presence of interference},
  booktitle    = {2015 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2015, South Brisbane, Queensland, Australia,
                  April 19-24, 2015},
  pages        = {4140--4144},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICASSP.2015.7178750},
  doi          = {10.1109/ICASSP.2015.7178750},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/NguyenHHL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/FraserMEL15,
  author       = {Nicholas J. Fraser and
                  Duncan J. M. Moss and
                  Nicolas Epain and
                  Philip Heng Wai Leong},
  title        = {Distributed kernel learning using Kernel Recursive Least Squares},
  booktitle    = {2015 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2015, South Brisbane, Queensland, Australia,
                  April 19-24, 2015},
  pages        = {5500--5504},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICASSP.2015.7179023},
  doi          = {10.1109/ICASSP.2015.7179023},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/FraserMEL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cifer/NoorianL14,
  author       = {Farzad Noorian and
                  Philip Heng Wai Leong},
  title        = {Dynamic hedging of foreign exchange risk using stochastic model predictive
                  control},
  booktitle    = {{IEEE} Conference on Computational Intelligence for Financial Engineering
                  {\&} Economics, CIFEr 2014, London, UK, March 27-28, 2014},
  pages        = {441--448},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/CIFEr.2014.6924107},
  doi          = {10.1109/CIFER.2014.6924107},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cifer/NoorianL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/clef/KumarDLLK14,
  author       = {Ashnil Kumar and
                  Shane Dyer and
                  Changyang Li and
                  Philip Heng Wai Leong and
                  Jinman Kim},
  editor       = {Linda Cappellato and
                  Nicola Ferro and
                  Martin Halvey and
                  Wessel Kraaij},
  title        = {Automatic Annotation of Liver {CT} Images: the Submission of the {BMET}
                  Group to ImageCLEFmed 2014},
  booktitle    = {Working Notes for {CLEF} 2014 Conference, Sheffield, UK, September
                  15-18, 2014},
  series       = {{CEUR} Workshop Proceedings},
  volume       = {1180},
  pages        = {428--437},
  publisher    = {CEUR-WS.org},
  year         = {2014},
  url          = {https://ceur-ws.org/Vol-1180/CLEF2014wn-Image-KumarEt2014.pdf},
  timestamp    = {Fri, 10 Mar 2023 16:23:41 +0100},
  biburl       = {https://dblp.org/rec/conf/clef/KumarDLLK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/PhamFML14,
  author       = {Thuy T. Pham and
                  Andrew J. Fuglevand and
                  Alistair Lee McEwan and
                  Philip Heng Wai Leong},
  title        = {Unsupervised discrimination of motor unit action potentials using
                  spectrograms},
  booktitle    = {36th Annual International Conference of the {IEEE} Engineering in
                  Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August
                  26-30, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/EMBC.2014.6943514},
  doi          = {10.1109/EMBC.2014.6943514},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/embc/PhamFML14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/ChauKTHSEMCCLCL14,
  author       = {Thomas C. P. Chau and
                  Maciej Kurek and
                  James Stanley Targett and
                  Jake Humphrey and
                  Georgios Skouroupathis and
                  Alison Eele and
                  Jan M. Maciejowski and
                  Benjamin Cope and
                  Kathryn Cobden and
                  Philip Heng Wai Leong and
                  Peter Y. K. Cheung and
                  Wayne Luk},
  title        = {SMCGen: Generating Reconfigurable Design for Sequential Monte Carlo
                  Applications},
  booktitle    = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014},
  pages        = {141--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/FCCM.2014.46},
  doi          = {10.1109/FCCM.2014.46},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/ChauKTHSEMCCLCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MossZFL14,
  author       = {Duncan J. M. Moss and
                  Zhe Zhang and
                  Nicholas J. Fraser and
                  Philip Heng Wai Leong},
  editor       = {Jialin Chen and
                  Wenbo Yin and
                  Yuichiro Shibata and
                  Lingli Wang and
                  Hayden Kwok{-}Hay So and
                  Yuchun Ma},
  title        = {An FPGA-based spectral anomaly detection system},
  booktitle    = {2014 International Conference on Field-Programmable Technology, {FPT}
                  2014, Shanghai, China, December 10-12, 2014},
  pages        = {175--182},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/FPT.2014.7082772},
  doi          = {10.1109/FPT.2014.7082772},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/MossZFL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YanYWLW14,
  author       = {Jian Yan and
                  Junqi Yuan and
                  Ying Wang and
                  Philip H. W. Leong and
                  Lingli Wang},
  editor       = {Jialin Chen and
                  Wenbo Yin and
                  Yuichiro Shibata and
                  Lingli Wang and
                  Hayden Kwok{-}Hay So and
                  Yuchun Ma},
  title        = {Design space exploration for FPGA-based hybrid multicore architecture},
  booktitle    = {2014 International Conference on Field-Programmable Technology, {FPT}
                  2014, Shanghai, China, December 10-12, 2014},
  pages        = {280--281},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/FPT.2014.7082795},
  doi          = {10.1109/FPT.2014.7082795},
  timestamp    = {Tue, 19 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YanYWLW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/BeckerVSTSL14,
  author       = {J{\"{u}}rgen Becker and
                  Ramachandran Vaidyanathan and
                  Marco D. Santambrogio and
                  Jim T{\o}rresen and
                  Ron Sass and
                  Philip Heng Wai Leong},
  title        = {{RAW} Introduction and Committees},
  booktitle    = {2014 {IEEE} International Parallel {\&} Distributed Processing
                  Symposium Workshops, Phoenix, AZ, USA, May 19-23, 2014},
  pages        = {109--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/IPDPSW.2014.208},
  doi          = {10.1109/IPDPSW.2014.208},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/BeckerVSTSL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iri/LiNML14,
  author       = {Lei Li and
                  Farzad Noorian and
                  Duncan J. M. Moss and
                  Philip Heng Wai Leong},
  editor       = {James Joshi and
                  Elisa Bertino and
                  Bhavani Thuraisingham and
                  Ling Liu},
  title        = {Rolling window time series prediction using MapReduce},
  booktitle    = {Proceedings of the 15th {IEEE} International Conference on Information
                  Reuse and Integration, {IRI} 2014, Redwood City, CA, USA, August 13-15,
                  2014},
  pages        = {757--764},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/IRI.2014.7051965},
  doi          = {10.1109/IRI.2014.7051965},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iri/LiNML14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/HoACYCLP13,
  author       = {S. Man Ho Ho and
                  Yanqing Ai and
                  Thomas Chun{-}Pong Chau and
                  Steve C. L. Yuen and
                  Oliver Chiu{-}sing Choy and
                  Philip Heng Wai Leong and
                  Kong{-}Pang Pun},
  title        = {Architecture and Design Flow for a Highly Efficient Structured {ASIC}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {3},
  pages        = {424--433},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2190478},
  doi          = {10.1109/TVLSI.2012.2190478},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/HoACYCLP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cifer/PashaL13,
  author       = {Syed Ahmed Pasha and
                  Philip Heng Wai Leong},
  title        = {Cluster analysis of high-dimensional high-frequency financial time
                  series},
  booktitle    = {Proceedings of the 2013 {IEEE} Conference on Computational Intelligence
                  for Financial Engineering {\&} Economics, CIFEr 2013, {IEEE} Symposium
                  Series on Computational Intelligence (SSCI), 16-19 April 2013, Singapore},
  pages        = {74--81},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CIFEr.2013.6611700},
  doi          = {10.1109/CIFER.2013.6611700},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cifer/PashaL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/PangWPFL13,
  author       = {Yeyong Pang and
                  Shaojun Wang and
                  Yu Peng and
                  Nicholas J. Fraser and
                  Philip Heng Wai Leong},
  title        = {A low latency kernel recursive least squares processor using {FPGA}
                  technology},
  booktitle    = {2013 International Conference on Field-Programmable Technology, {FPT}
                  2013, Kyoto, Japan, December 9-11, 2013},
  pages        = {144--151},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/FPT.2013.6718345},
  doi          = {10.1109/FPT.2013.6718345},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/PangWPFL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icmla/SilvaNDL13,
  author       = {Anthony Mihirana De Silva and
                  Farzad Noorian and
                  Richard I. A. Davis and
                  Philip Heng Wai Leong},
  title        = {A Hybrid Feature Selection and Generation Algorithm for Electricity
                  Load Prediction Using Grammatical Evolution},
  booktitle    = {12th International Conference on Machine Learning and Applications,
                  {ICMLA} 2013, Miami, FL, USA, December 4-7, 2013, Volume 2},
  pages        = {211--217},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICMLA.2013.125},
  doi          = {10.1109/ICMLA.2013.125},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icmla/SilvaNDL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/DavisCL13,
  author       = {Richard Davis and
                  Sanjay Chawla and
                  Philip H. W. Leong},
  title        = {Feature Graph Architectures},
  journal      = {CoRR},
  volume       = {abs/1312.4209},
  year         = {2013},
  url          = {http://arxiv.org/abs/1312.4209},
  eprinttype    = {arXiv},
  eprint       = {1312.4209},
  timestamp    = {Wed, 30 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/DavisCL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YuSLLW12,
  author       = {Chi Wai Yu and
                  Alastair M. Smith and
                  Wayne Luk and
                  Philip Heng Wai Leong and
                  Steven J. E. Wilton},
  title        = {Optimizing Floating Point Units in Hybrid FPGAs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {7},
  pages        = {1295--1303},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2153883},
  doi          = {10.1109/TVLSI.2011.2153883},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YuSLLW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YuLX12,
  author       = {Haile Yu and
                  Philip Heng Wai Leong and
                  Qiang Xu},
  title        = {An {FPGA} Chip Identification Generator Using Configurable Ring Oscillators},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {12},
  pages        = {2198--2207},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2173770},
  doi          = {10.1109/TVLSI.2011.2173770},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YuLX12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/ChowLL12,
  author       = {Gary C. T. Chow and
                  Wayne Luk and
                  Philip Heng Wai Leong},
  title        = {A Mixed Precision Methodology for Mathematical Optimisation},
  booktitle    = {2012 {IEEE} 20th Annual International Symposium on Field-Programmable
                  Custom Computing Machines, {FCCM} 2012, 29 April - 1 May 2012, Toronto,
                  Ontario, Canada},
  pages        = {33--36},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/FCCM.2012.16},
  doi          = {10.1109/FCCM.2012.16},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/ChowLL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/ChowTJLLT12,
  author       = {Gary Chun Tak Chow and
                  Anson Hong Tak Tse and
                  Qiwei Jin and
                  Wayne Luk and
                  Philip Heng Wai Leong and
                  David B. Thomas},
  editor       = {Katherine Compton and
                  Brad L. Hutchings},
  title        = {A mixed precision Monte Carlo methodology for reconfigurable accelerator
                  systems},
  booktitle    = {Proceedings of the {ACM/SIGDA} 20th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2012, Monterey, California, USA,
                  February 22-24, 2012},
  pages        = {57--66},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2145694.2145705},
  doi          = {10.1145/2145694.2145705},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/ChowTJLLT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pimrc/ZhangSHLP12,
  author       = {Yi Qiao Zhang and
                  Thuraiappah Sathyan and
                  Mark Hedley and
                  Philip Heng Wai Leong and
                  Ahmed Pasha},
  title        = {Hardware efficient parallel particle filter for tracking in wireless
                  networks},
  booktitle    = {23rd {IEEE} International Symposium on Personal, Indoor and Mobile
                  Radio Communications, {PIMRC} 2012, Sydney, Australia, September 9-12,
                  2012},
  pages        = {1734--1739},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/PIMRC.2012.6362629},
  doi          = {10.1109/PIMRC.2012.6362629},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pimrc/ZhangSHLP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DasLWLL11,
  author       = {Joydip Das and
                  Andrew Lam and
                  Steven J. E. Wilton and
                  Philip Heng Wai Leong and
                  Wayne Luk},
  title        = {An Analytical Model Relating {FPGA} Architecture to Logic Density
                  and Depth},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {19},
  number       = {12},
  pages        = {2229--2242},
  year         = {2011},
  url          = {https://doi.org/10.1109/TVLSI.2010.2079339},
  doi          = {10.1109/TVLSI.2010.2079339},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DasLWLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/ChowKLL11,
  author       = {Gary Chun Tak Chow and
                  K. W. Kwok and
                  Wayne Luk and
                  Philip Heng Wai Leong},
  editor       = {Paul Chow and
                  Michael J. Wirthlin},
  title        = {Mixed Precision Processing in Reconfigurable Systems},
  booktitle    = {{IEEE} 19th Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2011, Salt Lake City, Utah, USA, 1-3 May
                  2011},
  pages        = {17--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/FCCM.2011.57},
  doi          = {10.1109/FCCM.2011.57},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/ChowKLL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LinSL11,
  author       = {Colin Yu Lin and
                  Hayden Kwok{-}Hay So and
                  Philip Heng Wai Leong},
  editor       = {Paul Chow and
                  Michael J. Wirthlin},
  title        = {A Model for Peak Matrix Performance on FPGAs},
  booktitle    = {{IEEE} 19th Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2011, Salt Lake City, Utah, USA, 1-3 May
                  2011},
  pages        = {251},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/FCCM.2011.51},
  doi          = {10.1109/FCCM.2011.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LinSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/YeungYL11,
  author       = {Jackson H. C. Yeung and
                  Evangeline F. Y. Young and
                  Philip Heng Wai Leong},
  editor       = {John Wawrzynek and
                  Katherine Compton},
  title        = {A monte-carlo floating-point unit for self-validating arithmetic},
  booktitle    = {Proceedings of the {ACM/SIGDA} 19th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2011, Monterey, California, USA,
                  February 27, March 1, 2011},
  pages        = {199--208},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1950413.1950453},
  doi          = {10.1145/1950413.1950453},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/YeungYL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/YuXL11,
  author       = {Haile Yu and
                  Qiang Xu and
                  Philip Heng Wai Leong},
  editor       = {John Wawrzynek and
                  Katherine Compton},
  title        = {On timing yield improvement for {FPGA} designs using architectural
                  symmetry (abstract only)},
  booktitle    = {Proceedings of the {ACM/SIGDA} 19th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2011, Monterey, California, USA,
                  February 27, March 1, 2011},
  pages        = {278},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1950413.1950467},
  doi          = {10.1145/1950413.1950467},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpga/YuXL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LinSL11,
  author       = {Colin Yu Lin and
                  Hayden Kwok{-}Hay So and
                  Philip Heng Wai Leong},
  title        = {A Model for Matrix Multiplication Performance on FPGAs},
  booktitle    = {International Conference on Field Programmable Logic and Applications,
                  {FPL} 2011, September 5-7, Chania, Crete, Greece},
  pages        = {305--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/FPL.2011.62},
  doi          = {10.1109/FPL.2011.62},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/LinSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/YuXL11,
  author       = {Haile Yu and
                  Qiang Xu and
                  Philip Heng Wai Leong},
  title        = {On Timing Yield Improvement for {FPGA} Designs Using Architectural
                  Symmetry},
  booktitle    = {International Conference on Field Programmable Logic and Applications,
                  {FPL} 2011, September 5-7, Chania, Crete, Greece},
  pages        = {539--544},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/FPL.2011.105},
  doi          = {10.1109/FPL.2011.105},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/YuXL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/AngJLS11,
  author       = {Chong H. Ang and
                  Craig T. Jin and
                  Philip Heng Wai Leong and
                  Andr{\'{e}} van Schaik},
  editor       = {Russell Tessier},
  title        = {Spiking neural network-based auto-associative memory using {FPGA}
                  interconnect delays},
  booktitle    = {2011 International Conference on Field-Programmable Technology, {FPT}
                  2011, New Delhi, India, December 12-14, 2011},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/FPT.2011.6132701},
  doi          = {10.1109/FPT.2011.6132701},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/AngJLS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijrc/LamCHLL10,
  author       = {Yuet Ming Lam and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Chun Hok Ho and
                  Philip Heng Wai Leong and
                  Wayne Luk},
  title        = {Multiloop Parallelisation Using Unrolling and Fission},
  journal      = {Int. J. Reconfigurable Comput.},
  volume       = {2010},
  pages        = {475620:1--475620:10},
  year         = {2010},
  url          = {https://doi.org/10.1155/2010/475620},
  doi          = {10.1155/2010/475620},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijrc/LamCHLL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuenACCHLPLC10,
  author       = {Steve C. L. Yuen and
                  Yanqing Ai and
                  Brian P. W. Chan and
                  Thomas C. P. Chau and
                  Sam M. H. Ho and
                  Oscar K. L. Lau and
                  Kong{-}Pang Pun and
                  Philip Heng Wai Leong and
                  Oliver C. S. Choy},
  title        = {Rapid prototyping on a structured {ASIC} fabric},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {379--380},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419854},
  doi          = {10.1109/ASPDAC.2010.5419854},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuenACCHLPLC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ddecs/ChauWACHLYPCL10,
  author       = {Thomas C. P. Chau and
                  David W. L. Wu and
                  Yanqing Ai and
                  Brian P. W. Chan and
                  Sam M. H. Ho and
                  Oscar K. L. Lau and
                  Steve C. L. Yuen and
                  Kong{-}Pang Pun and
                  Oliver C. S. Choy and
                  Philip Heng Wai Leong},
  editor       = {Elena Gramatov{\'{a}} and
                  Zdenek Kot{\'{a}}sek and
                  Andreas Steininger and
                  Heinrich Theodor Vierhaus and
                  Horst Zimmermann},
  title        = {Design of a single layer programmable Structured {ASIC} library},
  booktitle    = {13th {IEEE} International Symposium on Design and Diagnostics of Electronic
                  Circuits and Systems, {DDECS} 2010, Vienna, Austria, April 14-16,
                  2010},
  pages        = {32--35},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DDECS.2010.5491823},
  doi          = {10.1109/DDECS.2010.5491823},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ddecs/ChauWACHLYPCL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/ChowELL10,
  author       = {Gary Chun Tak Chow and
                  Ken Eguro and
                  Wayne Luk and
                  Philip Heng Wai Leong},
  title        = {A Karatsuba-Based Montgomery Multiplier},
  booktitle    = {International Conference on Field Programmable Logic and Applications,
                  {FPL} 2010, August 31 2010 - September 2, 2010, Milano, Italy},
  pages        = {434--437},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPL.2010.89},
  doi          = {10.1109/FPL.2010.89},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/ChowELL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YuXL10,
  author       = {Haile Yu and
                  Qiang Xu and
                  Philip Heng Wai Leong},
  editor       = {Jinian Bian and
                  Qiang Zhou and
                  Peter Athanas and
                  Yajun Ha and
                  Kang Zhao},
  title        = {Fine-grained characterization of process variation in FPGAs},
  booktitle    = {Proceedings of the International Conference on Field-Programmable
                  Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing,
                  China},
  pages        = {138--145},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPT.2010.5681770},
  doi          = {10.1109/FPT.2010.5681770},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/YuXL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YuLX10,
  author       = {Haile Yu and
                  Philip Heng Wai Leong and
                  Qiang Xu},
  editor       = {Jinian Bian and
                  Qiang Zhou and
                  Peter Athanas and
                  Yajun Ha and
                  Kang Zhao},
  title        = {An {FPGA} chip identification generator using configurable ring oscillator},
  booktitle    = {Proceedings of the International Conference on Field-Programmable
                  Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing,
                  China},
  pages        = {312--315},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPT.2010.5681438},
  doi          = {10.1109/FPT.2010.5681438},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/YuLX10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HoYPCALCP10,
  author       = {Sam M. H. Ho and
                  Steve C. L. Yuen and
                  Hiu Ching Poon and
                  Thomas C. P. Chau and
                  Yanqing Ai and
                  Philip Heng Wai Leong and
                  Oliver C. S. Choy and
                  Kong{-}Pang Pun},
  editor       = {Jinian Bian and
                  Qiang Zhou and
                  Peter Athanas and
                  Yajun Ha and
                  Kang Zhao},
  title        = {Structured {ASIC:} Methodology and comparison},
  booktitle    = {Proceedings of the International Conference on Field-Programmable
                  Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing,
                  China},
  pages        = {377--380},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPT.2010.5681422},
  doi          = {10.1109/FPT.2010.5681422},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HoYPCALCP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/HoYLLW09,
  author       = {Chun Hok Ho and
                  Chi Wai Yu and
                  Philip Heng Wai Leong and
                  Wayne Luk and
                  Steven J. E. Wilton},
  title        = {Floating-Point {FPGA:} Architecture and Modeling},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {12},
  pages        = {1709--1718},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2006616},
  doi          = {10.1109/TVLSI.2008.2006616},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/HoYLLW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/ChauLHCYPCW09,
  author       = {Thomas C. P. Chau and
                  Philip Heng Wai Leong and
                  Sam M. H. Ho and
                  Brian P. W. Chan and
                  Steve C. L. Yuen and
                  Kong{-}Pang Pun and
                  Oliver C. S. Choy and
                  Xinan Wang},
  editor       = {Paul Chow and
                  Peter Y. K. Cheung},
  title        = {A comparison of via-programmable gate array logic cell circuits},
  booktitle    = {Proceedings of the {ACM/SIGDA} 17th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2009, Monterey, California, USA,
                  February 22-24, 2009},
  pages        = {53--62},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1508128.1508137},
  doi          = {10.1145/1508128.1508137},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/ChauLHCYPCW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/DasWLL09,
  author       = {Joydip Das and
                  Steven J. E. Wilton and
                  Philip Heng Wai Leong and
                  Wayne Luk},
  editor       = {Martin Danek and
                  Jiri Kadlec and
                  Brent E. Nelson},
  title        = {Modeling post-techmapping and post-clustering {FPGA} circuit depth},
  booktitle    = {19th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2009, August 31 - September 2, 2009, Prague, Czech Republic},
  pages        = {205--211},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/FPL.2009.5272315},
  doi          = {10.1109/FPL.2009.5272315},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/DasWLL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/YuLHMGZ09,
  author       = {Haile Yu and
                  Philip Heng Wai Leong and
                  Heiko Hinkelmann and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Peter Zipf},
  editor       = {Martin Danek and
                  Jiri Kadlec and
                  Brent E. Nelson},
  title        = {Towards a unique FPGA-based identification circuit using process variations},
  booktitle    = {19th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2009, August 31 - September 2, 2009, Prague, Czech Republic},
  pages        = {397--402},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/FPL.2009.5272255},
  doi          = {10.1109/FPL.2009.5272255},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/YuLHMGZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HungWYCL09,
  author       = {Eddie Hung and
                  Steven J. E. Wilton and
                  Haile Yu and
                  Thomas C. P. Chau and
                  Philip Heng Wai Leong},
  editor       = {Neil W. Bergmann and
                  Oliver Diessel and
                  Lesley Shannon},
  title        = {A detailed delay path model for FPGAs},
  booktitle    = {Proceedings of the 2009 International Conference on Field-Programmable
                  Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009},
  pages        = {96--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/FPT.2009.5377673},
  doi          = {10.1109/FPT.2009.5377673},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HungWYCL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/ChauHLZG09,
  author       = {Thomas C. P. Chau and
                  S. Man Ho Ho and
                  Philip Heng Wai Leong and
                  Peter Zipf and
                  Manfred Glesner},
  title        = {Generation of Synthetic Floating-Point benchmark circuits},
  booktitle    = {23rd {IEEE} International Symposium on Parallel and Distributed Processing,
                  {IPDPS} 2009, Rome, Italy, May 23-29, 2009},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/IPDPS.2009.5161203},
  doi          = {10.1109/IPDPS.2009.5161203},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ipps/ChauHLZG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijrc/YuLWLL08,
  author       = {Chi Wai Yu and
                  Julien Lamoureux and
                  Steven J. E. Wilton and
                  Philip Heng Wai Leong and
                  Wayne Luk},
  title        = {The Coarse-Grained/Fine-Grained Logic Interface in FPGAs with Embedded
                  Floating-Point Arithmetic Units},
  journal      = {Int. J. Reconfigurable Comput.},
  volume       = {2008},
  pages        = {736203:1--736203:10},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/736203},
  doi          = {10.1155/2008/736203},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijrc/YuLWLL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijrc/BeckerHWLET08,
  author       = {J{\"{u}}rgen Becker and
                  Michael H{\"{u}}bner and
                  Roger F. Woods and
                  Philip Heng Wai Leong and
                  Robert Esser and
                  Lionel Torres},
  title        = {Current Trends on Reconfigurable Computing},
  journal      = {Int. J. Reconfigurable Comput.},
  volume       = {2008},
  pages        = {918525:1},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/918525},
  doi          = {10.1155/2008/918525},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijrc/BeckerHWLET08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/WiltonHQLL08,
  author       = {Steven J. E. Wilton and
                  Chun Hok Ho and
                  Bradley R. Quinton and
                  Philip Heng Wai Leong and
                  Wayne Luk},
  title        = {A Synthesizable Datapath-Oriented Embedded {FPGA} Fabric for Silicon
                  Debug Applications},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {1},
  number       = {1},
  pages        = {7:1--7:25},
  year         = {2008},
  url          = {https://doi.org/10.1145/1331897.1331903},
  doi          = {10.1145/1331897.1331903},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/trets/WiltonHQLL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/LiL08,
  author       = {Brian M. H. Li and
                  Philip Heng Wai Leong},
  title        = {Serial and Parallel FPGA-based Variable Block Size Motion Estimation
                  Processors},
  journal      = {J. Signal Process. Syst.},
  volume       = {51},
  number       = {1},
  pages        = {77--98},
  year         = {2008},
  url          = {https://doi.org/10.1007/s11265-007-0143-9},
  doi          = {10.1007/S11265-007-0143-9},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsisp/LiL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/delta/Leong08,
  author       = {Philip Heng Wai Leong},
  title        = {Recent Trends in {FPGA} Architectures and Applications},
  booktitle    = {4th {IEEE} International Symposium on Electronic Design, Test and
                  Applications, {DELTA} 2008, Hong Kong, January 23-25, 2008},
  pages        = {137--141},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/DELTA.2008.14},
  doi          = {10.1109/DELTA.2008.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/delta/Leong08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/YeungTTKCCL08,
  author       = {Jackson H. C. Yeung and
                  C. C. Tsang and
                  Kuen Hung Tsoi and
                  Bill S. H. Kwan and
                  Chris C. C. Cheung and
                  Anthony P. C. Chan and
                  Philip Heng Wai Leong},
  editor       = {Kenneth L. Pocek and
                  Duncan A. Buell},
  title        = {Map-reduce as a Programming Model for Custom Computing Machines},
  booktitle    = {16th {IEEE} International Symposium on Field-Programmable Custom Computing
                  Machines, {FCCM} 2008, 14-15 April 2008, Stanford, Palo Alto, California,
                  {USA}},
  pages        = {149--159},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/FCCM.2008.19},
  doi          = {10.1109/FCCM.2008.19},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/YeungTTKCCL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/YuCL08,
  author       = {Haile Yu and
                  Yuk Hei Chan and
                  Philip Heng Wai Leong},
  editor       = {Mike Hutton and
                  Paul Chow},
  title        = {{FPGA} interconnect design using logical effort},
  booktitle    = {Proceedings of the {ACM/SIGDA} 16th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2008, Monterey, California, USA,
                  February 24-26, 2008},
  pages        = {257},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1344671.1344710},
  doi          = {10.1145/1344671.1344710},
  timestamp    = {Tue, 06 Nov 2018 16:58:23 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/YuCL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LamWLL08,
  author       = {Andrew Lam and
                  Steven J. E. Wilton and
                  Philip Heng Wai Leong and
                  Wayne Luk},
  title        = {An analytical model describing the relationships between logic architecture
                  and {FPGA} density},
  booktitle    = {{FPL} 2008, International Conference on Field Programmable Logic and
                  Applications, Heidelberg, Germany, 8-10 September 2008},
  pages        = {221--226},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPL.2008.4629935},
  doi          = {10.1109/FPL.2008.4629935},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/LamWLL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/HoLLW08,
  author       = {Chun Hok Ho and
                  Philip Heng Wai Leong and
                  Wayne Luk and
                  Steven J. E. Wilton},
  title        = {Rapid estimation of power consumption for hybrid FPGAs},
  booktitle    = {{FPL} 2008, International Conference on Field Programmable Logic and
                  Applications, Heidelberg, Germany, 8-10 September 2008},
  pages        = {227--232},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPL.2008.4629936},
  doi          = {10.1109/FPL.2008.4629936},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/HoLLW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LamCLL08,
  author       = {Yuet Ming Lam and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Wayne Luk and
                  Philip Heng Wai Leong},
  title        = {Mapping and scheduling with task clustering for heterogeneous computing
                  systems},
  booktitle    = {{FPL} 2008, International Conference on Field Programmable Logic and
                  Applications, Heidelberg, Germany, 8-10 September 2008},
  pages        = {275--280},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPL.2008.4629944},
  doi          = {10.1109/FPL.2008.4629944},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/LamCLL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/YuCL08,
  author       = {Haile Yu and
                  Yuk Hei Chan and
                  Philip Heng Wai Leong},
  title        = {{FPGA} interconnect design using logical effort},
  booktitle    = {{FPL} 2008, International Conference on Field Programmable Logic and
                  Applications, Heidelberg, Germany, 8-10 September 2008},
  pages        = {447--450},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPL.2008.4629980},
  doi          = {10.1109/FPL.2008.4629980},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/YuCL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YuSLLW08,
  author       = {Chi Wai Yu and
                  Alastair M. Smith and
                  Wayne Luk and
                  Philip Heng Wai Leong and
                  Steven J. E. Wilton},
  editor       = {Tarek A. El{-}Ghazawi and
                  Yao{-}Wen Chang and
                  Juinn{-}Dar Huang and
                  Proshanta Saha},
  title        = {Optimizing coarse-grained units in floating point hybrid {FPGA}},
  booktitle    = {2008 International Conference on Field-Programmable Technology, {FPT}
                  2008, Taipei, Taiwan, December 7-10, 2008},
  pages        = {57--64},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPT.2008.4762366},
  doi          = {10.1109/FPT.2008.4762366},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YuSLLW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LamCLL08,
  author       = {Yuet Ming Lam and
                  Jos{\'{e}} Gabriel F. Coutinho and
                  Wayne Luk and
                  Philip Heng Wai Leong},
  editor       = {Tarek A. El{-}Ghazawi and
                  Yao{-}Wen Chang and
                  Juinn{-}Dar Huang and
                  Proshanta Saha},
  title        = {Unrolling-based loop mapping and scheduling},
  booktitle    = {2008 International Conference on Field-Programmable Technology, {FPT}
                  2008, Taipei, Taiwan, December 7-10, 2008},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPT.2008.4762408},
  doi          = {10.1109/FPT.2008.4762408},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LamCLL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/csur/ThomasLLV07,
  author       = {David B. Thomas and
                  Wayne Luk and
                  Philip Heng Wai Leong and
                  John D. Villasenor},
  title        = {Gaussian random number generators},
  journal      = {{ACM} Comput. Surv.},
  volume       = {39},
  number       = {4},
  pages        = {11},
  year         = {2007},
  url          = {https://doi.org/10.1145/1287620.1287622},
  doi          = {10.1145/1287620.1287622},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/csur/ThomasLLV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LeongKB07,
  author       = {Philip Heng Wai Leong and
                  Andreas Koch and
                  Eduardo I. Boemo},
  title        = {Editorial - Field-programmable logic and applications},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {265--266},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20079015},
  doi          = {10.1049/IET-CDT:20079015},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LeongKB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TsoiLL07,
  author       = {Kuen Hung Tsoi and
                  Ka Hei Leung and
                  Philip Heng Wai Leong},
  title        = {High performance physical random number generator},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {1},
  number       = {4},
  pages        = {349--352},
  year         = {2007},
  url          = {https://doi.org/10.1049/iet-cdt:20050173},
  doi          = {10.1049/IET-CDT:20050173},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TsoiLL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pervasive/YuenLLL07,
  author       = {Steve C. L. Yuen and
                  Johnny M. H. Lee and
                  Wen Jung Li and
                  Philip Heng Wai Leong},
  title        = {An AA-Sized Vibration-Based Microgenerator for Wireless Sensors},
  journal      = {{IEEE} Pervasive Comput.},
  volume       = {6},
  number       = {1},
  pages        = {64--72},
  year         = {2007},
  url          = {https://doi.org/10.1109/MPRV.2007.4},
  doi          = {10.1109/MPRV.2007.4},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/pervasive/YuenLLL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/WiltonHLLQ07,
  author       = {Steven J. E. Wilton and
                  Chun Hok Ho and
                  Philip Heng Wai Leong and
                  Wayne Luk and
                  Bradley R. Quinton},
  editor       = {Andr{\'{e}} DeHon and
                  Mike Hutton},
  title        = {A synthesizable datapath-oriented embedded {FPGA} fabric},
  booktitle    = {Proceedings of the {ACM/SIGDA} 15th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2007, Monterey, California, USA,
                  February 18-20, 2007},
  pages        = {33--41},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1216919.1216924},
  doi          = {10.1145/1216919.1216924},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/WiltonHLLQ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/HoYLLW07,
  author       = {Chun Hok Ho and
                  Chi Wai Yu and
                  Philip Heng Wai Leong and
                  Wayne Luk and
                  Steven J. E. Wilton},
  editor       = {Koen Bertels and
                  Walid A. Najjar and
                  Arjan J. van Genderen and
                  Stamatis Vassiliadis},
  title        = {Domain-Specific Hybrid {FPGA:} Architecture and Floating Point Applications},
  booktitle    = {{FPL} 2007, International Conference on Field Programmable Logic and
                  Applications, Amsterdam, The Netherlands, 27-29 August 2007},
  pages        = {196--201},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/FPL.2007.4380647},
  doi          = {10.1109/FPL.2007.4380647},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/HoYLLW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/robio/TsangLZCDSL07,
  author       = {Chi Chiu Tsang and
                  Philip Heng Wai Leong and
                  Guanglie Zhang and
                  Chor Fung Chung and
                  Zhuxin Dong and
                  Guangyi Shi and
                  Wen Jung Li},
  title        = {Handwriting tracking based on coupled {\(\mu\)}IMU/electromagnetic
                  resonance motion detection},
  booktitle    = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO}
                  2007, Sanya, China, 15-28 December 2007},
  pages        = {377--381},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ROBIO.2007.4522191},
  doi          = {10.1109/ROBIO.2007.4522191},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/robio/TsangLZCDSL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/robio/DongZTSLLW07,
  author       = {Zhuxin Dong and
                  Guanglie Zhang and
                  Chi Chiu Tsang and
                  Guangyi Shi and
                  Wen Jung Li and
                  Philip Heng Wai Leong and
                  Ming Yiu Wong},
  title        = {{\(\mu\)}IMU-based handwriting recognition calibration by optical
                  tracking},
  booktitle    = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO}
                  2007, Sanya, China, 15-28 December 2007},
  pages        = {382--387},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ROBIO.2007.4522192},
  doi          = {10.1109/ROBIO.2007.4522192},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/robio/DongZTSLLW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/robio/ShiCZLLL07,
  author       = {Guangyi Shi and
                  Cheung{-}Shing Chan and
                  Guanglie Zhang and
                  Wen Jung Li and
                  Philip Heng Wai Leong and
                  Kwok{-}Sui Leung},
  title        = {Towards a mobile airbag system using {MEMS} sensors and embedded intelligence},
  booktitle    = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO}
                  2007, Sanya, China, 15-28 December 2007},
  pages        = {634--639},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ROBIO.2007.4522236},
  doi          = {10.1109/ROBIO.2007.4522236},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/robio/ShiCZLLL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LeeVLL06,
  author       = {Dong{-}U Lee and
                  John D. Villasenor and
                  Wayne Luk and
                  Philip Heng Wai Leong},
  title        = {A Hardware Gaussian Noise Generator Using the Box-Muller Method and
                  Its Error Analysis},
  journal      = {{IEEE} Trans. Computers},
  volume       = {55},
  number       = {6},
  pages        = {659--671},
  year         = {2006},
  url          = {https://doi.org/10.1109/TC.2006.81},
  doi          = {10.1109/TC.2006.81},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/LeeVLL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiuBCLM06,
  author       = {Yang Liu and
                  Christos{-}Savvas Bouganis and
                  Peter Y. K. Cheung and
                  Philip Heng Wai Leong and
                  Stephen J. Motley},
  editor       = {Georges G. E. Gielen},
  title        = {Hardware efficient architectures for Eigenvalue computation},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {953--958},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.243838},
  doi          = {10.1109/DATE.2006.243838},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiuBCLM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/HoLLWL06,
  author       = {Chun Hok Ho and
                  Philip Heng Wai Leong and
                  Wayne Luk and
                  Steven J. E. Wilton and
                  Sergio L{\'{o}}pez{-}Buedo},
  title        = {Virtual Embedded Blocks: {A} Methodology for Evaluating Embedded Elements
                  in FPGAs},
  booktitle    = {14th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2006), 24-26 April 2006, Napa, CA, USA, Proceedings},
  pages        = {35--44},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/FCCM.2006.71},
  doi          = {10.1109/FCCM.2006.71},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/HoLLWL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/TurkingtonMCL06,
  author       = {Kieron Turkington and
                  Konstantinos Masselos and
                  George A. Constantinides and
                  Philip Heng Wai Leong},
  title        = {{FPGA} Based Acceleration of the Linpack Benchmark: {A} High Level
                  Code Transformation Approach},
  booktitle    = {Proceedings of the 2006 International Conference on Field Programmable
                  Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPL.2006.311240},
  doi          = {10.1109/FPL.2006.311240},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/TurkingtonMCL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/WongL06,
  author       = {C. K. Wong and
                  Philip Heng Wai Leong},
  title        = {An FPGA-Based Electronic Cochlea with Dual Fixed-Point Arithmetic},
  booktitle    = {Proceedings of the 2006 International Conference on Field Programmable
                  Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPL.2006.311215},
  doi          = {10.1109/FPL.2006.311215},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/WongL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LiL06,
  author       = {Brian M. H. Li and
                  Philip Heng Wai Leong},
  editor       = {George A. Constantinides and
                  Wai{-}Kei Mak and
                  Phaophak Sirisuk and
                  Theerayod Wiangtong},
  title        = {FPGA-based MSB-first bit-serial variable block size motion estimation
                  processor},
  booktitle    = {2006 {IEEE} International Conference on Field Programmable Technology,
                  {FPT} 2006, Bangkok, Thailand, December 13-15, 2006},
  pages        = {165--172},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPT.2006.270308},
  doi          = {10.1109/FPT.2006.270308},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LiL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/CheungLTS06,
  author       = {Ocean Y. H. Cheung and
                  Philip Heng Wai Leong and
                  Eric K. C. Tsang and
                  Bertram Emil Shi},
  title        = {A Scalable {FPGA} Implementation of Cellular Neural Networks for Gabor-type
                  Filtering},
  booktitle    = {Proceedings of the International Joint Conference on Neural Networks,
                  {IJCNN} 2006, part of the {IEEE} World Congress on Computational Intelligence,
                  {WCCI} 2006, Vancouver, BC, Canada, 16-21 July 2006},
  pages        = {15--20},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/IJCNN.2006.246653},
  doi          = {10.1109/IJCNN.2006.246653},
  timestamp    = {Tue, 10 Aug 2021 14:29:47 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/CheungLTS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iros/ShiCLZLLL06,
  author       = {Guangyi Shi and
                  Cheung{-}Shing Chan and
                  Yilun Luo and
                  Guanglie Zhang and
                  Wen Jung Li and
                  Philip Heng Wai Leong and
                  Kwok{-}Sui Leung},
  title        = {Development of a Human Airbag System for Fall Protection Using {MEMS}
                  Motion Sensing Technology},
  booktitle    = {2006 {IEEE/RSJ} International Conference on Intelligent Robots and
                  Systems, {IROS} 2006, October 9-15, 2006, Beijing, China},
  pages        = {4405--4410},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/IROS.2006.282019},
  doi          = {10.1109/IROS.2006.282019},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iros/ShiCLZLLL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/robio/TsangCLZLDSKWLW06,
  author       = {Chi Chiu Tsang and
                  Gary Chun Tak Chow and
                  Philip Heng Wai Leong and
                  Guanglie Zhang and
                  Yilun Luo and
                  Zhuxin Dong and
                  Guangyi Shi and
                  Sze Yin Kwok and
                  Heidi Y. Y. Wong and
                  Wen Jung Li and
                  Ming Yiu Wong},
  title        = {A Novel Real-Time Error Compensation Methodology for ?IMU-based Digital
                  Writing Instrument},
  booktitle    = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO}
                  2006, Kunming, China, 17-20 December 2006},
  pages        = {678--681},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ROBIO.2006.340288},
  doi          = {10.1109/ROBIO.2006.340288},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/robio/TsangCLZLDSKWLW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/LeongCCWLYYCLL05,
  author       = {Monk{-}Ping Leong and
                  Chi Chiu Cheung and
                  Chin{-}Wang Cheung and
                  Polly P. M. Wan and
                  Ivan K. H. Leung and
                  Winnie M. M. Yeung and
                  Wing Seung Yuen and
                  Kenneth S. K. Chow and
                  Kwong{-}Sak Leung and
                  Philip Heng Wai Leong},
  title        = {{CPE:} {A} Parallel Library for Financial Engineering Applications},
  journal      = {Computer},
  volume       = {38},
  number       = {10},
  pages        = {70--77},
  year         = {2005},
  url          = {https://doi.org/10.1109/MC.2005.337},
  doi          = {10.1109/MC.2005.337},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/computer/LeongCCWLYYCLL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeeLVZL05,
  author       = {Dong{-}U Lee and
                  Wayne Luk and
                  John D. Villasenor and
                  Guanglie Zhang and
                  Philip Heng Wai Leong},
  title        = {A hardware Gaussian noise generator using the Wallace method},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {13},
  number       = {8},
  pages        = {911--920},
  year         = {2005},
  url          = {https://doi.org/10.1109/TVLSI.2005.853615},
  doi          = {10.1109/TVLSI.2005.853615},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeeLVZL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/ZhangLLVCL05,
  author       = {Guanglie Zhang and
                  Philip Heng Wai Leong and
                  Dong{-}U Lee and
                  John D. Villasenor and
                  Ray C. C. Cheung and
                  Wayne Luk},
  editor       = {Tero Rissa and
                  Steven J. E. Wilton and
                  Philip Heng Wai Leong},
  title        = {Ziggurat-based Hardware Gaussian Random Number Generator},
  booktitle    = {Proceedings of the 2005 International Conference on Field Programmable
                  Logic and Applications (FPL), Tampere, Finland, August 24-26, 2005},
  pages        = {275--280},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/FPL.2005.1515734},
  doi          = {10.1109/FPL.2005.1515734},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/ZhangLLVCL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/TsoiL05,
  author       = {Kuen Hung Tsoi and
                  Philip Heng Wai Leong},
  editor       = {Tero Rissa and
                  Steven J. E. Wilton and
                  Philip Heng Wai Leong},
  title        = {Mullet - {A} Parallel Multiplier Generator},
  booktitle    = {Proceedings of the 2005 International Conference on Field Programmable
                  Logic and Applications (FPL), Tampere, Finland, August 24-26, 2005},
  pages        = {691--694},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/FPL.2005.1515814},
  doi          = {10.1109/FPL.2005.1515814},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/TsoiL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ChowTLLW05,
  author       = {Gary Chun Tak Chow and
                  L. S. M. Tsui and
                  Philip Heng Wai Leong and
                  Wayne Luk and
                  Steven J. E. Wilton},
  editor       = {Gordon J. Brebner and
                  Samarjit Chakraborty and
                  Weng{-}Fai Wong},
  title        = {Dynamic Voltage Scaling for Commercial FPGAs},
  booktitle    = {Proceedings of the 2005 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2005, 11-14 December 2005, Singapore},
  pages        = {173--180},
  publisher    = {{IEEE}},
  year         = {2005},
  timestamp    = {Tue, 19 Jun 2018 20:15:46 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/ChowTLLW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ZhangLHTCLCL05,
  author       = {Guanglie Zhang and
                  Philip Heng Wai Leong and
                  Chun Hok Ho and
                  Kuen Hung Tsoi and
                  Chris C. C. Cheung and
                  Dong{-}U Lee and
                  Ray C. C. Cheung and
                  Wayne Luk},
  editor       = {Gordon J. Brebner and
                  Samarjit Chakraborty and
                  Weng{-}Fai Wong},
  title        = {Reconfigurable Acceleration for Monte Carlo Based Financial Simulation},
  booktitle    = {Proceedings of the 2005 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2005, 11-14 December 2005, Singapore},
  pages        = {215--222},
  publisher    = {{IEEE}},
  year         = {2005},
  timestamp    = {Fri, 11 May 2012 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/ZhangLHTCLCL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/CheungLTS05,
  author       = {Ocean Y. H. Cheung and
                  Philip Heng Wai Leong and
                  Eric K. C. Tsang and
                  Bertram Emil Shi},
  editor       = {Gordon J. Brebner and
                  Samarjit Chakraborty and
                  Weng{-}Fai Wong},
  title        = {Implementation of Gabor-Type Filters on Field Programmable Gate Arrays},
  booktitle    = {Proceedings of the 2005 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2005, 11-14 December 2005, Singapore},
  pages        = {327--328},
  publisher    = {{IEEE}},
  year         = {2005},
  timestamp    = {Wed, 22 Feb 2006 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/CheungLTS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hicss/PlaksLW05,
  author       = {Toomas P. Plaks and
                  Philip Heng Wai Leong and
                  Michael J. Wirthlin},
  title        = {Mobile Computing Architectures, Design and Implementation},
  booktitle    = {38th Hawaii International Conference on System Sciences {(HICSS-38}
                  2005), {CD-ROM} / Abstracts Proceedings, 3-6 January 2005, Big Island,
                  HI, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/HICSS.2005.433},
  doi          = {10.1109/HICSS.2005.433},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hicss/PlaksLW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/robio/LeongT05,
  author       = {Philip Heng Wai Leong and
                  Kuen Hung Tsoi},
  title        = {Field programmable gate array technology for robotics applications},
  booktitle    = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO}
                  2005, Shatin, {N.T.} China, 5-9 July 2005},
  pages        = {295--298},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ROBIO.2005.246280},
  doi          = {10.1109/ROBIO.2005.246280},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/robio/LeongT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/robio/LuoSLZLLLL05,
  author       = {Yilun Luo and
                  Guangyi Shi and
                  Josh H. M. Lam and
                  Guanglie Zhang and
                  Wen J. Li and
                  Philip Heng Wai Leong and
                  Pauline P. Y. Lui and
                  Kwok{-}Sui Leung},
  title        = {Towards a human airbag system using {\(\mathrm{\mu}\)}IMU with {SVM}
                  training for falling-motion recognition},
  booktitle    = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO}
                  2005, Shatin, {N.T.} China, 5-9 July 2005},
  pages        = {634--639},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ROBIO.2005.246342},
  doi          = {10.1109/ROBIO.2005.246342},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/robio/LuoSLZLLLL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/fpl/2005,
  editor       = {Tero Rissa and
                  Steven J. E. Wilton and
                  Philip Heng Wai Leong},
  title        = {Proceedings of the 2005 International Conference on Field Programmable
                  Logic and Applications (FPL), Tampere, Finland, August 24-26, 2005},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/10158/proceeding},
  isbn         = {0-7803-9362-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/2005.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijia/LeeYLCLLLY04,
  author       = {Johnny M. H. Lee and
                  Steve C. L. Yuen and
                  Mimi H. M. Luk and
                  Gordon M. H. Chan and
                  Kin Fong Lei and
                  Wen J. Li and
                  Philip Heng Wai Leong and
                  Yeung Yam},
  title        = {Self-Powered Wireless temperature Sensing Using MEMS-Based AA-Size
                  Energy Transducer},
  journal      = {Int. J. Inf. Acquis.},
  volume       = {1},
  number       = {2},
  pages        = {121--128},
  year         = {2004},
  url          = {https://doi.org/10.1142/S0219878904000203},
  doi          = {10.1142/S0219878904000203},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijia/LeeYLCLLLY04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/TsoiHYL04,
  author       = {Kuen Hung Tsoi and
                  Chun Hok Ho and
                  Jackson H. C. Yeung and
                  Philip Heng Wai Leong},
  title        = {An Arithmetic Library and Its Application to the N-body Problem},
  booktitle    = {12th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2004), 20-23 April 2004, Napa, CA, USA, Proceedings},
  pages        = {68--78},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/FCCM.2004.14},
  doi          = {10.1109/FCCM.2004.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/TsoiHYL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LudewigSZGPTLL04,
  author       = {Ralf Ludewig and
                  Oliver Soffke and
                  Peter Zipf and
                  Manfred Glesner and
                  Kong{-}Pang Pun and
                  Kuen Hung Tsoi and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  editor       = {J{\"{u}}rgen Becker and
                  Marco Platzner and
                  Serge Vernalde},
  title        = {{IP} Generation for an FPGA-Based Audio {DAC} Sigma-Delta Converter},
  booktitle    = {Field Programmable Logic and Application, 14th International Conference
                  , {FPL} 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3203},
  pages        = {526--535},
  publisher    = {Springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/978-3-540-30117-2\_54},
  doi          = {10.1007/978-3-540-30117-2\_54},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/LudewigSZGPTLL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WongLL04,
  author       = {C. K. Wong and
                  K. K. Lo and
                  Philip Heng Wai Leong},
  editor       = {Oliver Diessel and
                  John Williams},
  title        = {An FPGA-based Othello endgame solver},
  booktitle    = {Proceedings of the 2004 {IEEE} International Conference on Field-Programmable
                  Technology, Brisbane, Australia, December 6-8, 2004},
  pages        = {81--88},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/FPT.2004.1393254},
  doi          = {10.1109/FPT.2004.1393254},
  timestamp    = {Fri, 22 Nov 2019 15:44:53 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WongLL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ejasp/LeongJL03,
  author       = {Monk{-}Ping Leong and
                  Craig T. Jin and
                  Philip Heng Wai Leong},
  title        = {An FPGA-Based Electronic Cochlea},
  journal      = {{EURASIP} J. Adv. Signal Process.},
  volume       = {2003},
  number       = {7},
  pages        = {629--638},
  year         = {2003},
  url          = {https://doi.org/10.1155/S1110865703303038},
  doi          = {10.1155/S1110865703303038},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ejasp/LeongJL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeongL03,
  author       = {Monk{-}Ping Leong and
                  Philip Heng Wai Leong},
  title        = {A variable-radix digit-serial design methodology and its application
                  to the discrete cosine transform},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {11},
  number       = {1},
  pages        = {90--104},
  year         = {2003},
  url          = {https://doi.org/10.1109/TVLSI.2003.811099},
  doi          = {10.1109/TVLSI.2003.811099},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeongL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/TsoiLL03,
  author       = {Kuen Hung Tsoi and
                  Ka Hei Leung and
                  Philip Heng Wai Leong},
  title        = {Compact FPGA-based True and Pseudo Random Number Generators},
  booktitle    = {11th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2003), 8-11 April 2003, Napa, CA, USA, Proceedings},
  pages        = {51--61},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/FPGA.2003.1227241},
  doi          = {10.1109/FPGA.2003.1227241},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/TsoiLL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LiCLL03,
  author       = {Stanley Y. C. Li and
                  Gap C. K. Cheuk and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  title        = {FPGA-based {SIMD} Processor},
  booktitle    = {11th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2003), 8-11 April 2003, Napa, CA, USA, Proceedings},
  pages        = {267--268},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/FPGA.2003.1227264},
  doi          = {10.1109/FPGA.2003.1227264},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LiCLL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/YuKLL03,
  author       = {Chi Wai Yu and
                  K. H. Kwong and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  editor       = {Peter Y. K. Cheung and
                  George A. Constantinides and
                  Jos{\'{e}} T. de Sousa},
  title        = {A Smith-Waterman Systolic Cell},
  booktitle    = {Field Programmable Logic and Application, 13th International Conference,
                  {FPL} 2003, Lisbon, Portugal, September 1-3, 2003, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2778},
  pages        = {375--384},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/978-3-540-45234-8\_37},
  doi          = {10.1007/978-3-540-45234-8\_37},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/YuKLL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TangTL03,
  author       = {S. H. Tang and
                  K. S. Tsui and
                  Philip Heng Wai Leong},
  title        = {Modular exponentiation using parallel multipliers},
  booktitle    = {Proceedings of the 2003 {IEEE} International Conference on Field-Programmable
                  Technology, Tokyo, Japan, {FPT} 2003, December 15-17, 2003},
  pages        = {52--59},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/FPT.2003.1275731},
  doi          = {10.1109/FPT.2003.1275731},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/TangTL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HoTYLLLLZOG03,
  author       = {Chun Hok Ho and
                  Kuen Hung Tsoi and
                  Jackson H. C. Yeung and
                  Yuet Ming Lam and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong and
                  Ralf Ludewig and
                  Peter Zipf and
                  Alberto Garc{\'{\i}}a Ortiz and
                  Manfred Glesner},
  title        = {Arbitrary function approximation in HDLs with application to the N-body
                  problem},
  booktitle    = {Proceedings of the 2003 {IEEE} International Conference on Field-Programmable
                  Technology, Tokyo, Japan, {FPT} 2003, December 15-17, 2003},
  pages        = {84--91},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/FPT.2003.1275735},
  doi          = {10.1109/FPT.2003.1275735},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/HoTYLLLLZOG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/CheungPYTL03,
  author       = {Ray C. C. Cheung and
                  Kong{-}Pang Pun and
                  Steve C. L. Yuen and
                  Kuen Hung Tsoi and
                  Philip Heng Wai Leong},
  title        = {An FPGA-based re-configurable 24-bit 96kHz sigma-delta audio {DAC}},
  booktitle    = {Proceedings of the 2003 {IEEE} International Conference on Field-Programmable
                  Technology, Tokyo, Japan, {FPT} 2003, December 15-17, 2003},
  pages        = {110--117},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/FPT.2003.1275738},
  doi          = {10.1109/FPT.2003.1275738},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/CheungPYTL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeYLL03,
  author       = {Johnny M. H. Lee and
                  Steve C. L. Yuen and
                  Wen J. Li and
                  Philip Heng Wai Leong},
  title        = {Development of an {AA} size energy transducer with micro resonators},
  booktitle    = {Proceedings of the 2003 International Symposium on Circuits and Systems,
                  {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003},
  pages        = {876--879},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISCAS.2003.1206360},
  doi          = {10.1109/ISCAS.2003.1206360},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeYLL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeongL02,
  author       = {Philip Heng Wai Leong and
                  Ivan K. H. Leung},
  title        = {A microcoded elliptic curve processor using {FPGA} technology},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {10},
  number       = {5},
  pages        = {550--559},
  year         = {2002},
  url          = {https://doi.org/10.1109/TVLSI.2002.801608},
  doi          = {10.1109/TVLSI.2002.801608},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeongL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/TsoiLL02,
  author       = {Kuen Hung Tsoi and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  title        = {A Massively Parallel {RC4} Key Search Engine},
  booktitle    = {10th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2002), 22-24 April 2002, Napa, CA, USA, Proceedings},
  pages        = {13--21},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPGA.2002.1106657},
  doi          = {10.1109/FPGA.2002.1106657},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/TsoiLL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/HoLTLZOG02,
  author       = {Chun Hok Ho and
                  Philip Heng Wai Leong and
                  Kuen Hung Tsoi and
                  Ralf Ludewig and
                  Peter Zipf and
                  Alberto Garc{\'{\i}}a Ortiz and
                  Manfred Glesner},
  editor       = {Manfred Glesner and
                  Peter Zipf and
                  Michel Renovell},
  title        = {Fly - {A} Modifiable Hardware Compiler},
  booktitle    = {Field-Programmable Logic and Applications, Reconfigurable Computing
                  Is Going Mainstream, 12th International Conference, {FPL} 2002, Montpellier,
                  France, September 2-4, 2002, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2438},
  pages        = {381--390},
  publisher    = {Springer},
  year         = {2002},
  url          = {https://doi.org/10.1007/3-540-46117-5\_40},
  doi          = {10.1007/3-540-46117-5\_40},
  timestamp    = {Sat, 30 Sep 2023 09:41:27 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/HoLTLZOG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/TingYLL02,
  author       = {Kurt K. Ting and
                  Steve C. L. Yuen and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  editor       = {Manfred Glesner and
                  Peter Zipf and
                  Michel Renovell},
  title        = {An {FPGA} Based {SHA-256} Processor},
  booktitle    = {Field-Programmable Logic and Applications, Reconfigurable Computing
                  Is Going Mainstream, 12th International Conference, {FPL} 2002, Montpellier,
                  France, September 2-4, 2002, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2438},
  pages        = {577--585},
  publisher    = {Springer},
  year         = {2002},
  url          = {https://doi.org/10.1007/3-540-46117-5\_60},
  doi          = {10.1007/3-540-46117-5\_60},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/TingYLL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/CheungL02,
  author       = {Ocean Y. H. Cheung and
                  Philip Heng Wai Leong},
  title        = {Implementation of an {FPGA} based accelerator for virtual private
                  networks},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {34--41},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188662},
  doi          = {10.1109/FPT.2002.1188662},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/CheungL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TongLLL02,
  author       = {Dennis K. Y. Tong and
                  Pui Sze Lo and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  title        = {A system level implementation of Rijndael on a memory-slot based {FPGA}
                  card},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {102--109},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188670},
  doi          = {10.1109/FPT.2002.1188670},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/TongLLL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/HoLLBG02,
  author       = {Chun Hok Ho and
                  Monk{-}Ping Leong and
                  Philip Heng Wai Leong and
                  J{\"{u}}rgen Becker and
                  Manfred Glesner},
  title        = {Rapid Prototyping of {FPGA} Based Floating Point {DSP} Systems},
  booktitle    = {13th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2002), 1-3 July 2002, Darmstadt, Germany},
  pages        = {19--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/IWRSP.2002.1029733},
  doi          = {10.1109/IWRSP.2002.1029733},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/HoLLBG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeongSWWYL01,
  author       = {Philip Heng Wai Leong and
                  Chiu{-}Wing Sham and
                  W. C. Wong and
                  H. Y. Wong and
                  Wing Seung Yuen and
                  Monk{-}Ping Leong},
  title        = {A bitstream reconfigurable {FPGA} implementation of the {WSAT} algorithm},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {9},
  number       = {1},
  pages        = {197--201},
  year         = {2001},
  url          = {https://doi.org/10.1109/92.920833},
  doi          = {10.1109/92.920833},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeongSWWYL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ches/CheungTLL01,
  author       = {Ocean Y. H. Cheung and
                  Kuen Hung Tsoi and
                  Philip Heng Wai Leong and
                  Monk{-}Ping Leong},
  editor       = {{\c{C}}etin Kaya Ko{\c{c}} and
                  David Naccache and
                  Christof Paar},
  title        = {Tradeoffs in Parallel and Serial Implementations of the International
                  Data Encryption Algorithm {IDEA}},
  booktitle    = {Cryptographic Hardware and Embedded Systems - {CHES} 2001, Third International
                  Workshop, Paris, France, May 14-16, 2001, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2162},
  pages        = {333--347},
  publisher    = {Springer},
  year         = {2001},
  url          = {https://doi.org/10.1007/3-540-44709-1\_28},
  doi          = {10.1007/3-540-44709-1\_28},
  timestamp    = {Mon, 24 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ches/CheungTLL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LeongJL01,
  author       = {Monk{-}Ping Leong and
                  Craig T. Jin and
                  Philip Heng Wai Leong},
  title        = {Parameterized Module Generator for an FPGA-Based Electronic Cochlea},
  booktitle    = {The 9th Annual {IEEE} Symposium on Field-Programmable Custom Computing
                  Machines, {FCCM} 2001, Rohnert Park, California, USA, April 29 - May
                  2, 2001},
  pages        = {21--30},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/FCCM.2001.35},
  doi          = {10.1109/FCCM.2001.35},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LeongJL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LeongLCTKWL01,
  author       = {Philip Heng Wai Leong and
                  Monk{-}Ping Leong and
                  Ocean Y. H. Cheung and
                  T. Tung and
                  C. M. Kwok and
                  Ming Yiu Wong and
                  Kin{-}Hong Lee},
  title        = {Pilchard - {A} Reconfigurable Computing Platform with Memory Slot
                  Interface},
  booktitle    = {The 9th Annual {IEEE} Symposium on Field-Programmable Custom Computing
                  Machines, {FCCM} 2001, Rohnert Park, California, USA, April 29 - May
                  2, 2001},
  pages        = {170--179},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/FCCM.2001.36},
  doi          = {10.1109/FCCM.2001.36},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LeongLCTKWL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LeungMWL00,
  author       = {Ka Hei Leung and
                  K. W. Ma and
                  Wai Keung Wong and
                  Philip Heng Wai Leong},
  title        = {{FPGA} Implementation of a Microcoded Elliptic Curve Cryptographic
                  Processor},
  booktitle    = {8th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings},
  pages        = {68--76},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/FPGA.2000.903394},
  doi          = {10.1109/FPGA.2000.903394},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LeungMWL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LeongCTL00,
  author       = {Monk{-}Ping Leong and
                  Ocean Y. H. Cheung and
                  Kuen Hung Tsoi and
                  Philip Heng Wai Leong},
  title        = {A Bit-Serial Implementation of the International Data Encryption Algorithm
                  {IDEA}},
  booktitle    = {8th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings},
  pages        = {122--131},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/FPGA.2000.903399},
  doi          = {10.1109/FPGA.2000.903399},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LeongCTL00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LeongYYFHL99,
  author       = {Monk{-}Ping Leong and
                  M. Y. Yeung and
                  C. K. Yeung and
                  Chi{-}Wing Fu and
                  Pheng{-}Ann Heng and
                  Philip Heng Wai Leong},
  title        = {Automatic Floating to Fixed Point Translation and its Application
                  to Post-Rendering 3D Warping},
  booktitle    = {7th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} '99), 21-23 April 1999, Napa, CA, {USA}},
  pages        = {240--248},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/FPGA.1999.803686},
  doi          = {10.1109/FPGA.1999.803686},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LeongYYFHL99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/YungSLL99,
  author       = {Wong Hiu Yung and
                  Wing Seung Yuen and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  editor       = {Patrick Lysaght and
                  James Irvine and
                  Reiner W. Hartenstein},
  title        = {A Runtime Reconfigurable Implementation of the {GSAT} Algorithm},
  booktitle    = {Field-Programmable Logic and Applications, 9th International Workshop,
                  FPL'99, Glasgow, UK, August 30 - September 1, 1999, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {1673},
  pages        = {526--531},
  publisher    = {Springer},
  year         = {1999},
  url          = {https://doi.org/10.1007/978-3-540-48302-1\_64},
  doi          = {10.1007/978-3-540-48302-1\_64},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/YungSLL99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icppw/ChungL99,
  author       = {C. K. Chung and
                  Philip Heng Wai Leong},
  title        = {An Architecture for Solving Boolean Satisfiability Using Runtime Configurable
                  Hardware},
  booktitle    = {Proceedings of the 1999 International Conference on Parallel Processing
                  Workshops, {ICPPW} 1999, Wakamatsu, Japan, September 21-24, 1999},
  pages        = {352--359},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICPPW.1999.800085},
  doi          = {10.1109/ICPPW.1999.800085},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icppw/ChungL99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LeongTL98,
  author       = {Philip Heng Wai Leong and
                  P. K. Tsang and
                  T. K. Lee},
  title        = {A {FPGA} Based Forth Microprocessor},
  booktitle    = {6th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} '98), 15-17 April 1998, Napa Valley, CA, {USA}},
  pages        = {254--255},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/FPGA.1998.707903},
  doi          = {10.1109/FPGA.1998.707903},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LeongTL98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/LeeLLCHYLL98,
  author       = {T. K. Lee and
                  Philip Heng Wai Leong and
                  K. H. Lee and
                  K. T. Chan and
                  Siew Kok Hui and
                  H. K. Yeung and
                  M. F. Lo and
                  J. H. M. Lee},
  title        = {An {FPGA} Implementation of {GENET} for Solving Graph Coloring Problems},
  booktitle    = {6th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} '98), 15-17 April 1998, Napa Valley, CA, {USA}},
  pages        = {284--285},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/FPGA.1998.707918},
  doi          = {10.1109/FPGA.1998.707918},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/LeeLLCHYLL98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tnn/LeongJ95,
  author       = {Philip Heng Wai Leong and
                  Marwan A. Jabri},
  title        = {A low-power {VLSI} arrhythmia classifier},
  journal      = {{IEEE} Trans. Neural Networks},
  volume       = {6},
  number       = {6},
  pages        = {1435--1445},
  year         = {1995},
  url          = {https://doi.org/10.1109/72.471380},
  doi          = {10.1109/72.471380},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tnn/LeongJ95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/annes/LaiL95,
  author       = {K. K. Lai and
                  Philip Heng Wai Leong},
  title        = {An area efficient implementation of a cellular neural network},
  booktitle    = {2nd New Zealand Two-Stream International Conference on Artificial
                  Neural Networks and Expert Systems {(ANNES} '95), November 20-23,
                  1995, Dunedin, New Zealand},
  pages        = {51--54},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/ANNES.1995.499437},
  doi          = {10.1109/ANNES.1995.499437},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/annes/LaiL95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijns/LeongJ93,
  author       = {Philip Heng Wai Leong and
                  Marwan A. Jabri},
  title        = {Kakadu - {A} Low Power Analogue Neural Network Classifier},
  journal      = {Int. J. Neural Syst.},
  volume       = {4},
  number       = {4},
  pages        = {381--394},
  year         = {1993},
  url          = {https://doi.org/10.1142/S0129065793000316},
  doi          = {10.1142/S0129065793000316},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijns/LeongJ93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/JabriPLX93,
  author       = {Marwan A. Jabri and
                  Stephen Pickard and
                  Philip H. W. Leong and
                  Y. Xie},
  title        = {Algorithmic and implementation issues in analog low power learning
                  neural network chips},
  journal      = {J. {VLSI} Signal Process.},
  volume       = {6},
  number       = {1},
  pages        = {67--76},
  year         = {1993},
  url          = {https://doi.org/10.1007/BF01581960},
  doi          = {10.1007/BF01581960},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsisp/JabriPLX93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/annes/JabriLBFLPTC93,
  author       = {Marwan A. Jabri and
                  Philip Heng Wai Leong and
                  Jim Burr and
                  Barry Flower and
                  Kin Keung Lai and
                  Stephen Pickard and
                  Edward Tinker and
                  Richard Coggins},
  title        = {An analogue neural network using {MCM} technology},
  booktitle    = {First New Zealand International Two-Stream Conference on Artificial
                  Neural Networks and Expert Systems, {ANNES} '93, Dunedin, New Zealand,
                  November 24-26, 1993},
  pages        = {122--125},
  publisher    = {{IEEE}},
  year         = {1993},
  url          = {https://doi.org/10.1109/ANNES.1993.323066},
  doi          = {10.1109/ANNES.1993.323066},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/annes/JabriLBFLPTC93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nips/JabriPLCFX91,
  author       = {Marwan A. Jabri and
                  Stephen Pickard and
                  Philip Heng Wai Leong and
                  Z. Chi and
                  Barry Flower and
                  Y. Xie},
  editor       = {John E. Moody and
                  Stephen Jose Hanson and
                  Richard Lippmann},
  title        = {{ANN} Board Classification for Heart Defibrillators},
  booktitle    = {Advances in Neural Information Processing Systems 4, {[NIPS} Conference,
                  Denver, Colorado, USA, December 2-5, 1991]},
  pages        = {637--644},
  publisher    = {Morgan Kaufmann},
  year         = {1991},
  url          = {http://papers.nips.cc/paper/586-ann-board-classification-for-heart-defibrillators},
  timestamp    = {Mon, 16 May 2022 15:41:51 +0200},
  biburl       = {https://dblp.org/rec/conf/nips/JabriPLCFX91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/usenix/LeongT91,
  author       = {Philip Heng Wai Leong and
                  C. Tham},
  title        = {{UNIX} Password Encryption Considered Insecure},
  booktitle    = {Proceedings of the Usenix Winter 1991 Conference, Dallas, TX, USA,
                  January 1991},
  pages        = {269--280},
  publisher    = {{USENIX} Association},
  year         = {1991},
  timestamp    = {Wed, 19 Nov 2014 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/usenix/LeongT91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics