default search action
BibTeX records: Michael Kishinevsky
@article{DBLP:journals/dt/NarayanaMAIKO23, author = {Shruti Yadav Narayana and Sumit K. Mandal and Raid Ayoub and Mohammad M. Islam and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Fast Analysis Using Finite Queuing Model for Multilayer NoCs}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {112--124}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3310167}, doi = {10.1109/MDAT.2023.3310167}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NarayanaMAIKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/OgrasMMK23, author = {{\"{U}}mit Y. Ogras and Radu Marculescu and Trevor N. Mudge and Michael Kishinevsky}, title = {Introduction to the Special Issue on Domain-Specific System-on-Chip Architectures and Run-Time Management Techniques}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {2}, pages = {27:1--27:3}, year = {2023}, url = {https://doi.org/10.1145/3567834}, doi = {10.1145/3567834}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/OgrasMMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KrishnakumarOMKM23, author = {Anish Krishnakumar and {\"{U}}mit Y. Ogras and Radu Marculescu and Michael Kishinevsky and Trevor N. Mudge}, title = {Domain-Specific Architectures: Research Problems and Promising Approaches}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {2}, pages = {28:1--28:26}, year = {2023}, url = {https://doi.org/10.1145/3563946}, doi = {10.1145/3563946}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/KrishnakumarOMKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/NarangDAKDP23, author = {Gaurav Narang and Aryan Deshwal and Raid Ayoub and Michael Kishinevsky and Janardhan Rao Doppa and Partha Pratim Pande}, title = {Dynamic Power Management in Large Manycore Systems: {A} Learning-to-Search Framework}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {5}, pages = {84:1--84:21}, year = {2023}, url = {https://doi.org/10.1145/3603501}, doi = {10.1145/3603501}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/NarangDAKDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MandalNAKAO23, author = {Sumit K. Mandal and Shruti Yadav Narayana and Raid Ayoub and Michael Kishinevsky and Ahmed Abousamra and {\"{U}}mit Y. Ogras}, title = {Fast Performance Analysis for NoCs With Weighted Round-Robin Arbitration and Finite Buffers}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {31}, number = {5}, pages = {670--683}, year = {2023}, url = {https://doi.org/10.1109/TVLSI.2023.3250662}, doi = {10.1109/TVLSI.2023.3250662}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MandalNAKAO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NarayanaMAKO23, author = {Shruti Yadav Narayana and Sumit K. Mandal and Raid Ayoub and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {A Lightweight Congestion Control Technique for NoCs with Deflection Routing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136929}, doi = {10.23919/DATE56975.2023.10136929}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/NarayanaMAKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/NarangAKDP23, author = {Gaurav Narang and Raid Ayoub and Michael Kishinevsky and Janardhan Rao Doppa and Partha Pratim Pande}, title = {Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISLPED58423.2023.10244486}, doi = {10.1109/ISLPED58423.2023.10244486}, timestamp = {Mon, 25 Sep 2023 14:10:09 +0200}, biburl = {https://dblp.org/rec/conf/islped/NarangAKDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12779, author = {Shruti Yadav Narayana and Sumit K. Mandal and Raid Ayoub and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Machine Learning-based Low Overhead Congestion Control Algorithm for Industrial NoCs}, journal = {CoRR}, volume = {abs/2302.12779}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12779}, doi = {10.48550/ARXIV.2302.12779}, eprinttype = {arXiv}, eprint = {2302.12779}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12779.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15280, author = {Erick Carvajal Barboza and Mahesh Ketkar and Michael Kishinevsky and Paul Gratz and Jiang Hu}, title = {Machine Learning for Microprocessor Performance Bug Localization}, journal = {CoRR}, volume = {abs/2303.15280}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15280}, doi = {10.48550/ARXIV.2303.15280}, eprinttype = {arXiv}, eprint = {2303.15280}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15280.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/Sydir0MTIKS22, author = {Jaroslaw Sydir and Bin Li and Pietro Mercati and Charlie Tai and Ravi R. Iyer and Michael Kishinevsky and Boris Serafimov}, title = {{DPM-NFV:} Dynamic Power Management Framework for 5G User Plane Function using Bayesian Optimization}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {4099--4105}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001394}, doi = {10.1109/GLOBECOM48099.2022.10001394}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/Sydir0MTIKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LiLMITKK21, author = {Qian Li and Bin Li and Pietro Mercati and Ramesh Illikkal and Charlie Tai and Michael Kishinevsky and Christos Kozyrakis}, title = {{RAMBO:} Resource Allocation for Microservices Using Bayesian Optimization}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {1}, pages = {46--49}, year = {2021}, url = {https://doi.org/10.1109/LCA.2021.3066142}, doi = {10.1109/LCA.2021.3066142}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/LiLMITKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/MandalAKIO21, author = {Sumit K. Mandal and Raid Ayoub and Michael Kishinevsky and Mohammad M. Islam and {\"{U}}mit Y. Ogras}, title = {Analytical Performance Modeling of NoCs under Priority Arbitration and Bursty Traffic}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {13}, number = {3}, pages = {98--101}, year = {2021}, url = {https://doi.org/10.1109/LES.2020.3013003}, doi = {10.1109/LES.2020.3013003}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/MandalAKIO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/BarbozaJKKGH21, author = {Erick Carvajal Barboza and Sara Jacob and Mahesh Ketkar and Michael Kishinevsky and Paul Gratz and Jiang Hu}, title = {Automatic Microprocessor Performance Bug Detection}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {545--556}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCA51647.2021.00053}, doi = {10.1109/HPCA51647.2021.00053}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/BarbozaJKKGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MandalTAKAO21, author = {Sumit K. Mandal and Jie Tong and Raid Ayoub and Michael Kishinevsky and Ahmed Abousamra and {\"{U}}mit Y. Ogras}, title = {Theoretical Analysis and Evaluation of NoCs with Weighted Round-Robin Arbitration}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643448}, doi = {10.1109/ICCAD51958.2021.9643448}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MandalTAKAO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/MercatiLETKSRWL21, author = {Pietro Mercati and Bin Li and Mesut Ali Ergin and Charlie Tai and Michael Kishinevsky and Boris Serafimov and Subhiksha Ravisundar and Eoin Walsh and Thomas Long}, editor = {Toufik Ahmed and Olivier Festor and Yacine Ghamri{-}Doudane and Joon{-}Myung Kang and Alberto E. Schaeffer Filho and Abdelkader Lahmadi and Edmundo R. M. Madeira}, title = {{MOBO-NFV:} Automated Tuning of a Network Function Virtualization System using Multi-Objective Bayesian Optimization}, booktitle = {17th {IFIP/IEEE} International Symposium on Integrated Network Management, {IM} 2021, Bordeaux, France, May 17-21, 2021}, pages = {90--98}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9463999}, timestamp = {Mon, 05 Jul 2021 08:04:03 +0200}, biburl = {https://dblp.org/rec/conf/im/MercatiLETKSRWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-09534, author = {Sumit K. Mandal and Jie Tong and Raid Ayoub and Michael Kishinevsky and Ahmed Abousamra and {\"{U}}mit Y. Ogras}, title = {Theoretical Analysis and Evaluation of NoCs with Weighted Round-Robin Arbitration}, journal = {CoRR}, volume = {abs/2108.09534}, year = {2021}, url = {https://arxiv.org/abs/2108.09534}, eprinttype = {arXiv}, eprint = {2108.09534}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-09534.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/OgrasPKK20, author = {{\"{U}}mit Y. Ogras and Sudeep Pasricha and Michael Kishinevsky and Raid Ayoub}, title = {Guest Editors' Introduction: Design and Management of Mobile Platforms: From Smartphones to Wearable Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {5--6}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.3000750}, doi = {10.1109/MDAT.2020.3000750}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/OgrasPKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PasrichaAKMO20, author = {Sudeep Pasricha and Raid Ayoub and Michael Kishinevsky and Sumit K. Mandal and {\"{U}}mit Y. Ogras}, title = {A Survey on Energy Management for Mobile and IoT Devices}, journal = {{IEEE} Des. Test}, volume = {37}, number = {5}, pages = {7--24}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2976669}, doi = {10.1109/MDAT.2020.2976669}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PasrichaAKMO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MandalODAKP20, author = {Sumit K. Mandal and {\"{U}}mit Y. Ogras and Janardhan Rao Doppa and Raid Zuhair Ayoub and Michael Kishinevsky and Partha Pratim Pande}, title = {Online Adaptive Learning for Runtime Resource Management of Heterogeneous SoCs}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218604}, doi = {10.1109/DAC18072.2020.9218604}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MandalODAKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MandalKAKO20, author = {Sumit K. Mandal and Anish Krishnakumar and Raid Ayoub and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Performance Analysis of Priority-Aware NoCs with Deflection Routing under Traffic Congestion}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {42:1--42:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415654}, doi = {10.1145/3400302.3415654}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MandalKAKO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/AyoubKMO20, author = {Raid Ayoub and Michael Kishinevsky and Sumit K. Mandal and {\"{U}}mit Y. Ogras}, editor = {Andrew B. Kahng}, title = {Analytical modeling of NoCs for fast simulation and design exploration (invited)}, booktitle = {{SLIP} '20: System-Level Interconnect - Problems and Pathfinding Workshop, San Diego, California, November 5, 2020}, pages = {8}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3414622.3432993}, doi = {10.1145/3414622.3432993}, timestamp = {Mon, 08 Feb 2021 17:13:33 +0100}, biburl = {https://dblp.org/rec/conf/slip/AyoubKMO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-11740, author = {Ujjwal Gupta and Manoj Babu and Raid Ayoub and Michael Kishinevsky and Francesco Paterna and Suat Gumussoy and {\"{U}}mit Y. Ogras}, title = {An Online Learning Methodology for Performance Modeling of Graphics Processors}, journal = {CoRR}, volume = {abs/2003.11740}, year = {2020}, url = {https://arxiv.org/abs/2003.11740}, eprinttype = {arXiv}, eprint = {2003.11740}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-11740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-13951, author = {Sumit K. Mandal and Raid Ayoub and Michael Kishinevsky and Mohammad M. Islam and {\"{U}}mit Y. Ogras}, title = {Analytical Performance Modeling of NoCs under Priority Arbitration and Bursty Traffic}, journal = {CoRR}, volume = {abs/2007.13951}, year = {2020}, url = {https://arxiv.org/abs/2007.13951}, eprinttype = {arXiv}, eprint = {2007.13951}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-13951.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-03904, author = {Sumit K. Mandal and Anish Krishnakumar and Raid Ayoub and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Performance Analysis of Priority-Aware NoCs with Deflection Routing under Traffic Congestion}, journal = {CoRR}, volume = {abs/2008.03904}, year = {2020}, url = {https://arxiv.org/abs/2008.03904}, eprinttype = {arXiv}, eprint = {2008.03904}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-03904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-09728, author = {Sumit K. Mandal and {\"{U}}mit Y. Ogras and Janardhan Rao Doppa and Raid Zuhair Ayoub and Michael Kishinevsky and Partha Pratim Pande}, title = {Online Adaptive Learning for Runtime Resource Management of Heterogeneous SoCs}, journal = {CoRR}, volume = {abs/2008.09728}, year = {2020}, url = {https://arxiv.org/abs/2008.09728}, eprinttype = {arXiv}, eprint = {2008.09728}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-09728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-08781, author = {Erick Carvajal Barboza and Sara Jacob and Mahesh Ketkar and Michael Kishinevsky and Paul Gratz and Jiang Hu}, title = {Automatic Microprocessor Performance Bug Detection}, journal = {CoRR}, volume = {abs/2011.08781}, year = {2020}, url = {https://arxiv.org/abs/2011.08781}, eprinttype = {arXiv}, eprint = {2011.08781}, timestamp = {Wed, 18 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-08781.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ONealBSK19, author = {Kenneth O'Neal and Philip Brisk and Emily Shriver and Michael Kishinevsky}, title = {Hardware-Assisted Cross-Generation Prediction of GPUs Under Design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {6}, pages = {1133--1146}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2834398}, doi = {10.1109/TCAD.2018.2834398}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ONealBSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/MandalAKO19, author = {Sumit K. Mandal and Raid Ayoub and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Analytical Performance Models for NoCs with Multiple Priority Traffic Classes}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {52:1--52:21}, year = {2019}, url = {https://doi.org/10.1145/3358176}, doi = {10.1145/3358176}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/MandalAKO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/HongNMKK19, author = {David Ke Hong and Ashkan Nikravesh and Z. Morley Mao and Mahesh Ketkar and Michael Kishinevsky}, editor = {Eli Tilevich}, title = {PerfProbe: a systematic, cross-layer performance diagnosis framework for mobile platforms}, booktitle = {Proceedings of the 6th International Conference on Mobile Software Engineering and Systems, MOBILESoft@ICSE 2019, Montreal, QC, Canada, May 25, 2019}, pages = {50--61}, publisher = {{IEEE} / {ACM}}, year = {2019}, url = {https://doi.org/10.1109/MOBILESoft.2019.00018}, doi = {10.1109/MOBILESOFT.2019.00018}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icse/HongNMKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiquitous/PoyrazKSKSM19, author = {Emirhan Poyraz and Prethvi Kashinkunti and Matthew Schuchhardt and Michael Kishinevsky and Niranjan Soundararajan and Gokhan Memik}, editor = {H. Vincent Poor and Zhu Han and Dario Pompili and Zhi Sun and Miao Pan}, title = {Understanding the impact of number of {CPU} cores on user satisfaction in smartphones}, booktitle = {MobiQuitous 2019, Proceedings of the 16th {EAI} International Conference on Mobile and Ubiquitous Systems: Computing, Networking and Services, Houston, Texas, USA, November 12-14, 2019}, pages = {288--297}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3360774.3360816}, doi = {10.1145/3360774.3360816}, timestamp = {Wed, 05 Jan 2022 14:31:20 +0100}, biburl = {https://dblp.org/rec/conf/mobiquitous/PoyrazKSKSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02408, author = {Sumit K. Mandal and Raid Ayoub and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Analytical Performance Models for NoCs with Multiple Priority Traffic Classes}, journal = {CoRR}, volume = {abs/1908.02408}, year = {2019}, url = {http://arxiv.org/abs/1908.02408}, eprinttype = {arXiv}, eprint = {1908.02408}, timestamp = {Fri, 09 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02408.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GuptaBAKPGO18, author = {Ujjwal Gupta and Manoj Babu and Raid Ayoub and Michael Kishinevsky and Francesco Paterna and Suat Gumussoy and {\"{U}}mit Y. Ogras}, title = {An Online Learning Methodology for Performance Modeling of Graphics Processors}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {12}, pages = {1677--1691}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2840710}, doi = {10.1109/TC.2018.2840710}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GuptaBAKPGO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/GuptaAKKSTO18, author = {Ujjwal Gupta and Raid Ayoub and Michael Kishinevsky and David Kadjo and Niranjan Soundararajan and Ugurkan Tursun and {\"{U}}mit Y. Ogras}, title = {Dynamic Power Budgeting for Mobile Systems Running Graphics Workloads}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {1}, pages = {30--40}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2017.2683487}, doi = {10.1109/TMSCS.2017.2683487}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmscs/GuptaAKKSTO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaBAKPO18, author = {Ujjwal Gupta and Manoj Babu and Raid Ayoub and Michael Kishinevsky and Francesco Paterna and {\"{U}}mit Y. Ogras}, title = {{STAFF:} online learning with stabilized adaptive forgetting factor and feature selection algorithm}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {177:1--177:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3196122}, doi = {10.1145/3195970.3196122}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuptaBAKPO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/EgilmezSMASK17, author = {Begum Egilmez and Matthew Schuchhardt and Gokhan Memik and Raid Ayoub and Niranjan Soundararajan and Michael Kishinevsky}, title = {User-aware Frame Rate Management in Android Smartphones}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {16}, number = {5s}, pages = {131:1--131:17}, year = {2017}, url = {https://doi.org/10.1145/3126539}, doi = {10.1145/3126539}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/EgilmezSMASK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/PaternaGAOK17, author = {Francesco Paterna and Ujjwal Gupta and Raid Ayoub and {\"{U}}mit Y. Ogras and Michael Kishinevsky}, editor = {Andrea Bartolini and Jo{\~{a}}o M. P. Cardoso and Cristina Silvano}, title = {Adaptive Performance Sensitivity Model to Support {GPU} Power Management}, booktitle = {Proceedings of the 1st Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient {HPC} Systems, ANDARE@PACT 2017, Portland, OR, USA, September 9, 2017}, pages = {5:1--5:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3152821.3152822}, doi = {10.1145/3152821.3152822}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/PaternaGAOK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MercatiAKSBPR17, author = {Pietro Mercati and Raid Ayoub and Michael Kishinevsky and Eric Samson and Marc Beuchat and Francesco Paterna and Tajana Simunic Rosing}, title = {Multi-variable Dynamic Power Management for the {GPU} Subsystem}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {2:1--2:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062288}, doi = {10.1145/3061639.3062288}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MercatiAKSBPR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ONealBSK17, author = {Kenneth O'Neal and Philip Brisk and Emily Shriver and Michael Kishinevsky}, title = {{HALWPE:} Hardware-Assisted Light Weight Performance Estimation for GPUs}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {80:1--80:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062257}, doi = {10.1145/3061639.3062257}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ONealBSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaCOAKPG16, author = {Ujjwal Gupta and Joseph Campbell and {\"{U}}mit Y. Ogras and Raid Ayoub and Michael Kishinevsky and Francesco Paterna and Suat Gumussoy}, editor = {Frank Liu}, title = {Adaptive performance prediction for integrated GPUs}, booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016}, pages = {61}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2966986.2966997}, doi = {10.1145/2966986.2966997}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuptaCOAKPG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/CortadellaOKS15, author = {Jordi Cortadella and Marc Galceran Oms and Michael Kishinevsky and Sachin S. Sapatnekar}, title = {{RTL} Synthesis: From Logic Synthesis to Automatic Pipelining}, journal = {Proc. {IEEE}}, volume = {103}, number = {11}, pages = {2061--2075}, year = {2015}, url = {https://doi.org/10.1109/JPROC.2015.2456189}, doi = {10.1109/JPROC.2015.2456189}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/CortadellaOKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/SchuchhardtJAKM15, author = {Matthew Schuchhardt and Susmit Jha and Raid Ayoub and Michael Kishinevsky and Gokhan Memik}, editor = {Ravi Iyer and Siddharth Garg}, title = {Optimizing mobile display brightness by leveraging human visual perception}, booktitle = {2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2015, Amsterdam, The Netherlands, October 4-9, 2015}, pages = {11--20}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CASES.2015.7324538}, doi = {10.1109/CASES.2015.7324538}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/cases/SchuchhardtJAKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KadjoAKG15, author = {David Kadjo and Raid Ayoub and Michael Kishinevsky and Paul V. Gratz}, title = {A control-theoretic approach for energy efficient {CPU-GPU} subsystem in mobile platforms}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {62:1--62:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744773}, doi = {10.1145/2744769.2744773}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KadjoAKG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/EscalanteKKOS15, author = {Marco Escalante and Andrew B. Kahng and Michael Kishinevsky and {\"{U}}mit Y. Ogras and Kambiz Samadi}, title = {Multi-product floorplan and uncore design framework for chip multiprocessors}, booktitle = {2015 {ACM/IEEE} International Workshop on System Level Interconnect Prediction, {SLIP} 2015, San Francisco, CA, USA, June 6, 2015}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SLIP.2015.7171713}, doi = {10.1109/SLIP.2015.7171713}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/EscalanteKKOS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/SchuchhardtJAKM14, author = {Matthew Schuchhardt and Susmit Jha and Raid Ayoub and Michael Kishinevsky and Gokhan Memik}, editor = {Karam S. Chatha and Rolf Ernst and Anand Raghunathan and Ravishankar R. Iyer}, title = {{CAPED:} Context-aware personalized display brightness for mobile devices}, booktitle = {2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2014, Uttar Pradesh, India, October 12-17, 2014}, pages = {19:1--19:10}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656106.2656116}, doi = {10.1145/2656106.2656116}, timestamp = {Mon, 15 May 2023 22:11:16 +0200}, biburl = {https://dblp.org/rec/conf/cases/SchuchhardtJAKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadjoOAKG14, author = {David Kadjo and {\"{U}}mit Y. Ogras and Raid Ayoub and Michael Kishinevsky and Paul Gratz}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Towards platform level power management in mobile systems}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {146--151}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948916}, doi = {10.1109/SOCC.2014.6948916}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/KadjoOAKG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ChenXKGHKO13, author = {Xi Chen and Zheng Xu and Hyungjun Kim and Paul Gratz and Jiang Hu and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {In-network monitoring and control policy for {DVFS} of {CMP} networks-on-chip and last level caches}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {4}, pages = {47:1--47:21}, year = {2013}, url = {https://doi.org/10.1145/2504905}, doi = {10.1145/2504905}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ChenXKGHKO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenXKGHKOA13, author = {Xi Chen and Zheng Xu and Hyungjun Kim and Paul V. Gratz and Jiang Hu and Michael Kishinevsky and {\"{U}}mit Y. Ogras and Raid Zuhair Ayoub}, title = {Dynamic voltage and frequency scaling for shared resources in multicore processor designs}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {114:1--114:7}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488874}, doi = {10.1145/2463209.2488874}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenXKGHKOA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OgrasAKK13, author = {{\"{U}}mit Y. Ogras and Raid Zuhair Ayoub and Michael Kishinevsky and David Kadjo}, editor = {J{\"{o}}rg Henkel}, title = {Managing mobile platform power}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {161--162}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691113}, doi = {10.1109/ICCAD.2013.6691113}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OgrasAKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChatterjeeKO12, author = {Satrajit Chatterjee and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {xMAS: Quick Formal Modeling of Communication Fabrics to Enable Verification}, journal = {{IEEE} Des. Test Comput.}, volume = {29}, number = {3}, pages = {80--88}, year = {2012}, url = {https://doi.org/10.1109/MDT.2012.2205998}, doi = {10.1109/MDT.2012.2205998}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChatterjeeKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/ChatterjeeK12, author = {Satrajit Chatterjee and Michael Kishinevsky}, title = {Automatic generation of inductive invariants from high-level microarchitectural models of communication fabrics}, journal = {Formal Methods Syst. Des.}, volume = {40}, number = {2}, pages = {147--169}, year = {2012}, url = {https://doi.org/10.1007/s10703-011-0134-0}, doi = {10.1007/S10703-011-0134-0}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/ChatterjeeK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/HolcombGKS12, author = {Daniel E. Holcomb and Alexander Gotmanov and Michael Kishinevsky and Sanjit A. Seshia}, title = {Compositional performance verification of NoC designs}, booktitle = {Tenth {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMCODE} 2012, Arlington, VA, USA, July 16-17, 2012}, pages = {1--10}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MEMCOD.2012.6292294}, doi = {10.1109/MEMCOD.2012.6292294}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/HolcombGKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/ChenXKGHKO12, author = {Xi Chen and Zheng Xu and Hyungjun Kim and Paul Gratz and Jiang Hu and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {In-network Monitoring and Control Policy for {DVFS} of {CMP} Networks-on-Chip and Last Level Caches}, booktitle = {2012 Sixth {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012}, pages = {43--50}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NOCS.2012.12}, doi = {10.1109/NOCS.2012.12}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/ChenXKGHKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/OgrasK12, author = {{\"{U}}mit Y. Ogras and Michael Kishinevsky}, title = {Design and optimization of communication fabrics: an industrial perspective}, booktitle = {International Workshop on System Level Interconnect Prediction, {SLIP} '12, San Francisco, CA, USA, June 3, 2012}, pages = {19}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2347655.2347662}, doi = {10.1145/2347655.2347662}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/OgrasK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/OgrasEXKK12, author = {{\"{U}}mit Y. Ogras and Yunus Emre and Jianping Xu and Timothy Kam and Michael Kishinevsky}, title = {Energy-guided exploration of on-chip network design for exa-scale computing}, booktitle = {International Workshop on System Level Interconnect Prediction, {SLIP} '12, San Francisco, CA, USA, June 3, 2012}, pages = {24--31}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2347655.2347669}, doi = {10.1145/2347655.2347669}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/OgrasEXKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fuin/CarmonaJCK11, author = {Josep Carmona and Jorge J{\'{u}}lvez and Jordi Cortadella and Michael Kishinevsky}, title = {A Scheduling Strategy for Synchronous Elastic Designs}, journal = {Fundam. Informaticae}, volume = {108}, number = {1-2}, pages = {1--21}, year = {2011}, url = {https://doi.org/10.3233/FI-2011-411}, doi = {10.3233/FI-2011-411}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fuin/CarmonaJCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/OmsGCK11, author = {Marc Galceran Oms and Alexander Gotmanov and Jordi Cortadella and Michael Kishinevsky}, title = {Microarchitectural Transformations Using Elasticity}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {7}, number = {4}, pages = {18:1--18:24}, year = {2011}, url = {https://doi.org/10.1145/2043643.2043648}, doi = {10.1145/2043643.2043648}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/OmsGCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itp/KishinevskyGV11, author = {Michael Kishinevsky and Alexander Gotmanov and Yuriy Viktorov}, editor = {Marko C. J. D. van Eekelen and Herman Geuvers and Julien Schmaltz and Freek Wiedijk}, title = {Challenges in Verifying Communication Fabrics}, booktitle = {Interactive Theorem Proving - Second International Conference, {ITP} 2011, Berg en Dal, The Netherlands, August 22-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6898}, pages = {18--21}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22863-6\_4}, doi = {10.1007/978-3-642-22863-6\_4}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/itp/KishinevskyGV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/ChouMOCKL11, author = {Chen{-}Ling Chou and Radu Marculescu and {\"{U}}mit Y. Ogras and Satrajit Chatterjee and Michael Kishinevsky and Dmitrii Loukianov}, editor = {Janet Meiling Wang and Deming Chen}, title = {System interconnect design exploration for embedded MPSoCs}, booktitle = {2011 International Workshop on System Level Interconnect Prediction, {SLIP} 2011, San Diego, CA, USA, June 5, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SLIP.2011.6135433}, doi = {10.1109/SLIP.2011.6135433}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/ChouMOCKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vmcai/GotmanovCK11, author = {Alexander Gotmanov and Satrajit Chatterjee and Michael Kishinevsky}, editor = {Ranjit Jhala and David A. Schmidt}, title = {Verifying Deadlock-Freedom of Communication Fabrics}, booktitle = {Verification, Model Checking, and Abstract Interpretation - 12th International Conference, {VMCAI} 2011, Austin, TX, USA, January 23-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6538}, pages = {214--231}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-18275-4\_16}, doi = {10.1007/978-3-642-18275-4\_16}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/vmcai/GotmanovCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/memocode/2011, editor = {Satnam Singh and Barbara Jobstmann and Michael Kishinevsky and Jens Brandt}, title = {9th {IEEE/ACM} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2011, Cambridge, UK, 11-13 July, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5959846/proceeding}, isbn = {978-1-4577-0117-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memocode/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nocs/2011, editor = {Radu Marculescu and Michael Kishinevsky and Ran Ginosar and Karam S. Chatha}, title = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011}, publisher = {{ACM/IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1145/1999946}, doi = {10.1145/1999946}, isbn = {978-1-4503-0720-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/deds/JulvezCK10, author = {Jorge J{\'{u}}lvez and Jordi Cortadella and Michael Kishinevsky}, title = {On the Performance Evaluation of Multi-Guarded Marked Graphs with Single-Server Semantics}, journal = {Discret. Event Dyn. Syst.}, volume = {20}, number = {3}, pages = {377--407}, year = {2010}, url = {https://doi.org/10.1007/s10626-009-0079-2}, doi = {10.1007/S10626-009-0079-2}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/deds/JulvezCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CarmonaCK10, author = {Josep Carmona and Jordi Cortadella and Michael Kishinevsky}, title = {New Region-Based Algorithms for Deriving Bounded Petri Nets}, journal = {{IEEE} Trans. Computers}, volume = {59}, number = {3}, pages = {371--384}, year = {2010}, url = {https://doi.org/10.1109/TC.2009.131}, doi = {10.1109/TC.2009.131}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/CarmonaCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/ChatterjeeK10, author = {Satrajit Chatterjee and Michael Kishinevsky}, editor = {Tayssir Touili and Byron Cook and Paul B. Jackson}, title = {Automatic Generation of Inductive Invariants from High-Level Microarchitectural Models of Communication Fabrics}, booktitle = {Computer Aided Verification, 22nd International Conference, {CAV} 2010, Edinburgh, UK, July 15-19, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6174}, pages = {321--338}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14295-6\_29}, doi = {10.1007/978-3-642-14295-6\_29}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/cav/ChatterjeeK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OmsCBK10, author = {Marc Galceran Oms and Jordi Cortadella and Dmitry Bufistov and Michael Kishinevsky}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Automatic microarchitectural pipelining}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {961--964}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456910}, doi = {10.1109/DATE.2010.5456910}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/OmsCBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/ChatterjeeKO10, author = {Satrajit Chatterjee and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Quick formal modeling of communication fabrics to enable verification}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2010, Anaheim, CA, USA, 10-12 June 2010}, pages = {42--49}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HLDVT.2010.5496662}, doi = {10.1109/HLDVT.2010.5496662}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/ChatterjeeKO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OmsCK10, author = {Marc Galceran Oms and Jordi Cortadella and Michael Kishinevsky}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Symbolic performance analysis of elastic systems}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {778--785}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5653886}, doi = {10.1109/ICCAD.2010.5653886}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OmsCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/CortadellaOK10, author = {Jordi Cortadella and Marc Galceran Oms and Michael Kishinevsky}, title = {Elastic systems}, booktitle = {8th {ACM/IEEE} International Conference on Formal Methods and Models for Codesign {(MEMOCODE} 2010), Grenoble, France, 26-28 July 2010}, pages = {149--158}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/MEMCOD.2010.5558639}, doi = {10.1109/MEMCOD.2010.5558639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/CortadellaOK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/NikitinCCKO10, author = {Nikita Nikitin and Satrajit Chatterjee and Jordi Cortadella and Michael Kishinevsky and {\"{U}}mit Y. Ogras}, title = {Physical-Aware Link Allocation and Route Assignment for Chip Multiprocessing}, booktitle = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010}, pages = {125--134}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NOCS.2010.22}, doi = {10.1109/NOCS.2010.22}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/NikitinCCKO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BaneresCK09, author = {David Ba{\~{n}}eres and Jordi Cortadella and Michael Kishinevsky}, title = {A Recursive Paradigm to Solve Boolean Relations}, journal = {{IEEE} Trans. Computers}, volume = {58}, number = {4}, pages = {512--527}, year = {2009}, url = {https://doi.org/10.1109/TC.2008.165}, doi = {10.1109/TC.2008.165}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BaneresCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CarmonaCKT09, author = {Josep Carmona and Jordi Cortadella and Michael Kishinevsky and Alexander Taubin}, title = {Elastic Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {10}, pages = {1437--1455}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2030436}, doi = {10.1109/TCAD.2009.2030436}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/CarmonaCKT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/CarmonaJCK09, author = {Josep Carmona and Jorge J{\'{u}}lvez and Jordi Cortadella and Michael Kishinevsky}, title = {Scheduling Synchronous Elastic Designs}, booktitle = {Ninth International Conference on Application of Concurrency to System Design, {ACSD} 2009, Augsburg, Germany, 1-3 July 2009}, pages = {52--59}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACSD.2009.12}, doi = {10.1109/ACSD.2009.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/CarmonaJCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/CarmonaCK09, author = {Josep Carmona and Jordi Cortadella and Michael Kishinevsky}, title = {Genet: {A} Tool for the Synthesis and Mining of Petri Nets}, booktitle = {Ninth International Conference on Application of Concurrency to System Design, {ACSD} 2009, Augsburg, Germany, 1-3 July 2009}, pages = {181--185}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACSD.2009.6}, doi = {10.1109/ACSD.2009.6}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/CarmonaCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bpm/CarmonaCK09, author = {Josep Carmona and Jordi Cortadella and Michael Kishinevsky}, editor = {Umeshwar Dayal and Johann Eder and Jana Koehler and Hajo A. Reijers}, title = {Divide-and-Conquer Strategies for Process Mining}, booktitle = {Business Process Management, 7th International Conference, {BPM} 2009, Ulm, Germany, September 8-10, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5701}, pages = {327--343}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03848-8\_22}, doi = {10.1007/978-3-642-03848-8\_22}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bpm/CarmonaCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BufistovCOJK09, author = {Dmitry Bufistov and Jordi Cortadella and Marc Galceran Oms and Jorge J{\'{u}}lvez and Michael Kishinevsky}, title = {Retiming and recycling for elastic systems with early evaluation}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {288--291}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1629988}, doi = {10.1145/1629911.1629988}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BufistovCOJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OmsCK09, author = {Marc Galceran Oms and Jordi Cortadella and Michael Kishinevsky}, title = {Speculation in elastic systems}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {292--295}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1629989}, doi = {10.1145/1629911.1629989}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OmsCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaneresCK09, author = {David Ba{\~{n}}eres and Jordi Cortadella and Michael Kishinevsky}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Variable-latency design by function speculation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1704--1709}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090937}, doi = {10.1109/DATE.2009.5090937}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BaneresCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BaneresCK09, author = {David Ba{\~{n}}eres and Jordi Cortadella and Michael Kishinevsky}, editor = {Fabrizio Lombardi and Sanjukta Bhanja and Yehia Massoud and R. Iris Bahar}, title = {Timing-driven N-way decomposition}, booktitle = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009, Boston Area, MA, USA, May 10-12 2009}, pages = {363--368}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531542.1531624}, doi = {10.1145/1531542.1531624}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BaneresCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/topnoc/CortadellaKBCJ08, author = {Jordi Cortadella and Michael Kishinevsky and Dmitry Bufistov and Josep Carmona and Jorge J{\'{u}}lvez}, title = {Elasticity and Petri Nets}, journal = {Trans. Petri Nets Other Model. Concurr.}, volume = {1}, pages = {221--249}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89287-8\_13}, doi = {10.1007/978-3-540-89287-8\_13}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/topnoc/CortadellaKBCJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/KishinevskyC08, author = {Michael Kishinevsky and Jordi Cortadella}, editor = {Jonathan Billington and Zhenhua Duan and Maciej Koutny}, title = {Time elastic digital systems and Petri Nets}, booktitle = {8th International Conference on Application of Concurrency to System Design {(ACSD} 2008), Xi'an, China, June 23-27, 2008}, pages = {1--2}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ACSD.2008.4574587}, doi = {10.1109/ACSD.2008.4574587}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/acsd/KishinevskyC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apn/CarmonaCKKLY08, author = {Josep Carmona and Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev}, editor = {Kees M. van Hee and R{\"{u}}diger Valk}, title = {A Symbolic Algorithm for the Synthesis of Bounded Petri Nets}, booktitle = {Applications and Theory of Petri Nets, 29th International Conference, {PETRI} {NETS} 2008, Xi'an, China, June 23-27, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5062}, pages = {92--111}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-68746-7\_10}, doi = {10.1007/978-3-540-68746-7\_10}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apn/CarmonaCKKLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bpm/CarmonaCK08, author = {Josep Carmona and Jordi Cortadella and Michael Kishinevsky}, editor = {Marlon Dumas and Manfred Reichert and Ming{-}Chien Shan}, title = {A Region-Based Algorithm for Discovering Petri Nets from Event Logs}, booktitle = {Business Process Management, 6th International Conference, {BPM} 2008, Milan, Italy, September 2-4, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5240}, pages = {358--373}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85758-7\_26}, doi = {10.1007/978-3-540-85758-7\_26}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bpm/CarmonaCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KamKCO08, author = {Timothy Kam and Michael Kishinevsky and Jordi Cortadella and Marc Galceran Oms}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Correct-by-construction microarchitectural pipelining}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {434--441}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681612}, doi = {10.1109/ICCAD.2008.4681612}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KamKCO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/HaynalKKSW08, author = {Steve Haynal and Timothy Kam and Michael Kishinevsky and Emily Shriver and Xinning Wang}, title = {A System Verilog Rewriting System for {RTL} Abstraction with Pentium Case Study}, booktitle = {6th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2008), June 5-7, 2008, Anaheim, CA, {USA}}, pages = {79--88}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MEMCOD.2008.4547693}, doi = {10.1109/MEMCOD.2008.4547693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/HaynalKKSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KishinevskySS07, author = {Michael Kishinevsky and Sandeep K. Shukla and Ken S. Stevens}, title = {Guest Editors' Introduction: {GALS} Design and Validation}, journal = {{IEEE} Des. Test Comput.}, volume = {24}, number = {5}, pages = {414--416}, year = {2007}, url = {https://doi.org/10.1109/MDT.2007.166}, doi = {10.1109/MDT.2007.166}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KishinevskySS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CortadellaK07, author = {Jordi Cortadella and Michael Kishinevsky}, title = {Synchronous Elastic Circuits with Early Evaluation and Token Counterflow}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {416--419}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278587}, doi = {10.1145/1278480.1278587}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CortadellaK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaneresCK07, author = {David Ba{\~{n}}eres and Jordi Cortadella and Michael Kishinevsky}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Layout-aware gate duplication and buffer insertion}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1367--1372}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266664}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/BaneresCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BufistovCKS07, author = {Dmitry Bufistov and Jordi Cortadella and Michael Kishinevsky and Sachin S. Sapatnekar}, editor = {Georges G. E. Gielen}, title = {A general model for performance optimization of sequential systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {362--369}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397291}, doi = {10.1109/ICCAD.2007.4397291}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BufistovCKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csr/KishinevskyCGKO06, author = {Michael Kishinevsky and Jordi Cortadella and Bill Grundmann and Sava Krstic and John O'Leary}, editor = {Dima Grigoriev and John Harrison and Edward A. Hirsch}, title = {Synchronous Elastic Circuits}, booktitle = {Computer Science - Theory and Applications, First International Symposium on Computer Science in Russia, {CSR} 2006, St. Petersburg, Russia, June 8-12, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3967}, pages = {3--5}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11753728\_3}, doi = {10.1007/11753728\_3}, timestamp = {Tue, 29 Nov 2022 13:36:56 +0100}, biburl = {https://dblp.org/rec/conf/csr/KishinevskyCGKO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CortadellaKG06, author = {Jordi Cortadella and Michael Kishinevsky and Bill Grundmann}, editor = {Ellen Sentovich}, title = {Synthesis of synchronous elastic architectures}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {657--662}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147077}, doi = {10.1145/1146909.1147077}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CortadellaKG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/KrsticCKO06, author = {Sava Krstic and Jordi Cortadella and Michael Kishinevsky and John O'Leary}, title = {Synchronous Elastic Networks}, booktitle = {Formal Methods in Computer-Aided Design, 6th International Conference, {FMCAD} 2006, San Jose, California, USA, November 12-16, 2006, Proceedings}, pages = {19--30}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/FMCAD.2006.32}, doi = {10.1109/FMCAD.2006.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fmcad/KrsticCKO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BaneresCK06, author = {David Ba{\~{n}}eres and Jordi Cortadella and Michael Kishinevsky}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {Dominator-based partitioning for delay optimization}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {67--72}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1127927}, doi = {10.1145/1127908.1127927}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/BaneresCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JulvezCK06, author = {Jorge J{\'{u}}lvez and Jordi Cortadella and Michael Kishinevsky}, editor = {Soha Hassoun}, title = {Performance analysis of concurrent systems with early evaluation}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {448--455}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233590}, doi = {10.1145/1233501.1233590}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JulvezCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BaneresCK04, author = {David Ba{\~{n}}eres and Jordi Cortadella and Michael Kishinevsky}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {A recursive paradigm to solve Boolean relations}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {416--421}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996687}, doi = {10.1145/996566.996687}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BaneresCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/ArditiBK04, author = {Laurent Arditi and G{\'{e}}rard Berry and Michael Kishinevsky}, editor = {Alan J. Hu and Andrew K. Martin}, title = {Late Design Changes (ECOs) for Sequentially Optimized Esterel Designs}, booktitle = {Formal Methods in Computer-Aided Design, 5th International Conference, {FMCAD} 2004, Austin, Texas, USA, November 15-17, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3312}, pages = {128--143}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30494-4\_10}, doi = {10.1007/978-3-540-30494-4\_10}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/fmcad/ArditiBK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BerryKS03, author = {G{\'{e}}rard Berry and Michael Kishinevsky and Satnam Singh}, title = {System Level Design and Verification Using a Synchronous Language}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {433--440}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257813}, doi = {10.1109/ICCAD.2003.1257813}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BerryKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CortadellaKBKLSTY02, author = {Jordi Cortadella and Michael Kishinevsky and Steven M. Burns and Alex Kondratyev and Luciano Lavagno and Ken S. Stevens and Alexander Taubin and Alexandre Yakovlev}, title = {Lazy transition systems and asynchronous circuit synthesis withrelative timing assumptions}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {2}, pages = {109--130}, year = {2002}, url = {https://doi.org/10.1109/43.980253}, doi = {10.1109/43.980253}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CortadellaKBKLSTY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaSDGNKKR02, author = {Sumit Gupta and Nick Savoiu and Nikil D. Dutt and Rajesh K. Gupta and Alexandru Nicolau and Timothy Kam and Michael Kishinevsky and Shai Rotem}, title = {Coordinated transformations for high-level synthesis of high performance microprocessor blocks}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {898--903}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514140}, doi = {10.1145/513918.514140}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuptaSDGNKKR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apn/CortadellaKKLY00, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev}, editor = {Mogens Nielsen and Dan Simpson}, title = {Hardware and Petri Nets: Application to Asynchronous Circuit Design}, booktitle = {Application and Theory of Petri Nets 2000, 21st International Conference, {ICATPN} 2000, Aarhus, Denmark, June 26-30, 2000, Proceeding}, series = {Lecture Notes in Computer Science}, volume = {1825}, pages = {1--15}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44988-4\_1}, doi = {10.1007/3-540-44988-4\_1}, timestamp = {Sat, 07 Sep 2019 11:59:23 +0200}, biburl = {https://dblp.org/rec/conf/apn/CortadellaKKLY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KondratyevCKLY99, author = {Alex Kondratyev and Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexandre Yakovlev}, title = {Logic decomposition of speed-independent circuits}, journal = {Proc. {IEEE}}, volume = {87}, number = {2}, pages = {347--362}, year = {1999}, url = {https://doi.org/10.1109/5.740027}, doi = {10.1109/5.740027}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/KondratyevCKLY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CortadellaKKLPY99, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Enric Pastor and Alexandre Yakovlev}, title = {Decomposition and technology mapping of speed-independent circuits using Boolean relations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {9}, pages = {1221--1236}, year = {1999}, url = {https://doi.org/10.1109/43.784116}, doi = {10.1109/43.784116}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CortadellaKKLPY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KondratyevCKLY99, author = {Alex Kondratyev and Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexandre Yakovlev}, editor = {Mary Jane Irwin}, title = {Automatic Synthesis and Optimization of Partially Specified Asynchronous Systems}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {110--115}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309891}, doi = {10.1145/309847.309891}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KondratyevCKLY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StevensRBCGKR99, author = {Ken S. Stevens and Shai Rotem and Steven M. Burns and Jordi Cortadella and Ran Ginosar and Michael Kishinevsky and Marly Roncken}, editor = {Mary Jane Irwin}, title = {{CAD} Directions for High Performance Asynchronous Circuits}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {116--121}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309893}, doi = {10.1145/309847.309893}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/StevensRBCGKR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CortadellaKBS99, author = {Jordi Cortadella and Michael Kishinevsky and Steven M. Burns and Ken S. Stevens}, editor = {Jacob K. White and Ellen Sentovich}, title = {Synthesis of asynchronous control circuits with automatically generated relative timing assumptions}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {324--331}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810669}, doi = {10.1109/ICCAD.1999.810669}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CortadellaKBS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/KondratyevKTT98, author = {Alex Kondratyev and Michael Kishinevsky and Alexander Taubin and Sergei Ten}, title = {Analysis of Petri Nets by Ordering Relations in Reduced Unfoldings}, journal = {Formal Methods Syst. Des.}, volume = {12}, number = {1}, pages = {5--38}, year = {1998}, url = {https://doi.org/10.1023/A:1008669013857}, doi = {10.1023/A:1008669013857}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/KondratyevKTT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/KondratyevKTCL98, author = {Alex Kondratyev and Michael Kishinevsky and Alexander Taubin and Jordi Cortadella and Luciano Lavagno}, title = {The Use of Petri Nets for the Design and Verification of Asynchronous Circuits and Systems}, journal = {J. Circuits Syst. Comput.}, volume = {8}, number = {1}, pages = {67--118}, year = {1998}, url = {https://doi.org/10.1142/S0218126698000055}, doi = {10.1142/S0218126698000055}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/KondratyevKTCL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CortadellaKLY98, author = {Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexandre Yakovlev}, title = {Deriving Petri Nets for Finite Transition Systems}, journal = {{IEEE} Trans. Computers}, volume = {47}, number = {8}, pages = {859--882}, year = {1998}, url = {https://doi.org/10.1109/12.707587}, doi = {10.1109/12.707587}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/CortadellaKLY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KondratyevKY98, author = {Alex Kondratyev and Michael Kishinevsky and Alexandre Yakovlev}, title = {Hazard-free implementation of speed-independent circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {9}, pages = {749--771}, year = {1998}, url = {https://doi.org/10.1109/43.720313}, doi = {10.1109/43.720313}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KondratyevKY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KishinevskyKLST98, author = {Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexander Saldanha and Alexander Taubin}, title = {Partial-scan delay fault testing of asynchronous circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {11}, pages = {1184--1199}, year = {1998}, url = {https://doi.org/10.1109/43.736191}, doi = {10.1109/43.736191}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KishinevskyKLST98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/KondratyevCKLTY98, author = {Alex Kondratyev and Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexander Taubin and Alexandre Yakovlev}, title = {Identifying State Coding Conflicts in Asynchronous System Specifications Using Petri Net Unfoldings}, booktitle = {1st International Conference on Application of Concurrency to System Design {(ACSD} '98), 23-26 March 1998, Fukushima, Japan}, pages = {152--163}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/CSD.1998.657548}, doi = {10.1109/CSD.1998.657548}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/KondratyevCKLTY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KishinevskyCK98, author = {Michael Kishinevsky and Jordi Cortadella and Alex Kondratyev}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Asynchronous Interface Specification, Analysis and Synthesis}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {2--7}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277046}, doi = {10.1145/277044.277046}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KishinevskyCK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CortadellaKKLTY98, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexander Taubin and Alexandre Yakovlev}, editor = {Hiroto Yasuura}, title = {Lazy transition systems: application to timing optimization of asynchronous circuits}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {324--331}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.288633}, doi = {10.1145/288548.288633}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CortadellaKKLTY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CortadellaKKLY97, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev}, title = {A region-based theory for state assignment in speed-independent circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {8}, pages = {793--812}, year = {1997}, url = {https://doi.org/10.1109/43.644602}, doi = {10.1109/43.644602}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CortadellaKKLY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apn/KishinevskyCKLTY97, author = {Michael Kishinevsky and Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and Alexander Taubin and Alexandre Yakovlev}, editor = {Pierre Az{\'{e}}ma and Gianfranco Balbo}, title = {Coupling Asynchrony and Interrupts: Place Chart Nets}, booktitle = {Application and Theory of Petri Nets 1997, 18th International Conference, {ICATPN} '97, Toulouse, France, June 23-27, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1248}, pages = {328--347}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-63139-9\_44}, doi = {10.1007/3-540-63139-9\_44}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/apn/KishinevskyCKLTY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/async/KondratyevKCLY97, author = {Alex Kondratyev and Michael Kishinevsky and Jordi Cortadella and Luciano Lavagno and Alexandre Yakovlev}, title = {Technology Mapping for Speed-Independent Circuits: Decomposition and Resynthesis}, booktitle = {3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems {(ASYNC} '97), 7-10 April 1997, Eindhoven, The Netherlands}, pages = {240--253}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ASYNC.1997.587178}, doi = {10.1109/ASYNC.1997.587178}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/async/KondratyevKCLY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CortadellaKKLY97, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev}, title = {Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis}, booktitle = {European Design and Test Conference, ED{\&}TC '97, Paris, France, 17-20 March 1997}, pages = {98--105}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/EDTC.1997.582340}, doi = {10.1109/EDTC.1997.582340}, timestamp = {Fri, 20 May 2022 15:59:03 +0200}, biburl = {https://dblp.org/rec/conf/date/CortadellaKKLY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CortadellaKKLPY97, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Enric Pastor and Alexandre Yakovlev}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Decomposition and technology mapping of speed-independent circuits using Boolean relations}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {220--227}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643524}, doi = {10.1109/ICCAD.1997.643524}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CortadellaKKLPY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KishinevskyKLST97, author = {Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexander Saldanha and Alexander Taubin}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Partial scan delay fault testing of asynchronous circuits}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {728--735}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643619}, doi = {10.1109/ICCAD.1997.643619}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KishinevskyKLST97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/YakovlevKKLP96, author = {Alexandre Yakovlev and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Marta Pietkiewicz{-}Koutny}, title = {On the Models for Asynchronous Circuit Behaviour with {OR} Causality}, journal = {Formal Methods Syst. Des.}, volume = {9}, number = {3}, pages = {189--233}, year = {1996}, url = {https://doi.org/10.1007/BF00122082}, doi = {10.1007/BF00122082}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/YakovlevKKLP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apn/KondratyevKTT96, author = {Alex Kondratyev and Michael Kishinevsky and Alexander Taubin and Sergei Ten}, editor = {Jonathan Billington and Wolfgang Reisig}, title = {A Structural Approach for the Analysis of Petri Nets by Reduced Unfoldings}, booktitle = {Application and Theory of Petri Nets 1996, 17th International Conference, Osaka, Japan, June 24-28, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1091}, pages = {346--365}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61363-3\_19}, doi = {10.1007/3-540-61363-3\_19}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/apn/KondratyevKTT96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/async/CortadellaKKLY96, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alex Yakovlev}, title = {Complete state encoding based on the theory of regions}, booktitle = {2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems {(ASYNC} '96), March 18-21, 1996, Aizu-Wakamatsu, Fukushima, Japan}, pages = {36--47}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ASYNC.1996.494436}, doi = {10.1109/ASYNC.1996.494436}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/async/CortadellaKKLY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CortadellaKKLY96, author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {63--66}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240531}, doi = {10.1145/240518.240531}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CortadellaKKLY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KondratyevKY95, author = {Alex Kondratyev and Michael Kishinevsky and Alexandre Yakovlev}, editor = {Isao Shirakawa}, title = {On hazard-free implementation of speed-independent circuits}, booktitle = {Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29 - September 1, 1995}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224818.224905}, doi = {10.1145/224818.224905}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KondratyevKY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KondratyevCKPRY95, author = {Alex Kondratyev and Jordi Cortadella and Michael Kishinevsky and Enric Pastor and Oriol Roig and Alexandre Yakovlev}, title = {Checking signal transition graph implementability by symbolic {BDD} traversal}, booktitle = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris, France, March 6-9, 1995}, pages = {325--332}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/EDTC.1995.470376}, doi = {10.1109/EDTC.1995.470376}, timestamp = {Fri, 20 May 2022 15:41:46 +0200}, biburl = {https://dblp.org/rec/conf/date/KondratyevCKPRY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CortadellaKLY95, author = {Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexandre Yakovlev}, editor = {Richard L. Rudell}, title = {Synthesizing Petri nets from state-based models}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {164--171}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480008}, doi = {10.1109/ICCAD.1995.480008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CortadellaKLY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/KishinevskyKTV94, author = {Michael Kishinevsky and Alex Kondratyev and Alexander Taubin and Victor Varshavsky}, title = {Analysis and Identification of Speed-Independent Circuits on an Event Model}, journal = {Formal Methods Syst. Des.}, volume = {4}, number = {1}, pages = {33--75}, year = {1994}, url = {https://doi.org/10.1007/BF01383956}, doi = {10.1007/BF01383956}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/KishinevskyKTV94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/KishinevskyKT94, author = {Michael Kishinevsky and Alex Kondratyev and Alexander Taubin}, title = {Specification and analysis of self-timed circuits}, journal = {J. {VLSI} Signal Process.}, volume = {7}, number = {1-2}, pages = {117--135}, year = {1994}, url = {https://doi.org/10.1007/BF02108193}, doi = {10.1007/BF02108193}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/KishinevskyKT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apn/YakovlevKKL94, author = {Alexandre Yakovlev and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno}, editor = {Robert Valette}, title = {{OR} Causality: Modelling and Hardware Implementation}, booktitle = {Application and Theory of Petri Nets 1994, 15th International Conference, Zaragoza, Spain, June 20-24, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {815}, pages = {568--587}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58152-9\_31}, doi = {10.1007/3-540-58152-9\_31}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/apn/YakovlevKKL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/async/KishinevskyS94, author = {Michael Kishinevsky and J{\o}rgen Staunstrup}, title = {Characterizing speed-independence of high-level designs}, booktitle = {Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems, {ASYNC} 1994, Salt Lake City, UT, USA, November 3-5, 1994}, pages = {44--53}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ASYNC.1994.656285}, doi = {10.1109/ASYNC.1994.656285}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/async/KishinevskyS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KondratyevKLVY94, author = {Alex Kondratyev and Michael Kishinevsky and Bill Lin and Peter Vanbekbergen and Alexandre Yakovlev}, editor = {Michael J. Lorenzetti}, title = {Basic Gate Implementation of Speed-Independent Circuits}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {56--62}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196275}, doi = {10.1145/196244.196275}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KondratyevKLVY94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NielsenK94, author = {Christian D. Nielsen and Michael Kishinevsky}, editor = {Michael J. Lorenzetti}, title = {Performance Analysis Based on Timing Simulation}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {70--76}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196281}, doi = {10.1145/196244.196281}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NielsenK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/LavagnoLK94, author = {Luciano Lavagno and Antonio Lioy and Michael Kishinevsky}, editor = {Jean Mermet}, title = {Testing redundant asynchronous circuits by variable phase splitting}, booktitle = {Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994}, pages = {328--333}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=198278}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/LavagnoLK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/KondratyevTVKP94, author = {Alex Kondratyev and Alexander Taubin and Victor Varshavsky and Michael Kishinevsky and Edwige E. Pissaloux}, title = {Change Diagram : {A} behavioural model for very speed {VLSI} circuit/highly parallel systems}, booktitle = {Proceedings of the Second Euromicro Workshop on Parallel and Distributed Processing, {PDP} 1994, January 26-28, 1994, Malaga, Spain}, pages = {220--226}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/EMPDP.1994.592493}, doi = {10.1109/EMPDP.1994.592493}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/pdp/KondratyevTVKP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tpcd/KishnievskyS94, author = {Michael Kishinevsky and J{\o}rgen Staunstrup}, editor = {Ramayya Kumar and Thomas Kropf}, title = {Mechanized Verification of Speed-independence}, booktitle = {Theorem Provers in Circuit Design - Theory, Practice and Experience, Second International Conference, {TPCD} '94, Bad Herrenalb, Germany, September 26-28, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {901}, pages = {146--164}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-59047-1\_47}, doi = {10.1007/3-540-59047-1\_47}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/tpcd/KishnievskyS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-2/KishinevskyKTV92, author = {Michael Kishinevsky and Alex Kondratyev and Alexander Taubin and Victor Varshavsky}, editor = {J{\o}rgen Staunstrup and Robin Sharp}, title = {Analysis and Identification of Self-Timed Circuits}, booktitle = {Designing Correct Circuits, Proceedings of the Second {IFIP} {WG10.2/WG10.5} Workshop on Designing Correct Circuits, Lyngby, Denmark, 6-8 January 1992}, series = {{IFIP} Transactions}, volume = {{A-5}}, pages = {275--287}, publisher = {North-Holland}, year = {1992}, timestamp = {Tue, 19 Feb 2002 13:35:53 +0100}, biburl = {https://dblp.org/rec/conf/ifip10-2/KishinevskyKTV92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/KishinevskyKT91, author = {Michael Kishinevsky and Alex Kondratyev and Alexander Taubin}, editor = {Tony Ambler and Jochen A. G. Jess and Hugo De Man}, title = {Formal method for self-timed design}, booktitle = {Proceedings of the conference on European design automation, EURO-DAC'91, Amsterdam, The Netherlands, 1991}, pages = {197--201}, publisher = {{EEE} Computer Society}, year = {1991}, url = {http://dl.acm.org/citation.cfm?id=951556}, timestamp = {Tue, 17 Nov 2015 16:02:17 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/KishinevskyKT91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.